JPH09503622A - 転写薄膜回路を使用した3次元プロセッサー - Google Patents

転写薄膜回路を使用した3次元プロセッサー

Info

Publication number
JPH09503622A
JPH09503622A JP7510460A JP51046095A JPH09503622A JP H09503622 A JPH09503622 A JP H09503622A JP 7510460 A JP7510460 A JP 7510460A JP 51046095 A JP51046095 A JP 51046095A JP H09503622 A JPH09503622 A JP H09503622A
Authority
JP
Japan
Prior art keywords
layer
circuit
circuit layer
processing device
data processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP7510460A
Other languages
English (en)
Inventor
ザブラツキー,ポール・エム
ザブラツキー,マシユウ
ブ,ドウイ−フアチ
デイングル,ブレンダ
Original Assignee
コピン・コーポレーシヨン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by コピン・コーポレーシヨン filed Critical コピン・コーポレーシヨン
Publication of JPH09503622A publication Critical patent/JPH09503622A/ja
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/24225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01061Promethium [Pm]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/07802Adhesive characteristics other than chemical not being an ohmic electrical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/164Three dimensional processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 マイクロプロセッサーが種々の層において構成される多層構造が、作製され、構造の各回路層を分離する絶縁層を通して垂直に相互接続される。各回路層は、分離ウェーハ又は薄膜材料において作製され、その後、層状構造へ転写され、相互接続される。

Description

【発明の詳細な説明】 転写薄膜回路を使用した3次元プロセッサー 発明の背景 一般に、プロセッサーは、2つの構成要素、即ち、実行される命令の順序と解 読を監視する命令プロセッサーと、データにおける命令によって要求される動作 を行うデータプロセッサー、に分割されるアーキテクチャーにおける構成ブロッ クとして使用される多様な超大規模集積回路(VLSI)チップから構成される 。これらの構成要素は、しばしば、回路ボードにおいて取り付けた2つの異なる チップであるか、又は2次元幾何学的形状において単一チップ上に作製される。 命令プロセッサーは、通常、命令を含むプログラムメモリを含む。各命令は、デ ータプロセッサー内の要素を制御するために複数のビットを含む。加えて、コン トローラは、実行される命令シーケンスをアクセスするために、プログラムメモ リに適用されるアドレスを発行するために使用される。これらの命令をできる限 り高速に、好ましくは1クロックサイクル以内に、実行することが望ましい。し かし、現方法を使用するマイクロプロセッサーをレイアウトする幾何学的形状に より、速度を増大する際の困難はまた、費用と製造の複雑さを増大させる。 データプロセッサーは、8、16又は32ビットデバイスとして分類される。 それらは、それぞれ、8、16又は32ビットにおいて算術又は論理演算を行う ことができる。プロセッサーには、全サイズ語において演算を行う限定能力を有 するものがある。例えば、16ビットデータプロセッサーはまた、全サイズ16 ビット語の最下位バイト(8ビット)において演算を行うことができる。一つの そのような接近方法におい て、16ビットプロセッサーは、4ビットプロセッサーの並列の組み合わせから 形成される。この接近方法は、一般に、「ビットスライスト」技法と呼ばれる。 この方法は、データプロセッサーチップの端子ピンの大規模な並列相互接続を 伴う。付加的に、マイクロプロセッサーチップを取り付けるために使用された印 刷回路板において、空間は、必需であり、データプロセッサーチップとチップを 接続するために使用されるバスによって消費される。 以前の8ビット及び16ビットデータプロセッサーは、バイポーラ半導体作製 技術を使用して利用可能になったが、32ビットデータプロセッサーは、低速の 金属酸化物シリコン作製手順を使用した。また、低速の一因として、以前のプロ セッサーは、一般に入出力動作に制限を課する単一の多重化入力/出力バスを使 用した。 各チップの構成要素は、一般に、数千個のトランジスタ及びインバータから作 られる。これらの要素は、ゲートアレイ、シフトレジスター、メモリと他の構成 要素を形成するために使用される。データプロセッサーと、このため、マイクロ プロセッサー自体の動作速度は、その内部回路のアーキテクチャーに大部分依存 する。スループット(命令が実行されるために費やされる時間)は、データがそ の処理中に通過しなければならないゲート数に部分的に依存する。また、動作速 度は、幾つかの動作を一つの命令に組み合わせることにより増大される。しかし 、非常に多数の命令がデバイスのサイクル時間内に実行される高速スループット が可能なハードウェアを提供し、同時に、信頼性があり、比較的安価で、できる だけ簡単な作製手順を使用して構成される小空間においてその ような構造を設けるための継続した必要性が存在する。 発明の要約 本発明は、超大規模集積回路の構造と作製に関し、さらに詳細には、データ処 理、制御システムとプログラマブル計算のための垂直に積み重ねた相互接続回路 要素に関する。好ましい実施態様は、同一又は分離半導体ウェーハにおいて作製 され、その後積み重ねた、例えば、算術論理ユニット(ALU)、コントローラ 、メモリ要素等の機能ブロックに分割されたマイクロプロセッサーに係わる。一 般に、機能ブロックは、アドレス、データ及び制御バスを使用して、相互に通信 する。これらのバスは、通常、シリコンチップの表面に沿って経路指定される多 数の金属線から成る。一般に、金属線は、機能ブロックの間のチップの領域にお いて走り、相当な量の不動産を占め、多分、利用可能な領域の半分も占める。本 発明において、回路の機能ブロックは、2つ以上の区分に分割され、回路の一つ の区分は、バルクチップにあり、そして残りのブロックは、重なる薄膜上にあり 、構成要素は、介在する絶縁層を通して電気的に接続される。 バルク及び薄膜半導体層は、発明の好ましい実施態様に組み込まれる。回路は 、バルクシリコン、シリコンオンインシュレータ(SOI)構造、又はガリウム ひ素の如くIII−V材料、あるいはバルクSi、SOI、及び/又は薄膜GaA sを含む複合構造において形成される。デバイスの多様な層は、層を結合する絶 縁層と、好ましくは接着剤の如くポリマー材料を具備する絶縁層を貫通する導電 相互接続部又は垂直バスを使用して、積み重ねられる。熱及び電気遮蔽は、熱劣 化又はクロストークを低減又は防止するために、隣接回路層の間で使用される。 バルクチップ又は構造の薄膜層上のワイヤボンドパッドは、チップが直結チッ プキャリヤに据えられるパッケージと通信するために必要とされる。これらのパ ッドは、ワイヤがそれらに結合されるほど十分に大きい必要がある。相互接続パ ッドは、回路の諸層を接続するために使用される。これらのパッドは、相互接続 方法が、好ましい実施態様において、金属堆積によるために、ワイヤボンドパッ ドよりも相当に小さくなる。相当接続パッドの相補対は、バルクとSOIチップ において使用される。ウェーハの表面に沿ってバスを走らせる代わりに、これら の多数は、機能ブロックの間の垂直方向(第3次元)において走り、能動回路の ための大きな不動産を解放する。 好ましい実施態様において、回路の諸層を作製した後、SOI回路層は、バル クシリコンチップへ転写される。転写は、2つの回路層を固着させ、同時に、必 要な電気的分離を維持するために、バルクとSOIチップの間の電気絶縁接着層 を使用して行われる。加えて、単一転写プロセスを使用する実施態様において、 SOI材料の分離酸化層は、組み合わされたチップにおける最上層である。これ は、能動回路を、ウェーハの表面から完全に分離し、表面での金属線の経路指定 を可能にする。相互接続金属層は回路を完成するために、この同一金属層は、各 層において貴重な回路空間を失うことなく、バスのために使用される。相互接続 を行うために、ブァイアが、バルクチップ又は下側薄膜半導体回路層における相 互接続パッドまで切り込まれる。金属堆積は、下側及び上側機能ブロックを接続 するために使用される。 この3次元接近方法の利点は、高速性と高実装密度である。高速度は、少なく とも2つの領域から生ずる。第1は、バス長の縮小である。チ ップ回路において、速度は、チップの回りの信号を担持するワイヤの長さによっ てある程度まで限定される。チップにおけるすべてのワイヤは、静電容量とイン ダクタンスに付随される。ワイヤリード遅延の一般処置は、単純な伝送線分析か ら従われる。リード線が長いほど、付随遅延は長くなる。提案された接近方法に おいて、短いバスは、遅延を縮小させ、高速回路性能を生ずる。 速度改良の第2源は、SOI自体から獲得される。回路は、2つの部分、又は 他の実施態様において3つ以上の層に分割される。一つの実施態様において、第 1部分は、バルク回路である。しかし、このシリコン回路は、SOIにおいて完 全に置換することができる。 SOI回路に対する動作速度の改良は、3つの領域から起因する。多分、最大 速度エンハンスメントは、回路が厚い酸化層によってバルクシリコンウェーハか ら分離されるという事実から生ずる。これは、金属と基板に付随した静電容量と ともに、個別デバイスの静電容量を低減させる。静電容量の第2の低減は、CM OS回路構成要素において共通な露出ソース及びドレイン領域における低減によ り達成される。これは、SOIにおいて、ソース/ドレイン注入が、酸化層によ って終端されるという事実の結果である。これは、ウェル接合へソース/ドレイ ンに付随した静電容量の大部分を除去する。最終的な速度増大は、薄膜SOIデ バイスを使用して、報告された。これらは、0.1〜10μmの範囲において、 好ましくは1.0μm以下の厚さを有するシリコン層において作製される。前記 の如く、半導体材料のバルク又は薄膜層はまた、標準化学蒸着プロセスを使用し て作られたGaAsの如くIII−V材料を使用する。 記録密度の増大は、2つの源から来る。3次元接近方法は、回路の断片の相互 の積み重ねを可能にする。他の修正のない二層積み重ねは、標準2D回路の領域 の半分をすでに消費している。第2の増大は、チップ上にバスを経路指定するた めに必要とされた領域の削減により、利用可能である。これは、再び、組み合わ せ回路の領域を半減させ、4倍の最小記録密度の減少につながる。 一つの実施態様において、高速を要求するブロックは、例えば、SOIにおい て作製される。第2の代替案は、8ビットマイクロプロセッサーを創成するため に2つの層において、又は16ビットマイクロプロセッサーを創成するために4 つの層において組み合わされる4ビットプロセッサーにマイクロプロセッサーを 分割するものである。実施態様は、いろいろな相互接続パッド構成を使用する。 一つの実施態様において、例えば、上側及び下側回路におけるパッドは、わずか にオフセットされる。これは、個別ブァイアを相互までエッチングすることを可 能にする。それから、堆積金属は、2つのパッドを相互接続する。位置合せ公差 は、必要なパッドサイズを決定する。 一つの実施態様において、試験デバイスは、SOIマスクセットにおいて含ま れる。これらは、性能を決定するために、個別デバイスを厳密に調べることを可 能にする。試験チップは、リング発振器とゲート時間遅れ連鎖の如く、回路性能 パラメータにおいて情報を設ける小形試験回路を含む。特別な金属層は、小形相 互接続パッドを試験用の大形パッドまで経路指定するために使用される。 完全なマイクロプロセッサーを構成する各回路層を完成させ、試験したならば 、SOI回路は、バルクウェーハへ転写される。転写プロセス の重要な見地は、2つ以上の回路層が相互に位置合せされることである。このタ スクの第2の見地は、いったん組み合わされたならば、回路の部分が一体化され ることである。これは、ホールを介して、適切な相互接続パッドまでエッチング し、アルミニウムを堆積させ、回路と必要とされる経路の間の相互接続部を創成 するためにアルミニウムをパターン化することにより行われる。 3層以上を使用する実施態様において、相互接続は、各連続層の転写後、又は 2層以上の後に行われる。そのような接続は、光学又は電気的であり、外部で、 又は各層を接続する結合層を通して走る。接続はまた、回路経路指定層を使用し て、同一層又は異なる層における回路要素の間で行われる。回路経路指定層はま た、その上に回路を有し、あるいはセラミック又は炭化シリコンの如く電気又は 熱絶縁材料から作られる。回路経路指定層は、片側又は両側において金属被覆線 又はバスを形成している。非能動部位において、回路経路指定層は、層間の絶縁 の向上のために接地まで連結された金属で片側又は両側をパターン化される。 二重転写プロセスも使用され、この場合、薄膜には回路を作製され、第2可処 分又は再使用可能な基板への転写、必要に応じて、回路層の裏面処理、及び積み 重ね構造と相互接続金属被覆への転写によって従われる。デバイスの各層におけ る転写薄膜回路のタイル化がまた、使用される。これらの転写及びタイル化方法 は、3次元構造への発光ダイオード(LED)とディスプレイを含む光電子構成 要素を組み込むために使用される。 図面の簡単な説明 発明の前述及び他の目的、特徴及び利点は、添付の図面において示さ れた如く、発明の好ましい実施態様の次の詳細な説明から明らかになるであろう 。種々の図面を通じて、同様の参照文字は同一部分を参照する。図面は、必ずし も等尺ではなく、代わりに、発明の原理を示すことが重視される。 第1図は、本発明を具現する多層マイクロプロセッサーの分解概略図である。 第2図は、上側層の頂部から見た時の層間コネクタを示す。 第3A〜3H図は、好ましい実施態様において使用されたトランジスタの作製 を示す好ましいプロセスフロー順序図である。 第4A図は、単一転写プロセスを使用して作製された層間コネクタの断面図で ある。 第4B図は、好ましい単一転写プロセスを使用して作製された層間コネクタの 断面図である。 第4C図は、二重転写プロセスを使用して作製された層間コネクタの断面図で ある。 第4D図は、外部相互接続部の断面図である。 第5A図は、絶縁層上にパターン化された接地金属ランを使用する多層遮蔽の 断面図である。 第5B図は、分離遮蔽層においてパターン化された金属ランを使用する多層遮 蔽の断面図である。 第6図は、単一転写プロセスを使用して層状にされる多重の個別ダイを有する 2つの膜層の分解図である。 第7図は、個別多層マイクロプロセッサーの個別化によって従われた、二重転 写プロセスを使用して位置合せ及びエポキシ樹脂で接着される 膜層の工程図である。 第8図は、第1層の外周部に作製された多くの熱を生成する構成要素を有する 、完成した多層マイクロプロセッサーの斜視図である。 第9A図は、単一層において交差する信号を多重層において経路指定するため に中間層がいかに使用されるかを示す分解斜視図である。 第9B図は、下側層のために信号を経路指定するために上側層がいかに使用さ れるかを示す分解斜視図である。 第10図は、ランダムアクセスメモリの付加層を有する多層マイクロプロセッ サーの分解斜視図である。 第11図は、多層化ビットスライストマイクロプロセッサーの分解斜視図であ る。 第12図は、層状マルチプロセッサーシステムの分解斜視図である。 第13図は、上側層においてランダムアクセスメモリと下側層においてプログ ラマブル論理デバイスを有する、多層マイクロプロセッサーの分解斜視図である 。 第14図は、上側層において発光ダイオードアレイを有する多層マイクロプロ セッサーの分解斜視図である。 第15図は、多層タイル化技術の分解斜視図である。 第16A〜16F図は、発明の好ましいプロセスを示す。 好ましい実施態様の詳細な説明 本発明の好ましい実施態様の分解概略図が、第1図において示される。多層マ イクロプロセッサーの基本構成要素は、第1層200と第2層100において作 製される。第1層200は、シリコン基板220を具備し、その上に、マイクロ プロセッサー論理ブロック、相互接続線、及 びボンディングパッドが作製される。第2層100は、薄膜120を具備し、そ の上に、マイクロプロセッサー論理ブロックと相互接続線が作製される。第1層 200は、ボンディングパッド226へのアクセスを設けるために、第2層10 0よりも面積が大きい。 標準マイクロプロセッサーは、幾つかの論理ユニット、即ち、コントローラ2 04、状態レジスター202、プログラムカウンターレジスター208、メモリ アドレスレジスター210、命令レジスター206、算術論理ユニット102、 アキュミュレータレジスター104、シフトレジスター106、ランダムアクセ スメモリ108、から成る。データバス114、アドレスバス224、及びいろ いろな制御線222の如く相互接続パスは、論理ユニット間、又は論理ユニット とオフチップ資源の間の通信を設ける。オフチップを接続するパスは、チップキ ャリヤピンへワイヤ結合されたボンディングパッド226へ経路指定される。デ ータバス114の如く、幾つかの論理ユニットへ共通なパスは、共通データバス 114に対して個別論理ユニットを接続及び除去するために、バス裁定論理を必 要とする。コントローラ204において集結された裁定論理は、バス裁定プロト コルにより、いろいろなバッファー116を活動化及び非活動化する。 一般マイクロプロセッサーは、ソフトウェアの使用を通してプログラムされる 。ソフトウェアは、コントローラ204が理解するフォーマットへコンパイラに よって符号化される一連の論理命令である。マイクロプロセッサーがリセットさ れた後、ソフトウェアは、内部ランダムアクセスメモリ108又はオフチップラ ンダムアクセスメモリへダウンロードされる。代替的に、ソフトウェアは、プリ プログラムされた読み取り 専用メモリによって供給される。 初期的に、プログラムカウンターレジスター208は、呼び出される命令のア ドレスを含む。アドレスは、プログラムカウンターレジスター208からメモリ アドレスレジスター200へ移動され、そしてプログラムカウンターレジスター 208が増分される。アドレスバス224へのメモリアドレスレジスター210 の内容を計時した後、コントローラ204は、メモリに記憶されたデータ語を、 データバス114において利用可能にするメモリ読み取り信号を表明する。コン トローラ204は、適切な制御信号を活動化し、その結果、データ語は、算術論 理ユニット102の「a」側からシフトレジスター106を通って命令レジスタ ー206へ渡される。 制御決定は、命令レジスター206の内容に基づいて行われる。例えば、命令 は、コントローラ204に、アキュミュレータレジスター104の内容をランダ ムアクセスメモリ108における特定ロケーションの内容へ加算し、結果をアキ ュミュレータレジスター104に記憶するように指令する。コントローラ204 は、まず、ランダムアクセスメモリ108におけるそのロケーションをアドレス 指定し、データバス114へその内容を計時する。それから、コントローラ20 4は、算術論理ユニット102に、データバスにおける語をアキュミュレータレ ジスター104の内容と加算させる。結果は、シフトレジスター106から計時 され、アキュミュレータレジスター104に再び記憶される。結果が負数又はゼ ロであったならば、コントローラ204は、適切な状態ビットを状態レジスター 202にセットする。 第1図において描かれた実施態様は、マイクロプロセッサー機能を幾 つかの層へ区分化するための多数の可能性の一つを提示する。第1層200は、 コントローラ204、命令レジスター206、状態レジスター202、プログラ ムカウンターレジスター208、及びメモリアドレスレジスター210を含む。 データバス214、アドレスバス224と、制御線222、クロック、通信ポー ト、電力及び接地線を含むいろいろな離散信号は、オフチップアクセスのための ボンディングパッド226へ経路指定される。第2層100は、算術論理ユニッ ト102、アキュミュレータレジスター104、シフトレジスター106、ラン ダムアクセスメモリ108、及びバッファー116を含む。データバス114、 アドレスバス118、シフトレジスター出力バス112、及びいろいろな制御信 号を含む相互接続線は、第2層100の論理ユニットの間の相互接続を設ける。 層間接続140は、第1層200と第2層100における論理ユニットの間の 垂直通信を設ける。そのような接続は、ダイにおけるいずれかの部位に据えられ 、このため、外周部における据え付けに限定されない。それらは、クロック分布 、離散制御信号、電力及び接地供給等を含む、線が単一層に限定されて使用され るのと同じ理由で使用される。好ましい実施態様は、いろいろな応用において使 用される層間コネクタを示す。データバス114は、ボンディングパッド226 へ経路指定される如く、第1層214まで接続162される。状態レジスター2 02は、第1層200にあるが、層間コネクタ152を介して、第2層100に おけるシフトレジスター106から更新を受信する。第2層100における算術 論理ユニット102は、層間コネクタ150で、状態レジスター202から状態 語を受信する。コントローラ204は、層間コネクタ 160によって、いろいろな制御信号、クロック信号とメモリ読み出し/書き込 み信号を第2層へ供給する。アドレスバス224とシフトレジスター出力バス1 12は、それぞれ、層間コネクタ154と158の使用を通して両層へ分散され る。 層間コネクタ140のサイズは、ボンディングパッド226のサイズに関して 、かなり小さい。最小特徴サイズよりも大きくはなく、コネクタは、ダイにおい てあまり不動産を保存することなく、層間通信を容易にする。層間コネクタは、 第2図において概略的に示される。各シフトレジスター出力は、金属端子252 へ経路指定される。ホール256は、第2層を通して経路指定され、第1層にお ける金属パスを露出する。金属層254は、ホールにおいて注入され、第2結晶 層における端子252と第1層における露出金属パスの間に電気接触を設ける。 層間接続は、ダイ空間の最小損失で達成される。 第3A〜3H図は、マイクロプロセッサー回路が作製されるシリコンオンイン シュレータ(SOI)膜を形成するために使用された、孤立シリコンエピタキシ ー(ISE)プロセスを示す。任意の数の技術が、単結晶シリコンの薄膜を設け るために使用されることに注意せよ。第3A図に示されたものの如くSOI構造 は、基板300と、基板300上に成長又は堆積された絶縁体302(例えば二 酸化シリコン)を含む。シリコンの薄い単結晶層304が、絶縁体302上に形 成される。絶縁体302は、こうして、シリコン表面層の下に埋め込まれる。I SE SOI構造の場合に対して、頂部層は、実質的な単結晶シリコンであり、 これから、CMOS回路が作製される。埋め込み絶縁体の使用は、従来のバルク 材料において獲得される高速のデバイスを設ける。150万個 を超えるCMOSトランジスタを含む回路が、ISE材料において良好に作製さ れた。 第3B図に示された如く、シリコン層は、トランジスタ領域306を規定する ためにパターン化される。その後、二酸化シリコン層308が、第3C図に示さ れた如く、トランジスタ領域306上に形成される。その後、トランジスタ領域 306が、nチャネルデバイスを設けるためのホウ素又は他のp形ドーパント( 又は代替的に、pチャネルデバイスに対してn形ドーパント)を注入される。 それから、多結晶シリコン層312が、第3D図に示された如く、トランジス タ領域306上に堆積され、そして層312が、層312の抵抗率を低下させる ためにn形ドーパントを注入314され、その結果、それは、トランジスタゲー トとして使用される。その後、注入された多結晶シリコン層312は、第3E図 に見られた如く、トランジスタゲート316を形成するためにパターン化される 。これは、トランジスタのソース及びドレイン領域を設けるために、n形ドーパ ントの重い注入318によって従われる。第3F図に示された如く、二酸化シリ コン320は、トランジスタ上に形成され、そして開口322、324、326 が、二酸化シリコン320を通して形成され、第3G図において、それぞれ、ソ ース328、ゲート330とドレイン332を露出させる。第3H図において、 アルミニウム、タングステン、又は他の適切な金属334、336、338のパ ターン化金属被覆は、ソース328、ゲート330とドレイン332を他の回路 構成要素に電気的に接続する。 別の基板リリースプロセスが、ガラスに結合された処理シリコンの薄膜(0. 1〜5ミクロン)を形成するために開発された。これらの膜は 、転写の前に部分的又は完全に作製されるFETの如く能動半導体デバイスを含 む。転写のための横成長エピタキシャル膜裂開の接近方法を含む結晶化及びリリ ース手順は、参照としてここの採り入れられた米国特許第4,727,047号 においてさらに詳細に記載される。化学エピタキシャルリフトオフ(CEL)接 近方法は、参照としてここに採り入れられた、米国特許第4,846,931号 と第4,883,561号においてさらに詳細に記載される。CLEFT及びC EL技術は、基板が消費される他の接近方法と比較して低費用につながる基板の 再使用を許容する。薄膜リリース技術をSOIウェーハと組み合わせることによ り、高品質膜及び回路が、ガラス上に形成される。 第1図において、第2層膜100における作製構成要素と相互接続部は、第1 層200に対面し、そして第1層200における構成要素、相互接続部とボンデ ィングパッドは、第2層100を対面する基板220の上にある。この例は、多 層作製の単一転写プロセス方法を提示する。 単一転写プロセスにおいて、隣接層の薄膜トランジスタは、互いに対面する。 単一転写プロセスの使用によって層状にされた回路の詳細断面図が、第4A図に おいて提示される。第1層200のための基板は、絶縁層400を支持し、この 上に、複数のトランジスタ408、410を具備する論理ブロックが作製される 。第2層100は、参照としてここに採り入れられた米国特許第5,206,7 49号において詳細に記載された方法により、又は前述のCLEFT及びCEL 接近方法によって、基板から分離される。薄い二酸化シリコン層404によって 支持されて、第2層100は、そのトランジスタ412が第1層200のトラン ジスタ408、410に対面する如く反転される。それは、適正な据え 付けのために位置合せされ、伝熱性、電気絶縁性エポキシ402、例えば、ダイ アモンド含浸エポキシ、で第1層200に付着される。 エポキシが硬化された後、ホール416が、第2層100の二酸化シリコン4 04とエポキシ402を通してエッチングされ、第1層200のトランジスタ4 08の金属パッド418を露出させる。第2ホール414は、第2層100の二 酸化シリコン404を通してエッチングされ、第2層100のトランジスタ41 2の金属パッド420を露出させる。層は、図面において表現されたよりもずっ と接近しているために、ホール414、416は、幅よりも深くないことに注目 することは重要である。 ホールがエッチングされた後、金属被覆層422が、露出された金属パッド4 18、420を接続するために付着される。層間接続に限定されず、金属被覆層 422はまた、同一層において論理ブロックを相互接続するために、利用可能な 相互接続経路指定平面として使用される。パスは、第1及び第2層から金属被覆 層422まで経路指定され、金属被覆層を横切って再びそれぞれ第1又は第2層 まで経路指定される。この付加層は、必要なダイサイズを縮小しながら、より複 雑な経路指定機能を可能にし、シリコン空間はより密に効率的に使用される。 ウェーハ又はウェーハからダイシングされた個別タイルは、参照としてここに 採り入れられた1992年4月24日に提出された米国特許第07/874,5 88号において詳細に記載された如く、上層転写本体へ付着される。転写本体は 、接着剤を使用する、ガラス又は他の透明絶縁体である。好ましくは、接着剤は 、Epotek377の如くエポキシか、又は無水シクロ脂肪酸、例えば、Ma sterbond Inc .製のEP−112、から成る。接着剤は、次の特性を有することが望ましい。 ガラス、酸化物、金属、窒化物への優れた接着力 ガラス、金属、酸化物、窒化物との無反応 低収縮性 小さなそり/応力 リフトオフ、接着力の損失又は劣化なしに、拡張期間に対して100℃におい て酸に対する耐性 劣化なしに2時間少なくとも180℃に耐え、劣化、変化なしに48時間16 5℃に耐える能力 酸と溶剤への優れた抵抗 ダイシング及び加熱段階(リフトオフのない酸エッチング段階を含む)への耐 性 薄接着膜を可能にする低粘度 すべての泡を除去するための真空脱気能力 一般に、無水シクロ脂肪酸は、上記の基準のすべてを満たす。エポキシは、好 ましくは、熱膨張不一致、非常に低いイオン含有量(<5ppm)と低収縮から の応力を最小にするために低硬化温度を有する。 ウェーハ又はタイルは、接着剤を使用して、ガラス上層へ付着される。例えば 、EP112は、接着剤であり、すべての泡を除去するために真空脱気される。 このサンドイッチ構造は、その後、4〜8時間、約130℃の低温度において硬 化され、接着剤をゲル化させ、熱不一致特性を最小にする。その後、接着剤は、 約8時間、約160℃の高温度において十分に硬化される。この硬化は、ボンド が十分に成熟したことを保 証する。この硬化なしでは、接着剤は、必要な後続の酸エッチング段階までもた ない。 その後、ウェーハ又はタイルは、清浄され、そして自然酸化物118が、裏面 からエッチングされる。ウェーハは、100℃において75mlH2Oに対する 25グラムの溶液(KOH又は等価物)に入れられる。ウェーハの厚さにより、 シリコン基板をエッチングするために最高4時間かかる。溶液は、シリコンを非 常に急速に、即ち、2〜3ミクロン/分、かつ一様にエッチングする。酸は、酸 化物において非常に低いエッチング率を有し、その結果、基板がエッチングされ 、埋め込まれた酸化物が露出される時、エッチング率は低下する。KOHにおけ るシリコンエッチング率対KOHにおける酸化物エッチング率の選択性は、非常 に高い(200:1)。シリコンエッチングの一様性と組み合わされたこの選択 性は、観察者がプロセスを監視し、その上の薄いシリコン層まで穿孔することな く、埋め込まれた酸化層においてエッチングを停止することを可能にする。最高 25ミル厚のウェーハと4000Åの薄い酸化物が、このプロセスを使用して、 良好にエッチングされた。代替的なエッチャントとしては、ずっと高いエッチン グ率選択性を有するヒドラジン、又はエチレンジアミンピロカタコール(EDP )、又はテトラメチル水酸化物アンモニウムがある。 シリコンが完全に消えた時、KOHにおけるシリコンエッチングを特性付ける 活発な泡立ちが、突然停止し、エッチングが完了したことを知らせる。 それぞれのガラス上層へ転写された薄膜は、次に、水洗いされ、乾燥される。 回路をまだ設けられないならば、エポキシ接着剤は化学薬品に 対して非常に良い抵抗を有するために、膜は、所望ならば、裏面回路を処理され る。加えて、エポキシは、残留応力において非常に低く、その結果、薄膜は、非 常に平坦で、従来のフォトリソグラフィー段階で処理される。 すべての必要な回路が、上記の如く、転写本体上に形成された後、それらは、 次に、ダイシングされ、マイクロプロセッサーの如く、組み合わされた機能を行 うために、共通モジュール本体へタイル化される。 すべての回路が、登録され、モジュール本体へ粘着された後、上層は、適切な エッチャントを使用して、除去される。 回路の相互接続は、接着剤を貫通する半田バンプにより、又は直接レーザー書 き込み又は金属被覆とフォトリソグラフィーにより、あるいは必要な場合にここ で記載された如く、登録中達成される。 単一転写方法の好ましい実施態様が、第4B図において提示される。再び、第 4A図における如く、単一転写プロセスにおいて、隣接層200、100が、ト ランジスタ408、412が相互に対面する如く位置合せされる。層は、再び、 熱伝導性、電気絶縁性エポキシで付着される。第2層における二酸化シリコン4 34は、切断され、第2層100のトランジスタ412、金属パッド420と、 第1層200の金属パッド418を覆うエポキシ領域を露出させる。ホール43 0は、硬化されたエポキシ層402を通してエッチングされ、金属パッド418 を露出させる。金属被覆層432は、露出した金属パッド418、420を電気 的に接続するために使用される。この方法は、パターニングの複雑さを低減し、 第4A図によって記載された方法に比較して、金属線の長さを短縮させる。幾つ かの応用において、第4A図の方法は、構成要素から 金属層を絶縁するために使用される。 層状にする別の方法は、二重転写と呼ばれる2段階プロセスに係わる。第4C 図に示された如く、二重転写プロセスにおいて、層は、単一転写方法における如 く互いに対面するよりも、トランジスタが積み重ねられる如く位置合せされる。 二重転写プロセスにおいて、第1層200は、その基板220と二酸化シリコ ン層400によって支持される。第2層100が作製され、そして基板が、上記 のプロセスの一つ、例えば、CLEFT、CEL、によって除去される。第1及 び第2層膜の位置合せの後、エポキシ402が塗布され、硬化させられる。登録 とモジュール本体へのボンディングの後、転写本体は、適切なエッチャントによ って除去される。ホール440は、第2層の二酸化シリコン404、エポキシ4 02を通してエッチングされ、第1層200において金属パッド418を露出さ せる。第2層100のトランジスタ412のための金属パッド442は、すでに 露出されている。金属被覆層444は、露出された金属パッド418、442の 間の電気接触を設けるためにパターン化される。 諸層を接続する別の方法は、第4D図の外部相互接続である。この実施態様に おいて、垂直相互接続部444は、多層構造の外側壁445に沿って延びる。こ の特定例において、上側回路要素443は、デバイスの下側層における回路要素 455と、金属被覆要素442、444によって接続される。回路要素455は 、ボンドパッド454におけるワイヤボンド452と金属被覆線又はバス458 を通して、チップキャリヤへ接続される。回路要素455は、この実施態様にお いて、重なる回路構造によって覆われないことに注意せよ。回路要素455、4 43と関 連相互接続部を覆う不活性化層456が示される。覆われた回路要素441はま た、この外部相互接続を通して上側回路要素443へ接続される。さらに、第9 A図、第9B図と第15図に示された回路経路指定層は、外部相互接続を使用し ないことに注意せよ。これらの外部相互接続部は、二重又は単一転写構造におい て使用され、そして縦続構造において3層以上を有する構造において使用される 。 第5A図は、多層遮蔽の断面図を示す。絶縁層502は、エポキシ402が塗 布される前にトランジスタ408上に付着される。伝導性金属層504は、遮蔽 パスを設けるために、絶縁層502上にパターン化される。このパスは、第1層 200におけるトランジスタ408を、第2層100におけるトランジスタ41 2から遮蔽し、熱劣化、クロストーク、及び電磁干渉に付随した問題の脅威を減 らす。 遮蔽の別の問題が、第5B図において示される。第1層200は、導電性第2 層100によって第3層500から遮蔽される。金属層506は、第2層100 上でパターン化され、第1層200におけるトランジスタ408を、第3層50 0におけるトランジスタ412から遮蔽する。導電性第2層100は、位置合せ され、第1層200上にエポキシ樹脂で接着され、エポキシが硬化した後、第3 層500が位置合せされ、第2層100上にエポキシ樹脂で接着される。二重転 写プロセスが示されるが、単一転写プロセスもまた使用される。応用により、パ ワー又は接地が、電気遮蔽層へ印加される。 第6図は、単一転写プロセスにおいて位置合せされる第1ウェーハ200と第 2ウェーハ100を示す。第1ウェーハ200は、第2ウェーハ100における 回路要素のアレイ510に電気的に接続される個別回 路要素520のアレイを具備する。 第7図は、層化プロセスの工程流れ図である。ウェーハ膜は、最初に、ウェー ハ基板から除去される。層毎に、各膜は、位置合せされ、エポキシ樹脂で接着さ れ、その下の膜へ電気的に接続され、交互のエポキシ層554と薄膜層556の スタック552を生ずる。その後、個別多層回路558は、アレイ564から除 去され、さらに処理され、その後、実装される。 完成した多層構成が、第8図において示される。第1層600は、第2層60 2よりも面積が大きく、ボンディングパッド606へのアクセスを設けることに 注意せよ。バッファードライバー604の如く多量の熱を発生する構成要素は、 そのような構成要素によって発生された熱が、より効率的にチップから引き出さ れる如く、第1層600の周辺部に作製される。 第9A図は、諸層において位置する論理ブロックを接続する際に、中間層が付 加回路経路指定資源をいかに設けるかを示す分解斜視図である。第1層における 論理ブロックA620は、第3層における論理ブロックA’624へ接続される 。第1層における論理ブロックB622は、第3層における論理ブロックB’6 28へ接続される。すべての4つの論理ブロックが、単一層技術を使用して同一 層上に作製されたならば、経路は、非常に複雑になる。まず、論理ブロック自体 は、相互に重ね合わすことができず、第2に、相互接続線は、単一層技術におい て交差させることができない。利用可能な付加経路指定層により、相互接続線は 、分離層において交差し、そして論理ブロックは、分離層において相互に重ね合 わされる。論理ブロックA620は、層間コネクタ630の使 用により第2層まで経路指定され、そして相互接続線634は、論理ブロックA の頂部から論理ブロックA’の下を、層間コネクタ632を通って論理ブロック A’624まで経路指定される。論理ブロックB622から出る線は、層間コネ クタの使用により第2層まで経路指定される。相互接続線は、AとA’を論理ブ ロックB’628に接続する線による層間コネクタの使用により、再び第3層ま で走る。中間経路指定層の使用は、複雑な経路指定パターンによって消費される 空間を縮小しながら、分離層における論理ブロックの間の相互接続を設ける。 第9B図は、同一層において作製された2つの論理ブロックを接続する際に、 付加経路指定層がいかに使用されるかを示す。論理ブロックA640は、同一層 における論理ブロックB642と通信するが、ブロックA640とB642は、 論理ブロックC644によって分離される。単一層の文脈において、信号は、論 理ブロックC644の回りに経路指定されなければならない。多層の文脈におい て、信号は、論理ブロックC650から層間コネクタ648を介して論理ブロッ クB642まで直接に続く層間コネクタ646の使用により、A640から第2 層まで経路指定される。 個別論理ブロックは、幾つかの層上に作製され、層間コネクタは、論理ブロッ クの副構成要素の間の相互接続を設ける。例えば、8ビットシフトレジスターは 、単一層において作製される代わりに、1ビットを各層において、8層へ区分化 され、又は2ビットを各層において、4層へ区分化される如く作製される。これ は、論理ブロックを据え付け、相互接続経路指定のための空間を解放する際によ り大きな柔軟性を与える。 第10図に示された如く、ランダムアクセスメモリの付加層又は数層 は、多層マイクロプロセッサーの頂部に積み重ねられる。アドレスバス252、 データバス254、及び制御バス250は、層間コネクタの使用により、ランダ ムアクセスメモリまで経路指定される。この構成は、メモリアクセス時間を縮小 させ、全システムの速度を増大させる。 多層マイクロプロセッサーはまた、第11図に示された如く、ビットスライス フォーマットにおいて構成される。ビットスライスコントローラは、第1層20 0上に作製される。第2層100は、データバスの最下位ビットとそのサポート 論理を具備する。各付加層690は、データバスの幅を付加する。例えば、第2 層100が4データビットを具備し、各付加層が第2層100に類似するならば 、各付加層690は、4ビットだけデータバスを拡幅させ、2つの層は8ビット 機械を設け、3つの層は12ビット機械を設ける等である。 この技術はまた、マルチプロセッサー環境において使用される。第12図は、 並列処理応用において使用される一つの潜在的なマルチプロセッサーの実施態様 であるスタックトマイクロプロセッサーとランダムアクセスメモリアレイを示す 。第1層700は、第2層においてランダムアクセスメモリ702を共有するマ イクロプロセッサーであり、この別のマイクロプロセッサー704は、ランダム アクセスメモリの上に位置する。マイクロプロセッサー704の上に、付加対の マイクロプロセッサー706が、それら702の間に位置するランダムアクセス メモリを共有する。付加的なランダムアクセスメモリ714は、大域記憶のため に使用され、共通データバス718と共通アドレスバス720によってすべての マイクロプロセッサーに対してアクセスされる。アドレス720とデータ718 のバスは、層間コネクタの使用により、スタックを通 して垂直に走る。この構成は、信号処理応用において使用される。 プログラマブル論理アレイは、多層マイクロプロセッサーと外界の間の通信を 設けるために使用される。第13図において、プログラマブル論理アレイ802 は、第1層800上に作製される。第2層804と第3層806は、多層マイク ロプロセッサーを具備し、第4層808上にランダムアクセスメモリを有する。 プログラマブル論理層802は、マイクロプロセッサーとオフチップ資源の間の 使用者定義通信プロトコルを設けるようにプログラムされる。アレイ802は、 ここで記載された如く、多層構造の層のいずれかにおいて形成される。 第14図に示された如く、発光ダイオードアレイは、多層構成において頂部層 として取り付けられる。x軸ドライバー回路826は、第2層において作製され 、層間コネクタ834の使用により、LEDアレイへ接続される。同様にしてy 軸ドライバー回路828は、層間コネクタ832によってLEDアレイ層828 へ接続される。ドライバー回路は、データバス824から表示される情報を受信 する。好ましい実施態様において、これらのLED要素又はアレイは、統合ディ スプレイを形成するために使用され、あるいは代替的に、構造の諸層を光学的に 相互接続するためにファイバーオプティックスとともに使用される。LED要素 、アレイ及び回路要素を形成するために転写されるバルク又は薄膜III−V半導 体材料の作製は、参照としてここに採り入れられた1991年1月18日に提出 された米国第07/643,552号において詳細に記載される。シリコン構造 においてGaAsを含む複合構造の形成はまた、上記の参照された出願において 記載される。 膜セグメントは、単一層の頂部において並べて配置される。第15図 において、セグメント902と904は、セグメント900の上に層状にされる 。セグメントは、エポキシ層の上に直接にエッチングされた金属被覆パス910 の使用により直接に通信するか、又はそれらは、層間コネクタ908の使用によ り通信する。別のセグメント906は、層間コネクタ912によりセグメント9 02と904を架橋し、上側及び下側セグメントの間に通信を設ける。 メモリ回路と論理回路は、第15図に示された如く、単一基板への2層以上の 転写を含む、多数のプロセスによって形成及び転写される。そのような単一転写 プロセスにおける基本段階は、Si基板において複数の薄膜Si回路の形成と、 タイルを形成するための薄膜のダイシングと、「タイル化」による共通モジュー ル基板へのタイルの転写である。タイル化は、転写する段階と、転写されたタイ ルを登録する段階と、登録されたタイルを粘着する段階とを含む。それから、S i基板は、除去され、そしてタイル上の回路が相互接続される。 第4C図に関連して詳細に記載された二重転写接近方法は、Si基板がダイシ ングの後に除去され、そして薄膜が、共通モジュール本体への最終的な転写の前 に、中間転写本体又はキャリヤへ転写されることを除いて、類似する。 異なるエッチング率を有する随意的なリリース層が、転写プロセスにおいて使 用されることに注意せよ。例えば、窒化シリコン(S34)と二酸化シリコン( SiO2)の混合物を具備する酸化−窒化シリコンリリース層が、適切な選択で ある。そのような層は、SiO2単独よりも、フッ化水素酸においてより低速に エッチングされる。このエッチング率は、酸化−窒化シリコン(SiOxy)化 合物においてNとOの比率 を調整することにより制御される。 それから、シリコンの薄い本質的な単結晶層が、リリース層上に形成される。 酸化物(又は絶縁体)は、こうして、Si表面層の下に埋め込まれる。ISE SOI構造の場合に対して、頂部層は、CMOS回路が作製される本質的に単結 晶の再結晶化シリコンである。 本出願の目的に対して、用語「本質的な」単結晶は、大多数の結晶が共通結晶 配向を示し、少なくとも0.1cm2、好ましくは、0.5〜1.0cm2以上の 範囲において、膜の平面における断面積に広がる膜を意味する。用語はまた、完 全な単結晶Siを含む。 デバイスが処理され、回路層が試験され、必要に応じて修復された後、プロセ スにおける次の段階は、シリコンピクセル回路膜を共通モジュールに直接に、又 は基板からキャリヤと共通モジュールへ二重転写により転写することである。二 重転写接近方法は、参照としてここに採り入れられた1992年4月24日に提 出された米国第07/874,588号において詳細に示され、記載される。 回路の層間の接続を行うために、開口又はブァイアホールが、適切なエッチャ ントによって規定され、2つの回路層において接触領域を露出させる。酸化物の すべては、マスクとしてフォトレジストを使用して、バッファーHFにおいてエ ッチングされ、一方、接着剤は、酸素プラズマにおいて、又はマスクとして以前 にエッチングされた酸化物を使用して、反応性イオンエッチング(RIE)によ りエッチングされる。RIEを使用する時、側壁が、垂直に作られ、ホールが完 全に満たされる。いったんこれらのブァイアホールがボンディング層において開 放されたならば、それらは、層間を接触させるために、金属で満たされる。層間 の相互接続は、本出願において詳細に説明される。重ねた回路の間の接着層は、 非常に薄く保たれ、層間の接触が可能であるために数ミクロン厚にされなければ ならない。接着層は、1〜50ミクロン、好ましくは1〜5ミクロンでなければ ならない。プロセスは、付加層をデバイスに付加するために繰り返される。 3次元構造における各回路の性能特性は、回路が配設された媒体の導電率に関 連される。本方法を使用して準備された回路は、相互コンダクタンスと駆動電流 が、環境空気にさらされた時よりも、回路がエポシキの下に埋め込まれた時、よ り高くなることを示す。この効果は、エポシキにおいて埋め込まれた回路に対す る加熱効果を低減させる空間に関するエポシキの5.4倍大きな熱伝導率によっ て説明される。担体移動度は、回路の温度が高くなる時、減少し、そして性能は 、担体移動度に直接に関連されることが注目される。こうして、高導電性エポキ シにおける周囲回路は、改良性能特性につながるデバイス温度の低下を設ける。 表Iは、使用される多数の異なる材料の幾つかの熱伝導率を比較する。 多数の熱伝導性/電気絶縁性エポキシが利用可能である。Castall、T racon、Masterbond、及びEpotekは、す べて、多数の熱伝導性エポキシのバージョンを作成する。最高伝導率は、アルミ ナと窒化アルミニウムを含むいろいろな材料でエポキシ樹脂を充填することによ り達成される。日立はまた、ダイアモンド充填エポキシを作成する。すべてのア ルミナ及び窒化アルミニウム充填エポキシは、フィラーとして使用された伝導性 粒子のために、不透明である。それらは、室温又は高温において硬化される。窒 化アルミニウム充填エポキシは、−3.6(W-1)(m-1)(°K-1)の熱伝導 率を有する。酸化アルミニウム充填エポキシは、1.44〜21.6の範囲であ る。ダイアモンド充填エポキシが、最良である。これらの充填エポキシは、最高 250°の温度を収容するように作られる。窒化アルミニウム粒子サイズは、5 μm以上である。酸化アルミニウム粒子サイズは、ずっと小さくされ、そのため 、ボンドラインの細線化が可能である。商品名としては、Masterbond EP21、Supreme 10、Tracon 2151、Castall E340シリーズ、Epotek H62、H70Eが挙げられる。また、炭 化シリコン充填エポキシが使用される。 サンプルされた充填エポキシは、非常に細い(<5μm)のボンドラインを獲 得するのが困難である如く、一般に粘性の不透明のペーストであることが注目さ れる。0.85〜1.44(W)(m-1)(°K-1)範囲における媒体熱コンダ クタンスは、充填剤なしに達成される。これらのエポキシは、わずかに低い粘度 であり、より薄くされ、コンダクタンスが十分に高いならば好ましい。別のオプ ションは、熱除去を促進するために、薄ダイヤモンド状膜又は伝導性セラミック 状窒化アルミニウムでデバイスを被覆するものである。これは、エポキシに対す る熱コン ダクタンス基準を減少させ、層間の相互接続のために必要な薄いボンドラインを 達成するために、低粘度エポキシの使用を可能にする。 3次元回路の形成における一つの重要な見地は、層化デバイスを相互接続する ことを含む。そのような回路において、デバイス層の間に配設されたエポキシは 、数ミクロンの厚さを獲得するためにスピンされることが注目される。代替的に 、他の公知の技術は、エポキシの薄い一様な層を獲得するために使用される。ブ ァイアホールが、低接触領域へのアクセスを獲得するために、上側接触領域を通 して形成される。高アスペクト比を有するブァイアホールを形成するためのエッ チングは、RIE技術によって行われる。上側及び下側デバイスの間の電気接触 は、タングステン又はアルミニウムの如く導電性材料でブァイアホール1022 を充填することにより作られる。 3次元回路の別の重要な見地は、デバイスの間の非所望の電気干渉を避けるた めに、デバイス層を遮蔽することを含む。接地平面は、デバイス層の間に位置付 けられ、電気干渉を防止する。これらの導電性接地平面は、金属で、又はデバイ スと反対側の酸化層1032の表面におけるITO堆積により作成される。代替 的に、接地平面は、導電性エポキシ、高ドープされたシリコン、又はデバイス層 の代わりをするセラミック又は炭化シリコン層、あるいは積み重ね構造における セラミック又は炭化シリコン層を形成される。 隣接層におけるトランジスタの如く、デバイス層を相互接続するための代替プ ロセスは、第16A〜16F図において提示される。第16A図において、トラ ンジスタ及び/又は他の受動又は能動回路要素920が、第1層918において 形成される。第1デバイス層918は、基板 924、絶縁層926、及び回路要素が形成される回路層を具備する。トランジ スタ922は、第4A図に関連して記載された単一転写プロセスを使用して、第 1層に結合された第2デバイス層916において形成される。単一転写プロセス において、トランジスタ922は、二酸化シリコン層930によって支持され、 上記の如くエポキシ928を使用して、第1層918に結合される。 第16B図に示された如く、小開口932が、トランジスタ920の金属パッ ド920Aのすぐ上の二酸化シリコン層930において形成される。マスクとし て二酸化シリコン層930を使用して、異方性エッチャントが、第16C図に示 された如く、トランジスタ920の金属パッド920Aを露出するために使用さ れる。二酸化シリコン930は、第16D図に示された如く、2回切込まれ、第 2層トランジスタ922の金属パッド922A上にホール934を形成し、ホー ル932上に拡幅領域936を露出させる。第16E図において、トランジスタ 920の金属パッド920A上のホール936は、電気及び熱伝導性のエポキシ 938で充填される。エポキシは、所望レベルの電気及び熱伝導率を設けるため に銀を分散させている。第16F図において、金属被覆層940は、導電性エポ キシ938とホール934の上に形成される。この金属被覆層940は、第1層 トランジスタ920の金属パッド920Aと第2層トランジスタ922の金属パ ッド922Aの間の電気接触を設ける。 この方法は、隣接又は多重積み重ねデ バイス又は経路指定層を相互接続するために使用され、又は隣接層におけるボン ディングパッドへデバイスを接続するために使用される。この方法は、改良機械 及び熱的特性を有する高収量のデバイス層を相互接続するための単純な安価なプ ロセスを設ける。 等価物 発明が好ましい実施態様を参照して詳細に示され記載されたが、技術における 当業者には、必要に応じて、相互の組み合わせにおいて開示された実施態様のす べての使用を含む形態と詳細における多様な変形が、添付のクレイムによって記 載された発明の精神と範囲に反することなく、行われることが理解される。
【手続補正書】特許法第184条の8 【提出日】1995年9月8日 【補正内容】 請求の範囲 1.半導体材料において形成された第1データプロセッサー回路層(200) と、 半導体材料において形成された第2データプロセッサー回路層(100)と、 第1回路層(200)と第2回路層(100)の間に位置付けられ、それらを固 着する接着層(402)と、 第1データプロセッサー回路層(200)と第2データプロセッサー回路層(1 00)の間にデータプロセッサー信号を導くために、接着層を貫通している相互 接続部(140、432)とを具備するデータ処理デバイス。 2.第1回路層(200)が、データ処理動作を制御するためにコントローラ (204)を具備し、そして第2回路層(100)が、相互接続部(140)を 通してコントローラ(204)から送信された制御信号によって制御される論理 ユニット(102)を具備する請求の範囲1に記載のデータ処理デバイス。 3.第1回路層(200)が、コントローラ(204)を具備し、そして第2 回路層が、コントローラ(204)によって制御されるように適合された発光デ バイス(840)を具備する先行する請求の範囲のいずれか一つに記載のデータ 処理デバイス。 4.第1回路層(200)が、第1データ処理動作を行うコントローラ(20 4)及び論理ユニット(102)を具備し、そして第2回路層(100)が、第 2データ処理動作を行うコントローラ(204)及び論理ユニット(102)を 具備し、相互接続部(140)が、デバイス が並列処理テバイスとして動作する如く、第1層(200)と第2層(100) の間の通信を可能にする先行する請求の範囲のいずれか一つに記載のデータ処理 デバイス。 5.信号を導く第1及び第2回路層に平行な平面において相互接続部(634 、650)を有する第1層(200)と第2層(100)の間に回路経路指定層 をさらに具備する先行する請求の範囲のいずれか一つに記載のデータ処理デバイ ス。 6.第1回路層(200)又は第2回路層(100)が、メモリデバイス(8 08)をさらに具備する先行する請求の範囲のいずれか一つに記載のデータ処理 デバイス。 7.第1回路(200)又は第2回路(100)が、算術論理ユニット(80 6)を具備する先行する請求の範囲のいずれか一つに記載のデータ処理デバイス 。 8.第2回路層(100)との相互接続部(140)によって接続された第3 回路層(690、806、840)をさらに具備し、相互接続部(140)が、 第2回路層(100)に第3回路層(690、806、840)を固着する第2 接着層(402)を貫通する先行する請求の範囲のいずれか一つにデータ処理デ バイス。 9.接着層(402)が、ポリマー材料を具備する先行する請求の範囲のいず れか一つに記載のデータ処理デバイス。 10.接着層(402)が、熱伝導性エポキシを具備する先行する請求の範囲 のいずれか一つに記載のデータ処理デバイス。 11.接着層(402)が、さらに微粒子フィラーを具備する先行する請求の 範囲のいずれか一つに記載のデータ処理デバイス。 12.微粒子フィラーが、金属粒子を具備する請求の範囲11に記載のデータ 処理デバイス。 13.半導体材料の第1層(200)においてデータプロセッサーの第1回路 を形成することと、 半導体材料の第2層(100)においてデータプロセッサーの第2回路を形成す ることと、 ボンディング層(402)で第1層(100)に第2層(200)を結合するこ とと、 データプロセッサー信号が第1データプロセッサー回路(200)と第2データ プロセッサー回路(100)の間に導かれるように、ボンディング層(402) を貫通している相互接続部(140、432)により、第1データプロセッサー 回路(200)と第2データプロセッサー回路(100)を接続することとを含 むデータプロセッサーを作製する方法。 14.第1回路(200)においてコントローラ(204)を形成する段階と 、 第2回路(100)において発光デバイス(840)を形成する段階とをさらに 具備する請求の範囲13に記載の方法。 15.ボンディングの段階の後にボンディング層(402)を硬化させる段階 をさらに具備し、この場合、相互接続する段階が、 第1回路と第2回路を電気的に接続するための金属被覆層(432、444)を 付着することによって置き換えられ、金属被覆層(432、444)は、第2層 (100)から第1層(200)に流れる請求の範囲13又は14に記載の方法 。 16.基板(220)において第2回路層(100)を形成する段階と、 接続する段階の前に第2層(100)から基板(220)を除去する段階とをさ らに具備する請求の範囲13〜15のいずれか一つに記載の方法。 17.単一転写プロセスにより、第2回路層(100)へ第1回路層(200 )を転写する段階をさらに具備する請求の範囲13〜16のいずれか一つに記載 の方法。 18.基板(220)上に第2回路層(100)を形成する段階と、転写本体 へ第2層(100)を転写する段階と、 接続する段階の前に、第2層(100)から転写本体を除去する段階とをさらに 具備する請求の範囲13〜17のいずれか一つに記載の方法。 19.二重転写プロセスにより、第1回路層(200)を第2回路層(100 )に転写する段階をさらに具備する請求の範囲13〜18のいずれか一つに記載 の方法。 20.データプロセッサー信号が、回路経路指定層(634、650)に沿っ て第1及び第2回路の間に導かれる如く、第1回路層(200)と第2回路層( 100)の間に回路経路指定層(634、650)を形成する段階をさらに具備 する請求の範囲13〜19のいずれか一つに記載の方法。 21.回路経路指定層(634、650)の上に複数の第2回路層(906、 904)をタイル化する段階をさらに具備する請求の範囲20に記載の方法。 22.第1回路層(900)の上に複数の第2回路層(906、90 4)をタイル化する段階をさらに具備する請求の範囲13〜21のいずれか一つ に記載の方法。 23.第2回路層(100)の上に第3回路層(690、806、840)を 形成することをさらに具備する請求の範囲13〜22のいずれか一つに記載の方 法。 24.第1回路層(200)と第2回路層(100)の上に複数の付加回路層 (690、806、706)を形成することをさらに具備する請求の範囲13〜 23のいずれか一つに記載の方法。 25.第1回路層(200)と第2回路層(100)の上に複数の付加回路層 (690、806、706)を形成することをさらに具備する請求の範囲13〜 24のいずれか一つに記載の方法。 26.第1回路(200)又は第2回路(100)においてコントローラ(2 04)を形成することをさらに具備する請求の範囲13〜25のいずれか一つに 記載の方法。 27.第1回路(200)又は第2回路(100)においてメモリデバイス( 808)を形成することをさらに具備する請求の範囲13〜26のいずれか一つ に記載の方法。 28.ポリマー接着剤(402)により、第2回路層(100)へ第1回路層 (200)を結合することをさらに具備する請求の範囲13〜27のいずれか一 つに記載の方法。 29.半導体材料の第1層(200)が、バルク半導体ウェーハ(220)を 具備する請求の範囲13〜28のいずれか一つに記載の方法。 30.第1回路層(200)又は第2回路層(100)が、シリコンを具備す る請求の範囲13〜29のいずれか一つに記載の方法。 31.第1回路層(200)又は第2回路層(100)が、III−V材料を具 備する請求の範囲13〜30のいずれか一つに記載の方法。 32.シリコンオンインシュレータ(SOI)膜を層(200、100)に形 成する段階をさらに具備する請求の範囲13〜31のいずれか一つに記載の方法 。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ブ,ドウイ−フアチ アメリカ合衆国マサチユセツツ州02780ト ーントン・ベイストリート1559・ナンバー 54 (72)発明者 デイングル,ブレンダ アメリカ合衆国マサチユセツツ州02048マ ンスフイールド・ローンデイルロード142

Claims (1)

  1. 【特許請求の範囲】 1.半導体材料において形成された第1回路層であり、データ処理動作を制御 するために第1回路層においてコントローラを有する第1回路層と、 半導体材料において形成された第2回路層であり、コントローラと接続され、か つコントローラによって制御される第2回路層における論理ユニットを有する第 2回路層と、 第1回路層と第2回路層の間に位置付けられ、それらを固着する接着層であり、 コントローラと論理ユニットの間に制御信号を導くために、第1回路層と第2回 路層の間の相互接続部を有する接着層とを具備するデータ処理デバイス。 2.第1回路層が、さらに、メモリを具備する請求の範囲1に記載のデータ処 理デバイス。 3.第2回路層が、さらに、メモリを具備する請求の範囲1に記載のデータ処 理デバイス。 4.第2回路層との相互接続部によって接続された第3回路層をさらに具備し 、相互接続部は、第3回路層を第2回路層に固着する第2接着層を貫通する請求 の範囲1に記載のデータ処理デバイス。 5.接着層が、ポリマー材料を具備する請求の範囲1に記載のデータ処理デバ イス。 6.接着層が、熱伝導性エポキシを具備する請求の範囲1に記載のデータ処理 デバイス。 7.接着層が、さらに微粒子フィラーを具備する請求の範囲1に記載のデータ 処理デバイス。 8.微粒子フィラーが、金属粒子を具備する請求の範囲7に記載のデータ処理 デバイス。 9.論理ユニット回路が、算術論理ユニットを具備する請求の範囲1に記載の データ処理デバイス。 10.半導体材料の第1層においてデータプロセッサーの第1回路を形成する ことと、 半導体材料の第2層においてデータプロセッサーの第2回路を形成することと、 ボンディング層で第1層に第2層を結合することと、 データプロセッサー制御信号が第1データプロセッサー回路から第2データプロ セッサー回路に導かれるように、ボンディング層を貫通する相互接続部により、 第1データプロセッサー回路を第2データプロセッサー回路に接続することとを 含むデータプロセッサーを作製する方法。 11.第1回路又は第2回路においてコントローラを形成することをさらに具 備する請求の範囲10に記載の方法。 12.第1回路又は第2回路においてメモリを形成することをさらに具備する 請求の範囲10に記載の方法。 13.ポリマー接着剤により、第1回路層を第2回路層に結合することをさら に具備する請求の範囲10に記載の方法。 14.絶縁基板における薄膜半導体層において第2回路を形成することをさら に具備する請求の範囲10に記載の方法。 15.半導体層の第1層へ薄膜半導体層と付着絶縁層を転写することをさらに 具備する請求の範囲14に記載の方法。 16.半導体材料の第1層が、バルク半導体ウェーハを具備する請求 の範囲10に記載の方法。 17.第1回路層又は第2回路層が、シリコンを具備する請求の範囲10に記 載の方法。 18.第1回路層又は第2回路層が、III−V材料を具備する請求の範囲10 に記載の方法。 19.第2回路層の上に第3回路層を形成することをさらに具備する請求の範 囲10に記載の方法。 20.第1及び第2回路層の上に複数の付加回路層を形成することをさらに具 備する請求の範囲10に記載の方法。 21.半導体材料において形成された第1回路層であり、データ処理動作を制 御するために第1回路層においてコントローラを有する第1回路層と、 半導体材料において形成された第2回路層であり、コントローラと接続され、か つコントローラによって制御される第2回路層における発光デバイスを有する第 2回路層と、 第1回路層と第2回路層の間に位置付けられ、それらを固着する接着層であり、 コントローラと論理発光デバイスの間に制御信号を導くために、第1回路層と第 2回路層の間の相互接続部を有する接着層とを具備する光電子データ処理デバイ ス。 22.第1回路層が、さらに、メモリを具備する請求の範囲21に記載のデー タ処理デバイス。 23.第2回路層が、さらに、メモリを具備する請求の範囲21に記載のデー タ処理デバイス。 24.第1回路層との相互接続部によって接続された第3回路層をさ らに具備し、相互接続部は、第3回路層を第1回路層に固着する接着層を貫通す る請求の範囲21に記載のデータ処理デバイス。 25.接着層が、ポイマー材料を具備する請求の範囲21に記載のデータ処理 デバイス。 26.接着層が、熱伝導性エポキシを具備する請求の範囲21に記載のデータ 処理デバイス。 27.接着層が、さらに微粒子フィラーを具備する請求の範囲21に記載のデ ータ処理デバイス。 28.微粒子フィラーが、金属粒子を具備する請求の範囲27に記載のデータ 処理デバイス。 29.第1回路層が、算術論理ユニットを具備する請求の範囲21に記載のデ ータ処理デバイス。 30.半導体材料の第1層においてデータプロセッサーの第1回路を形成する ことと、 半導体材料の第2層において発光デバイスを形成することと、 ボンディング層で第1層に第2層を結合することと、 データプロセッサー制御信号が第1データプロセッサー回路から発光デバイスに 導かれるように、ボンディング層を貫通する相互接続部により、発光デバイスと 第1データプロセッサー回路を接続することとを含むデータプロセッサーを作製 する方法。 31.第1回路においてコントローラを形成することをさらに具備する請求の 範囲30に記載の方法。 32.第1回路においてメモリを形成することをさらに具備する請求の範囲3 0に記載の方法。 33.ポリマー接着剤により、第1回路層を第2回路層に結合することをさら に具備する請求の範囲30に記載の方法。 34.絶縁基板における薄膜半導体層において発光デバイスを形成することを さらに具備する請求の範囲30に記載の方法。 35.半導体層の第1層へ薄膜半導体層と付着絶縁層を転写することをさらに 具備する請求の範囲30に記載の方法。 36.半導体材料の第1層が、バルク半導体ウェーハを具備する請求の範囲3 0に記載の方法。 37.第1回路層が、シリコンを具備する請求の範囲30に記載の方法。 38.第1回路層又は第2回路層が、III−V材料を具備する請求の範囲30 に記載の方法。 39.第2回路層の上に第3回路層を形成することをさらに具備する請求の範 囲30に記載の方法。 40.第1及び第2回路層の上に複数の付加回路層を形成することをさらに具 備する請求の範囲30に記載の方法。 41.半導体材料において形成された第1回路層であり、データ処理動作を制 御及び実施するために第1回路層においてコントローラと論理ユニットを有する 第1回路層と、 半導体材料において形成された第2回路層であり、第2データ処理動作を制御及 び実施するために第2回路において第2コントローラと第2論理ユニットを有す る第2回路層と、 第1回路層と第2回路層の間に位置付けられ、それらを固着する接着層であり、 第1回路層と第2回路層の間に制御信号を導くために、第1回 路層と第2回路層の間の相互接続部を有する接着層とを具備する並列処理デバイ ス。 42.第1回路層が、さらに、メモリを具備する請求の範囲41に記載の処理 デバイス。 43.第2回路層が、さらに、メモリを具備する請求の範囲41に記載のデー タ処理デバイス。 44.第2回路層との相互接続部によって接続された第3回路層をさらに具備 し、相互接続部は、第3回路層を第2回路層に固着する第2接着層を貫通する請 求の範囲41に記載のデータ処理デバイス。 45.接着層が、ポイマー材料を具備する請求の範囲41に記載の処理デバイ ス。 46.接着層が、熱伝導性エポキシを具備する請求の範囲41に記載の処理デ バイス。 47.接着層が、さらに微粒子フィラーを具備する請求の範囲41に記載の処 理デバイス。 48.微粒子フィラーが、金属粒子を具備する請求の範囲47に記載の処理デ バイス。 49.各論理ユニット回路が、算術論理ユニットを具備する請求の範囲47に 記載の処理デバイス。 50.半導体材料において形成された第1回路層であり、データ処理動作を制 御するために第1回路層においてコントローラを有する第1回路層と、 半導体材料において形成された第2回路層であり、コントローラと接続され、か つコントローラによって制御される第2回路層における論理ユ ニットを有する第2回路層と、 第1回路層と第2回路層の間に位置付けられた回路経路指定層であり、第1回路 層と第2回路層の間に信号を導く第1回路層に平行な平面において相互接続部を 有する回路経路指定層と、 第1回路層と第2回路層の間に位置付けられ、それらを固着する接着層であり、 第1回路層と第2回路層の間に信号を導くために、第1回路層、経路指定層及び 第2回路層の間に相互接続部を有する接着層とを具備する多層データ処理デバイ ス。 51.第1回路層が、さらに、メモリを具備する請求の範囲50に記載のデー タ処理デバイス。 52.第2回路層が、さらに、メモリを具備する請求の範囲50に記載のデー タ処理デバイス。 53.第2回路層との相互接続部によって接続された第3回路層をさらに具備 し、相互接続部は、第3回路層を第2回路層に固着する第2接着層を貫通する請 求の範囲50に記載のデータ処理デバイス。 54.接着層が、ポイマー材料を具備する請求の範囲50に記載のデータ処理 デバイス。 55.接着層が、熱伝導性エポキシを具備する請求の範囲50に記載のデータ 処理デバイス。 56.論理ユニット回路が、算術論理ユニットを具備する請求の範囲50に記 載のデータ処理デバイス。 57.半導体材料の第1層においてデータプロセッサーの第1回路を形成する ことと、 回路経路指定層を形成し、回路経路指定層を第1回路層に結合すること と、 半導体材料の第2層においてデータプロセッサーの第2回路を形成することと、 ボンディング層で回路経路指定層に第2層を結合することと、 データプロセッサー制御信号が第1データプロセッサー回路から第2データプロ セッサー回路に導かれるように、回路経路指定層に沿ってボンディング層を貫通 する相互接続部により、第2データプロセッサー回路と第1データプロセッサー 回路を接続することとを含むデータプロセッサーを作製する方法。 58.第1回路又は第2回路においてコントローラを形成することをさらに具 備する請求の範囲57に記載の方法。 59.第1回路又は第2回路においてメモリを形成することをさらに具備する 請求の範囲57に記載の方法。 60.ポリマー接着剤により、第1回路層を第2回路層に結合することをさら に具備する請求の範囲57に記載の方法。 61.回路経路指定層の上に複数の第2回路層をタイル化することをさらに具 備する請求の範囲57に記載の方法。
JP7510460A 1993-09-30 1994-09-29 転写薄膜回路を使用した3次元プロセッサー Ceased JPH09503622A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13003393A 1993-09-30 1993-09-30
US08/130,033 1993-09-30
PCT/US1994/011074 WO1995009438A1 (en) 1993-09-30 1994-09-29 Three-dimensional processor using transferred thin film circuits

Publications (1)

Publication Number Publication Date
JPH09503622A true JPH09503622A (ja) 1997-04-08

Family

ID=22442736

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7510460A Ceased JPH09503622A (ja) 1993-09-30 1994-09-29 転写薄膜回路を使用した3次元プロセッサー

Country Status (5)

Country Link
US (4) US5793115A (ja)
EP (2) EP0721662A1 (ja)
JP (1) JPH09503622A (ja)
CA (1) CA2173123A1 (ja)
WO (1) WO1995009438A1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999044236A1 (en) * 1998-02-27 1999-09-02 Seiko Epson Corporation Method of manufacturing three-dimensional device
WO1999045593A1 (en) * 1998-03-02 1999-09-10 Seiko Epson Corporation Three-dimensional device
JP2002110907A (ja) * 2000-07-31 2002-04-12 Hynix Semiconductor Inc 半導体素子及びその製造方法
US6452259B2 (en) 1997-12-03 2002-09-17 Rohm Co., Ltd. Stacked substrate and semiconductor device
US6858872B2 (en) 2002-06-18 2005-02-22 Seiko Epson Corporation Optical interconnection integrated circuit, method of manufacturing optical interconnection integrated circuit, electro-optical apparatus, and electronic apparatus
US6858518B2 (en) 2001-12-28 2005-02-22 Seiko Epson Corporation Method for manufacturing semiconductor integrated circuit
JP2006522461A (ja) * 2002-12-20 2006-09-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 3次元デバイスの製造方法
US7180924B2 (en) 2002-05-30 2007-02-20 Seiko Epson Corporation Semiconductor apparatus and a semiconductor unit, the semiconductor unit including a functional layer including a semiconductor element, and a highly conductive layer
US7244662B2 (en) 2001-12-27 2007-07-17 Seiko Epson Corporation Method for manufacturing semiconductor integrated circuit
JP2008028407A (ja) * 1997-04-04 2008-02-07 Glenn J Leedy 情報処理方法
US7368754B2 (en) 2002-06-10 2008-05-06 Seiko Epson Corporation Semiconductor integrated circuit, signal transmitting device, electro-optical device, and electronic apparatus
US7435998B2 (en) 2002-06-20 2008-10-14 Seiko Epson Corporation Semiconductor device, method of manufacturing the same, electro-optic device and electronic apparatus with a protective film
US7687838B2 (en) 2004-11-02 2010-03-30 Samsung Electronics Co., Ltd. Resistive memory device having array of probes and method of manufacturing the resistive memory device
JP2011029663A (ja) * 2003-12-16 2011-02-10 Intel Corp 装置及びプロセッサー
JP2012511263A (ja) * 2008-12-10 2012-05-17 クアルコム,インコーポレイテッド 3dマイクロアーキテクチャのシステムにおいて結合する平行プレーンメモリおよびプロセッサ

Families Citing this family (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6330164B1 (en) * 1985-10-18 2001-12-11 Formfactor, Inc. Interconnect assemblies and methods including ancillary electronic component connected in immediate proximity of semiconductor device
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
CA2173123A1 (en) * 1993-09-30 1995-04-06 Paul M. Zavracky Three-dimensional processor using transferred thin film circuits
US5880010A (en) * 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
US5834327A (en) * 1995-03-18 1998-11-10 Semiconductor Energy Laboratory Co., Ltd. Method for producing display device
JP3713088B2 (ja) * 1996-02-19 2005-11-02 ローム株式会社 表示装置
US5682065A (en) * 1996-03-12 1997-10-28 Micron Technology, Inc. Hermetic chip and method of manufacture
US5972780A (en) * 1996-08-22 1999-10-26 Nippon Telegraph Telephone Corporation Thin film forming apparatus and method
CH691097A5 (fr) * 1997-02-17 2001-04-12 Em Microelectronic Marin Sa Plaquette à gravure comprenant des circuits optoélectroniques et procédé de vérification de cette plaquette.
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6898101B1 (en) * 1997-12-16 2005-05-24 Cypress Semiconductor Corp. Microcontroller with programmable logic on a single chip
JPH11204742A (ja) * 1998-01-20 1999-07-30 Sony Corp メモリ及び情報機器
US6198168B1 (en) 1998-01-20 2001-03-06 Micron Technologies, Inc. Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same
NO308149B1 (no) * 1998-06-02 2000-07-31 Thin Film Electronics Asa Skalerbar, integrert databehandlingsinnretning
CN1171301C (zh) 1998-01-28 2004-10-13 薄膜电子有限公司 产生和擦除导电和半导电结构的方法及电场发生器调制器
JP3715816B2 (ja) * 1999-02-18 2005-11-16 ローム株式会社 半導体チップ
US6150188A (en) 1998-02-26 2000-11-21 Micron Technology Inc. Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same
US6090636A (en) * 1998-02-26 2000-07-18 Micron Technology, Inc. Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same
WO1999066556A1 (de) * 1998-06-16 1999-12-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vertikal integriertes mikroelektronisches system und verfahren zur herstellung
US6664628B2 (en) 1998-07-13 2003-12-16 Formfactor, Inc. Electronic component overlapping dice of unsingulated semiconductor wafer
US6586835B1 (en) * 1998-08-31 2003-07-01 Micron Technology, Inc. Compact system module with built-in thermoelectric cooling
US6219237B1 (en) 1998-08-31 2001-04-17 Micron Technology, Inc. Structure and method for an electronic assembly
US6392296B1 (en) 1998-08-31 2002-05-21 Micron Technology, Inc. Silicon interposer with optical connections
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6049465A (en) * 1998-09-25 2000-04-11 Advanced Micro Devices, Inc. Signal carrying means including a carrier substrate and wire bonds for carrying signals between the cache and logic circuitry of a microprocessor
US7157314B2 (en) 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6122187A (en) 1998-11-23 2000-09-19 Micron Technology, Inc. Stacked integrated circuits
AU764850B2 (en) * 1998-12-04 2003-09-04 Thin Film Electronics Asa Scalable data processing apparatus
DE19856573C1 (de) * 1998-12-08 2000-05-18 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration von aktiven Schaltungsebenen und unter Verwendung desselben erzeugte vertikale integrierte Schaltung
WO2000041242A1 (de) * 1998-12-30 2000-07-13 Infineon Technologies Ag Halbleiteranordnung
US6255852B1 (en) 1999-02-09 2001-07-03 Micron Technology, Inc. Current mode signal interconnects and CMOS amplifier
US6900138B1 (en) * 1999-03-01 2005-05-31 Micron Technology, Inc. Oxygen plasma treatment for nitride surface to reduce photo footing
US7339568B2 (en) * 1999-04-16 2008-03-04 Samsung Electronics Co., Ltd. Signal transmission film and a liquid crystal display panel having the same
KR100304261B1 (ko) * 1999-04-16 2001-09-26 윤종용 테이프 캐리어 패키지, 그를 포함한 액정표시패널 어셈블리,그를 채용한 액정표시장치 및 이들의 조립 방법
DE19924935C1 (de) * 1999-05-31 2000-11-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von dreidimensionalen Schaltungen
DE10011005B4 (de) * 1999-07-01 2004-03-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Multi-Chip-Modul und Verfahren zum Herstellen eines Multi-Chip-Moduls
US6351144B1 (en) * 1999-07-15 2002-02-26 Altera Corporation Programmable logic device with unified cell structure including signal interface bumps
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6287940B1 (en) * 1999-08-02 2001-09-11 Honeywell International Inc. Dual wafer attachment process
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6882045B2 (en) * 1999-10-28 2005-04-19 Thomas J. Massingill Multi-chip module and method for forming and method for deplating defective capacitors
US6291858B1 (en) 2000-01-03 2001-09-18 International Business Machines Corporation Multistack 3-dimensional high density semiconductor device and method for fabrication
US6693033B2 (en) * 2000-02-10 2004-02-17 Motorola, Inc. Method of removing an amorphous oxide from a monocrystalline surface
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6544837B1 (en) 2000-03-17 2003-04-08 International Business Machines Corporation SOI stacked DRAM logic
KR20020021123A (ko) * 2000-04-12 2002-03-18 롤페스 요하네스 게라투스 알베르투스 반도체 디바이스 및 이의 제조 방법
JP2002033296A (ja) * 2000-04-26 2002-01-31 Lintec Corp シリコンウエハ用の補強材および該補強材を用いたicチップの製造方法
US8575719B2 (en) 2000-04-28 2013-11-05 Sandisk 3D Llc Silicon nitride antifuse for use in diode-antifuse memory arrays
US6426559B1 (en) 2000-06-29 2002-07-30 National Semiconductor Corporation Miniature 3D multi-chip module
US6815828B1 (en) * 2000-07-18 2004-11-09 Northrop Grumman Corporation Large multi-function integrated circuit device
US20060125092A1 (en) * 2000-07-18 2006-06-15 Marshall Paul N High density integrated circuit package architecture
US6475830B1 (en) * 2000-07-19 2002-11-05 Cisco Technology, Inc. Flip chip and packaged memory module
US7007370B2 (en) * 2000-07-20 2006-03-07 President And Fellows Of Harvard College Self-assembled electrical networks
US6563133B1 (en) * 2000-08-09 2003-05-13 Ziptronix, Inc. Method of epitaxial-like wafer bonding at low temperature and bonded structure
KR100821456B1 (ko) 2000-08-14 2008-04-11 샌디스크 쓰리디 엘엘씨 밀집한 어레이 및 전하 저장 장치와, 그 제조 방법
JP4352598B2 (ja) * 2000-08-24 2009-10-28 ソニー株式会社 液晶表示装置および携帯端末
US20030218927A1 (en) * 2000-12-11 2003-11-27 Yaron Mayer RAM memory based on nanotechnology, capable, among other things, of replacing the hard disk in computers
DE10065669A1 (de) * 2000-12-29 2002-07-11 Infineon Technologies Ag Verfahren zur Herstellung einer integrierten Halbleiterspeicheranordnung
US6649451B1 (en) 2001-02-02 2003-11-18 Matrix Semiconductor, Inc. Structure and method for wafer comprising dielectric and semiconductor
US7352199B2 (en) 2001-02-20 2008-04-01 Sandisk Corporation Memory card with enhanced testability and methods of making and using the same
US20020127757A1 (en) * 2001-03-08 2002-09-12 Motorola, Inc Apparatus and method for electrical isolation
JP3621354B2 (ja) * 2001-04-04 2005-02-16 Necエレクトロニクス株式会社 半導体集積回路の配線方法及び構造
US6956268B2 (en) * 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) * 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP3747807B2 (ja) * 2001-06-12 2006-02-22 ソニー株式会社 素子実装基板及び不良素子の修復方法
US6759282B2 (en) * 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6676784B2 (en) 2001-07-17 2004-01-13 International Business Machines Corporation Process for the manufacture of multilayer ceramic substrates
US6693298B2 (en) 2001-07-20 2004-02-17 Motorola, Inc. Structure and method for fabricating epitaxial semiconductor on insulator (SOI) structures and devices utilizing the formation of a compliant substrate for materials used to form same
US6639249B2 (en) * 2001-08-06 2003-10-28 Motorola, Inc. Structure and method for fabrication for a solid-state lighting device
US20030026310A1 (en) * 2001-08-06 2003-02-06 Motorola, Inc. Structure and method for fabrication for a lighting device
US6843421B2 (en) 2001-08-13 2005-01-18 Matrix Semiconductor, Inc. Molded memory module and method of making the module absent a substrate support
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6673667B2 (en) * 2001-08-15 2004-01-06 Motorola, Inc. Method for manufacturing a substantially integral monolithic apparatus including a plurality of semiconductor materials
GB0120113D0 (en) 2001-08-17 2001-10-10 Koninkl Philips Electronics Nv Memory circuit
JP2003060060A (ja) * 2001-08-21 2003-02-28 Fujitsu Ltd 半導体集積回路装置
US6582990B2 (en) * 2001-08-24 2003-06-24 International Rectifier Corporation Wafer level underfill and interconnect process
US7163826B2 (en) * 2001-09-12 2007-01-16 Reveo, Inc Method of fabricating multi layer devices on buried oxide layer substrates
US20070128827A1 (en) * 2001-09-12 2007-06-07 Faris Sadeg M Method and system for increasing yield of vertically integrated devices
US7033910B2 (en) * 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6875671B2 (en) * 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US20090065471A1 (en) * 2003-02-10 2009-03-12 Faris Sadeg M Micro-nozzle, nano-nozzle, manufacturing methods therefor, applications therefor
US6504742B1 (en) * 2001-10-31 2003-01-07 Hewlett-Packard Company 3-D memory device for large storage capacity
US6624485B2 (en) 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
US6627985B2 (en) * 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US7126214B2 (en) * 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
DE10200399B4 (de) * 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
US7649386B2 (en) * 2002-01-17 2010-01-19 Ozguz Volkan H Field programmable gate array utilizing dedicated memory stacks in a vertical layer format
US7101770B2 (en) 2002-01-30 2006-09-05 Micron Technology, Inc. Capacitive techniques to reduce noise in high speed interconnections
US6934430B2 (en) * 2002-02-04 2005-08-23 Telephus Inc. Optical module used in high frequency band optical communication system
US6731011B2 (en) 2002-02-19 2004-05-04 Matrix Semiconductor, Inc. Memory module having interconnected and stacked integrated circuits
US20030155582A1 (en) * 2002-02-19 2003-08-21 Maitreyee Mahajani Gate dielectric structures for integrated circuits and methods for making and using such gate dielectric structures
US7169685B2 (en) 2002-02-25 2007-01-30 Micron Technology, Inc. Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6846738B2 (en) * 2002-03-13 2005-01-25 Micron Technology, Inc. High permeability composite films to reduce noise in high speed interconnects
US6900116B2 (en) * 2002-03-13 2005-05-31 Micron Technology Inc. High permeability thin films and patterned thin films to reduce noise in high speed interconnections
US7235457B2 (en) 2002-03-13 2007-06-26 Micron Technology, Inc. High permeability layered films to reduce noise in high speed interconnects
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
AU2003241739A1 (en) * 2002-05-31 2003-12-19 Nokia Corporation Stacked ic device with ic chip selecting/counting function
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US7129744B2 (en) * 2003-10-23 2006-10-31 Viciciv Technology Programmable interconnect structures
US20040004251A1 (en) * 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
US7673273B2 (en) * 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6992503B2 (en) 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
TWI272641B (en) * 2002-07-16 2007-02-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US7402897B2 (en) 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US7812458B2 (en) * 2007-11-19 2010-10-12 Tier Logic, Inc. Pad invariant FPGA and ASIC devices
US7071734B2 (en) * 2002-10-15 2006-07-04 Altera Corporation Programmable logic devices with silicon-germanium circuitry and associated methods
US7169619B2 (en) * 2002-11-19 2007-01-30 Freescale Semiconductor, Inc. Method for fabricating semiconductor structures on vicinal substrates using a low temperature, low pressure, alkaline earth metal-rich process
US6806202B2 (en) 2002-12-03 2004-10-19 Motorola, Inc. Method of removing silicon oxide from a surface of a substrate
CN100403549C (zh) * 2002-12-19 2008-07-16 松下电器产业株式会社 半导体器件及保持电路
JP4101643B2 (ja) * 2002-12-26 2008-06-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4373085B2 (ja) * 2002-12-27 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法、剥離方法及び転写方法
TWI330269B (en) * 2002-12-27 2010-09-11 Semiconductor Energy Lab Separating method
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
US7436050B2 (en) 2003-01-22 2008-10-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a flexible printed circuit
JP2004247373A (ja) * 2003-02-12 2004-09-02 Semiconductor Energy Lab Co Ltd 半導体装置
DE10308928B4 (de) * 2003-02-28 2009-06-18 Siemens Ag Verfahren zum Herstellen freitragender Kontaktierungsstrukturen eines ungehäusten Bauelements
JP4526771B2 (ja) 2003-03-14 2010-08-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
GB0307752D0 (en) * 2003-04-03 2003-05-07 Seiko Epson Corp Apparatus for algebraic codebook search
DE10319271A1 (de) * 2003-04-29 2004-11-25 Infineon Technologies Ag Speicher-Schaltungsanordnung und Verfahren zur Herstellung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US6970053B2 (en) * 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
JP2004349513A (ja) * 2003-05-22 2004-12-09 Seiko Epson Corp 薄膜回路装置及びその製造方法、並びに電気光学装置、電子機器
JP2005150686A (ja) 2003-10-22 2005-06-09 Sharp Corp 半導体装置およびその製造方法
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
KR100564611B1 (ko) * 2004-02-14 2006-03-29 삼성전자주식회사 하드 디스크 드라이브의 완충 구조체
US7453150B1 (en) 2004-04-01 2008-11-18 Rensselaer Polytechnic Institute Three-dimensional face-to-face integration assembly
US20050236619A1 (en) * 2004-04-21 2005-10-27 Vipulkumar Patel CMOS-compatible integration of silicon-based optical devices with electronic devices
US7489164B2 (en) * 2004-05-17 2009-02-10 Raminda Udaya Madurawe Multi-port memory devices
EP1598868A1 (en) * 2004-05-18 2005-11-23 Texas Instruments Inc. Stacked dies having shared access to memory
WO2006011960A1 (en) * 2004-06-25 2006-02-02 Sun Microsystems, Inc. Integrated circuit chip that supports through-chip electromagnetic communication
US7348658B2 (en) * 2004-08-30 2008-03-25 International Business Machines Corporation Multilayer silicon over insulator device
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US7459772B2 (en) * 2004-09-29 2008-12-02 Actel Corporation Face-to-face bonded I/O circuit die and functional logic circuit die system
TWI268122B (en) * 2005-01-25 2006-12-01 Au Optronics Corp Semiconductor structure having multilayer of polysilicon and display panel applied with the same
WO2006098390A1 (en) 2005-03-15 2006-09-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device having the same
US7304496B2 (en) * 2005-04-28 2007-12-04 Altera Corporation Mask-programmable logic device with programmable input/output ports
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7358616B2 (en) * 2005-09-14 2008-04-15 Freescale Semiconductor, Inc. Semiconductor stacked die/wafer configuration and packaging and method thereof
DE102006026331B4 (de) * 2006-06-02 2019-09-26 Erich Thallner Transportable Einheit zum Transport von Wafern und Verwendung einer Gelfolie in einer transportablen Einheit
JP4187757B2 (ja) * 2006-06-22 2008-11-26 日東電工株式会社 配線回路基板
JP4292424B2 (ja) * 2006-11-15 2009-07-08 セイコーエプソン株式会社 配線基板およびその製造方法、並びに電子機器
US7981703B2 (en) * 2007-05-29 2011-07-19 Occam Portfolio Llc Electronic assemblies without solder and methods for their manufacture
US7847586B2 (en) * 2007-08-20 2010-12-07 Northern Lights Semiconductor Corp. Integrate circuit chip with magnetic devices
JP2009076879A (ja) 2007-08-24 2009-04-09 Semiconductor Energy Lab Co Ltd 半導体装置
US8232598B2 (en) * 2007-09-20 2012-07-31 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US7982250B2 (en) * 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20090128189A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
US7635988B2 (en) * 2007-11-19 2009-12-22 Tier Logic, Inc. Multi-port thin-film memory devices
US7602213B2 (en) * 2007-12-26 2009-10-13 Tier Logic, Inc. Using programmable latch to implement logic
US7573293B2 (en) * 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US7573294B2 (en) * 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US7795913B2 (en) * 2007-12-26 2010-09-14 Tier Logic Programmable latch based multiplier
US20090172350A1 (en) * 2007-12-28 2009-07-02 Unity Semiconductor Corporation Non-volatile processor register
US7701251B1 (en) * 2008-03-06 2010-04-20 Xilinx, Inc. Methods and apparatus for implementing a stacked memory programmable integrated circuit system in package
US7973555B1 (en) 2008-05-28 2011-07-05 Xilinx, Inc. Configuration interface to stacked FPGA
FR2934713B1 (fr) * 2008-07-29 2010-10-15 Commissariat Energie Atomique Substrat de type semi-conducteur sur isolant a couches de diamant intrinseque et dope
JP2010040894A (ja) * 2008-08-07 2010-02-18 Rohm Co Ltd 半導体装置および半導体装置の製造方法
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US12027518B1 (en) 2009-10-12 2024-07-02 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8809158B2 (en) * 2010-03-12 2014-08-19 Hewlett-Packard Development Company, L.P. Device having memristive memory
US8785241B2 (en) 2010-07-16 2014-07-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8159266B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Metal configurable integrated circuits
US8159265B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Memory for metal configurable integrated circuits
US8159268B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Interconnect structures for metal configurable integrated circuits
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US12033884B2 (en) 2010-11-18 2024-07-09 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8822336B2 (en) 2011-06-16 2014-09-02 United Microelectronics Corp. Through-silicon via forming method
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8828745B2 (en) 2011-07-06 2014-09-09 United Microelectronics Corp. Method for manufacturing through-silicon via
FR2979481B1 (fr) 2011-08-25 2016-07-01 Commissariat Energie Atomique Procede de realisation d'un circuit integre tridimensionnel
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
JP5960000B2 (ja) * 2012-09-05 2016-08-02 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP6478913B2 (ja) * 2012-09-05 2019-03-06 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. デバイスウエハからのキャリアウエハのレーザ剥離
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9119313B2 (en) * 2013-04-25 2015-08-25 Intel Corporation Package substrate with high density interconnect design to capture conductive features on embedded die
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
CN103413797B (zh) * 2013-07-29 2015-10-14 中国科学院电工研究所 一种三维结构单元组装的功率半导体模块
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
WO2016038612A1 (en) * 2014-09-09 2016-03-17 Power Me Tech Ltd. Multi-layer sticker containing a flat electronic circuit
FR3031835B1 (fr) 2015-01-16 2017-12-22 Commissariat Energie Atomique Procede de realisation d'un circuit electronique integre tridimensionnel
US9627395B2 (en) 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US12035531B2 (en) 2015-10-24 2024-07-09 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
KR102512017B1 (ko) 2016-10-07 2023-03-17 엑셀시스 코포레이션 직접-접합된 네이티브 상호접속부 및 능동 베이스 다이
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10672745B2 (en) * 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10719762B2 (en) * 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10672744B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D compute circuit with high density Z-axis interconnects
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US10601426B1 (en) * 2018-09-06 2020-03-24 Intel Corporation Programmable logic device with fine-grained disaggregation
US20200098737A1 (en) * 2018-09-25 2020-03-26 Intel Corporation Stacked-substrate fpga semiconductor devices
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE468080C (de) * 1928-11-06 Conrad Grossmann Verfahren zur Erhoehung der Lebensdauer von feuerfesten mit Kuehlrohrvorsatz versehenen Zustellungen
US3370203A (en) * 1965-07-19 1968-02-20 United Aircraft Corp Integrated circuit modules
US3704455A (en) * 1971-02-01 1972-11-28 Alfred D Scarbrough 3d-coaxial memory construction and method of making
DE3177084D1 (en) * 1980-04-10 1989-09-21 Massachusetts Inst Technology Method of producing sheets of crystalline material
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
US4649415A (en) * 1985-01-15 1987-03-10 National Semiconductor Corporation Semiconductor package with tape mounted die
US4748485A (en) * 1985-03-21 1988-05-31 Hughes Aircraft Company Opposed dual-gate hybrid structure for three-dimensional integrated circuits
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
JPH07112041B2 (ja) * 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US5138437A (en) * 1987-07-27 1992-08-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit device in which integrated circuit units having different functions are stacked in three dimensional manner
EP0316799B1 (en) * 1987-11-13 1994-07-27 Nissan Motor Co., Ltd. Semiconductor device
US4847146A (en) * 1988-03-21 1989-07-11 Hughes Aircraft Company Process for fabricating compliant layer board with selectively isolated solder pads
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5212778A (en) * 1988-05-27 1993-05-18 Massachusetts Institute Of Technology Message-driven processor in a concurrent computer
US5107586A (en) * 1988-09-27 1992-04-28 General Electric Company Method for interconnecting a stack of integrated circuits at a very high density
US5122475A (en) * 1988-09-30 1992-06-16 Harris Corporation Method of making a high speed, high density semiconductor memory package with chip level repairability
US4980034A (en) * 1989-04-04 1990-12-25 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for TAB
US5013687A (en) * 1989-07-27 1991-05-07 Grumman Aerospace Corporation Framed chip hybrid stacked layer assembly
US5094969A (en) * 1989-09-14 1992-03-10 Litton Systems, Inc. Method for making a stackable multilayer substrate for mounting integrated circuits
JP2617798B2 (ja) * 1989-09-22 1997-06-04 三菱電機株式会社 積層型半導体装置およびその製造方法
US5128737A (en) * 1990-03-02 1992-07-07 Silicon Dynamics, Inc. Semiconductor integrated circuit fabrication yield improvements
US5227338A (en) * 1990-04-30 1993-07-13 International Business Machines Corporation Three-dimensional memory card structure with internal direct chip attachment
FR2666173A1 (fr) * 1990-08-21 1992-02-28 Thomson Csf Structure hybride d'interconnexion de circuits integres et procede de fabrication.
US6067062A (en) * 1990-09-05 2000-05-23 Seiko Instruments Inc. Light valve device
EP0486829B1 (en) * 1990-10-22 1997-04-23 Seiko Epson Corporation Semiconductor device and semiconductor device packaging system
US5347154A (en) * 1990-11-15 1994-09-13 Seiko Instruments Inc. Light valve device using semiconductive composite substrate
US5206749A (en) * 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
US5256562A (en) * 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5300788A (en) * 1991-01-18 1994-04-05 Kopin Corporation Light emitting diode bars and arrays and method of making same
US5258325A (en) * 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
JPH04240762A (ja) * 1991-01-24 1992-08-28 Seiko Instr Inc 積層型半導体装置の製造方法
JP2794960B2 (ja) * 1991-02-19 1998-09-10 松下電器産業株式会社 焼結導体配線基板とその製造方法
GB2255672B (en) * 1991-05-10 1994-11-30 Northern Telecom Ltd Opto-electronic components
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
FR2694840B1 (fr) * 1992-08-13 1994-09-09 Commissariat Energie Atomique Module multi-puces à trois dimensions.
CA2173123A1 (en) 1993-09-30 1995-04-06 Paul M. Zavracky Three-dimensional processor using transferred thin film circuits

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011181176A (ja) * 1997-04-04 2011-09-15 Glenn J Leedy 情報処理方法、積層型集積回路メモリ
JP2008028407A (ja) * 1997-04-04 2008-02-07 Glenn J Leedy 情報処理方法
US6452259B2 (en) 1997-12-03 2002-09-17 Rohm Co., Ltd. Stacked substrate and semiconductor device
WO1999044236A1 (en) * 1998-02-27 1999-09-02 Seiko Epson Corporation Method of manufacturing three-dimensional device
WO1999045593A1 (en) * 1998-03-02 1999-09-10 Seiko Epson Corporation Three-dimensional device
JP2002110907A (ja) * 2000-07-31 2002-04-12 Hynix Semiconductor Inc 半導体素子及びその製造方法
US7244662B2 (en) 2001-12-27 2007-07-17 Seiko Epson Corporation Method for manufacturing semiconductor integrated circuit
US6858518B2 (en) 2001-12-28 2005-02-22 Seiko Epson Corporation Method for manufacturing semiconductor integrated circuit
US7180924B2 (en) 2002-05-30 2007-02-20 Seiko Epson Corporation Semiconductor apparatus and a semiconductor unit, the semiconductor unit including a functional layer including a semiconductor element, and a highly conductive layer
US7368754B2 (en) 2002-06-10 2008-05-06 Seiko Epson Corporation Semiconductor integrated circuit, signal transmitting device, electro-optical device, and electronic apparatus
US6858872B2 (en) 2002-06-18 2005-02-22 Seiko Epson Corporation Optical interconnection integrated circuit, method of manufacturing optical interconnection integrated circuit, electro-optical apparatus, and electronic apparatus
US7435998B2 (en) 2002-06-20 2008-10-14 Seiko Epson Corporation Semiconductor device, method of manufacturing the same, electro-optic device and electronic apparatus with a protective film
US7709283B2 (en) 2002-06-20 2010-05-04 Seiko Epson Corporation Method of manufacturing a semiconductor device having an insulating protective film covering at least a portion of a tile-shaped element
JP2006522461A (ja) * 2002-12-20 2006-09-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 3次元デバイスの製造方法
JP2011029663A (ja) * 2003-12-16 2011-02-10 Intel Corp 装置及びプロセッサー
JP2013258425A (ja) * 2003-12-16 2013-12-26 Intel Corp 装置及びプロセッサー
US8860199B2 (en) 2003-12-16 2014-10-14 Intel Corporation Multi-die processor
US7687838B2 (en) 2004-11-02 2010-03-30 Samsung Electronics Co., Ltd. Resistive memory device having array of probes and method of manufacturing the resistive memory device
JP2012511263A (ja) * 2008-12-10 2012-05-17 クアルコム,インコーポレイテッド 3dマイクロアーキテクチャのシステムにおいて結合する平行プレーンメモリおよびプロセッサ

Also Published As

Publication number Publication date
US5793115A (en) 1998-08-11
WO1995009438A1 (en) 1995-04-06
EP1178530A2 (en) 2002-02-06
US6624046B1 (en) 2003-09-23
EP0721662A1 (en) 1996-07-17
CA2173123A1 (en) 1995-04-06
US5656548A (en) 1997-08-12
US5976953A (en) 1999-11-02

Similar Documents

Publication Publication Date Title
US5656548A (en) Method for forming three dimensional processor using transferred thin film circuits
US6472293B2 (en) Method for manufacturing an interconnect structure for stacked semiconductor device
JP2902988B2 (ja) 電子モジュールおよびその形成方法
JP3245006B2 (ja) モノリシック電子モジュールの製造方法とその製造を容易にするためのワークピース
US6673698B1 (en) Thin film semiconductor package utilizing a glass substrate with composite polymer/metal interconnect layers
US6150724A (en) Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces
US5561085A (en) Structure for protecting air bridges on semiconductor chips from damage
JP5857065B2 (ja) 能動チップを接続するインターポーザを有する積層超小型電子アセンブリ
JP4441974B2 (ja) 半導体装置の製造方法
JPS6281745A (ja) ウエハ−規模のlsi半導体装置とその製造方法
JPH08213549A (ja) 集積回路の製造方法
JPS6338247A (ja) Icチップ用高密度マイクロパッケ−ジ
JPH08213548A (ja) 3次元集積回路の製造方法
JPH09106963A (ja) マイクロエレクトロニックシステムを垂直方向で集積する方法
KR20070021201A (ko) 마이크로피드 스택 다이 컴포넌트 어셈블리
WO2020237706A1 (zh) 硅光模块的封装方法及硅光模块
JPH07312374A (ja) 集積回路システムとその製造方法
US5786629A (en) 3-D packaging using massive fillo-leaf technology
JPH04262566A (ja) ハイブリッド・ モジュールの製造方法
TWI249208B (en) Wafer level packaging process and wafer level chip scale package structure
JP3374138B2 (ja) 集積回路をシリコン回路ボードに接続する方法。
JP2001035993A (ja) マルチチップモジュールおよびその製造方法
JPH0194651A (ja) 半導体装置およびその製造方法
CA1228179A (en) Packaging microminiature devices
JPH0964269A (ja) マルチチップモジュール

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060215

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060403

A313 Final decision of rejection without a dissenting response from the applicant

Free format text: JAPANESE INTERMEDIATE CODE: A313

Effective date: 20060703

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060808