CN102812552B - 半导体存储器装置及用于对半导体存储器装置进行偏置的方法 - Google Patents

半导体存储器装置及用于对半导体存储器装置进行偏置的方法 Download PDF

Info

Publication number
CN102812552B
CN102812552B CN201180013884.9A CN201180013884A CN102812552B CN 102812552 B CN102812552 B CN 102812552B CN 201180013884 A CN201180013884 A CN 201180013884A CN 102812552 B CN102812552 B CN 102812552B
Authority
CN
China
Prior art keywords
region
area
memory cell
semiconductor memory
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180013884.9A
Other languages
English (en)
Other versions
CN102812552A (zh
Inventor
斯里尼瓦萨·拉奥·班纳
迈克尔·A·范巴斯柯克
蒂莫西·J·瑟噶特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN102812552A publication Critical patent/CN102812552A/zh
Application granted granted Critical
Publication of CN102812552B publication Critical patent/CN102812552B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs

Abstract

本发明揭示用于提供半导体存储器装置的技术。在一个特定示范性实施例中,所述技术可实现为一种半导体存储器装置,所述半导体存储器装置包括以行及列的阵列布置的多个存储器单元。每一存储器单元包括第一区域、第二区域及体区域,所述体区域电容性地耦合到至少一个字线且安置在所述第一区域与所述第二区域之间。每一存储器单元还包括第三区域,其中所述第三区域可与所述第一区域、所述第二区域及所述体区域不同地掺杂。

Description

半导体存储器装置及用于对半导体存储器装置进行偏置的方法
相关申请案的交叉参考
本专利申请案主张2010年3月15日申请的第61/313,986号美国临时专利申请案的优先权,所述临时申请案的全部内容特此以引用的方式并入本文中。
技术领域
本发明大体上涉及半导体存储器装置,且更特定来说,涉及用于提供无结半导体存储器装置的技术。
背景技术
半导体工业已经历允许半导体存储器装置的密度及/或复杂性的增加的技术进步。并且,所述技术进步已允许各种类型的半导体存储器装置的功率消耗及封装尺寸的减小。存在使用改善性能、减少泄漏电流且增强总体缩放的技术、材料及装置来利用及/或制造先进半导体存储器装置的持续趋势。绝缘体上硅(SOI)及大块衬底为可用于制造此类半导体存储器装置的材料的实例。举例来说,此类半导体存储器装置可包括部分耗尽(PD)装置、完全耗尽(FD)装置、多栅极装置(例如,双栅极、三栅极或环绕栅极)及鳍式FET(Fin-FET)装置。
半导体存储器装置可包括存储器单元,所述存储器单元具有带有其中可存储电荷的电浮动体区域的存储器晶体管。当过量多数电荷载流子存储在电浮动体区域中时,存储器单元可存储逻辑高(例如,二进制“1”数据状态)。当电浮动体区域耗尽多数电荷载流子时,存储器单元可存储逻辑低(例如,二进制“0”数据状态)。并且,半导体存储器装置可制造在绝缘体上硅(SOI)衬底或大块衬底上(例如,启用体隔离)。举例来说,半导体存储器装置可制造为三维(3D)装置(例如,多栅极装置、鳍式FET装置及垂直柱装置)。
在一种常规技术中,半导体存储器装置的存储器单元可通过植入工艺来制造。在常规植入工艺期间,可能在半导体存储器装置的存储器单元的各种区域的硅晶格中产生缺陷结构。在植入工艺期间形成的缺陷结构可减少存储在半导体存储器装置的存储器单元中的多数电荷载流子的保持时间。并且,在常规植入工艺期间,存储器单元的各种区域可能以非所要的掺杂浓度来掺杂。所述非所要的掺杂浓度可因此产生用于半导体存储器装置的存储器单元的非所要的电性质。此外,所述常规植入工艺可能面临横向及垂直缩放挑战。
鉴于以上内容,可以理解,可能存在与用于提供半导体存储器装置的常规技术相关联的显著问题及缺点。
发明内容
揭示一种半导体存储器装置,其包含以行及列的阵列布置的多个存储器单元,每一存储器单元包含:第一区域;第二区域;体区域,其电容性地耦合到至少一个字线且安置在所述第一区域与所述第二区域之间;及第三区域,其中所述第三区域与所述第一区域、所述第二区域及所述体区域不同地掺杂。
另外,揭示一种用于对半导体存储器装置进行偏置的方法。所述包含以下步骤:将多个电压电位施加到以行及列的阵列布置的多个存储器单元,其中将所述多个电压电位施加到所述多个存储器单元包含:将第一电压电位施加到所述多个存储器单元中的每一者的第一区域;将第二电压电位施加到所述多个存储器单元中的每一者的第二区域;经由所述阵列的电容性地耦合到体区域的至少一个相应字线将第三电压电位施加到所述多个存储器单元中的每一者的所述体区域;及将第四电压电位施加到第三区域。
附图说明
为了促进对本发明的更完整的理解,现在参考附图,其中相同的元件以相同的标号来参考。这些图式不应理解为限制本发明,而是意在仅为示范性的。
图1展示根据本发明的实施例的包括存储器单元阵列、数据写入及感测电路以及存储器单元选择及控制电路的半导体存储器装置的框图。
图2展示根据本发明的实施例的图1中展示的存储器单元的横截面图。
图3展示根据本发明的替代实施例的图1中展示的存储器单元的横截面图。
图4展示根据本发明的实施例的图1中展示的存储器单元的横截面图。
图5展示根据本发明的替代实施例的图1中展示的存储器单元的横截面图。
图6展示根据本发明的实施例的图1中展示的存储器单元阵列的至少一部分的横截面图。
图7展示根据本发明的替代实施例的图1中展示的存储器单元阵列的至少一部分的横截面图。
图8展示根据本发明的替代实施例的图1中展示的存储器单元阵列的至少一部分的横截面图。
图9展示根据本发明的替代实施例的图1中展示的存储器单元阵列的至少一部分的横截面图。
图10展示根据本发明的实施例的用于对图2中展示的存储器单元执行写入操作的控制信号电压波形。
图11展示根据本发明的实施例的用于对图2中展示的存储器单元执行读取操作的控制信号电压波形。
具体实施方式
参考图1,展示根据本发明的实施例的包含存储器单元阵列20、数据写入及感测电路36以及存储器单元选择及控制电路38的半导体存储器装置10的框图。存储器单元阵列20可包含多个存储器单元12,其各自经由字线(WL)28及载流子注入线(EP)34耦合到存储器单元选择及控制电路38,且经由位线(CN)30及源极线(EN)32耦合到数据写入及感测电路36。可了解,位线(CN)30及源极线(EN)32为用于区分两个信号线的名称,且其可互换使用。
数据写入及感测电路36可从所选择的存储器单元12读取数据且可将数据写入到所选择的存储器单元12。在示范性实施例中,数据写入及感测电路36可包括多个数据感测放大器电路。每一数据感测放大器电路可接收至少一个位线(CN)30及电流或电压参考信号。举例来说,每一数据感测放大器电路可为交叉耦合类型的感测放大器,以感测存储在存储器单元12中的数据状态。数据写入及感测电路36可包括可将数据感测放大器电路耦合到至少一个位线(CN)30的至少一个多路复用器。在示范性实施例中,所述多路复用器可将多个位线(CN)30耦合到数据感测放大器电路。
每一数据感测放大器电路可利用电压及/或电流感测电路及/或技术。在示范性实施例中,每一数据感测放大器电路可利用电流感测电路及/或技术。举例来说,电流感测放大器可将来自所选择的存储器单元12的电流与参考电流(例如,一个或一个以上参考单元的电流)进行比较。根据所述比较,可确定所选择的存储器单元12存储逻辑高(例如,二进制“1”数据状态)还是存储逻辑低(例如,二进制“0”数据状态)。所属领域的技术人员可了解,各种类型或形式的数据写入及感测电路36(包括使用电压或电流感测技术来感测存储在存储器单元12中的数据状态的一个或一个以上感测放大器)可用于读取存储在存储器单元12中的数据。
存储器单元选择及控制电路38可通过将控制信号施加于一个或一个以上字线(WL)28及/或载流子注入线(EP)34上来选择且/或启用一个或一个以上预定存储器单元12以促进从所述存储器单元12读取数据。存储器单元选择及控制电路38可从地址信号(举例来说,行地址信号)产生此类控制信号。此外,存储器单元选择及控制电路38可包括字线解码器及/或驱动器。举例来说,存储器单元选择及控制电路38可包括一个或一个以上不同的控制/选择技术(及其电路)以选择且/或启用一个或一个以上预定存储器单元12。明显地,所有此类控制/选择技术及其电路(不管是现在已知的还是稍后开发的)都意在落在本发明的范围内。
在示范性实施例中,半导体存储器装置10可实施两步骤写入操作,借此可通过首先执行“清除”或逻辑低(例如,二进制“0”数据状态)写入操作来将一行存储器单元12中的所有存储器单元12写入为预定数据状态,借此所述行存储器单元12中的所有存储器单元12被写入为逻辑低(例如,二进制“0”数据状态)。此后,可选择性地将所述行存储器单元12中的所选择的存储器单元12写入为预定数据状态(例如,逻辑高(二进制“1”数据状态))。半导体存储器装置10还可实施一步骤写入操作,借此可选择性地将一行存储器单元12中的所选择的存储器单元12写入为逻辑高(例如,二进制“1”数据状态)或逻辑低(例如,二进制“0”数据状态)而不需要首先实施“清除”操作。半导体存储器装置10可利用本文中描述的示范性写入、准备、保持、刷新及/或读取技术中的任一者。
存储器单元12可包含N型、P型及/或这两种类型的晶体管。处于存储器单元阵列20的外围的电路(举例来说,感测放大器或比较器、行及列地址解码器以及线驱动器(本文中未说明))也可包括P型及/或N型晶体管。不管存储器单元阵列20的存储器单元12中使用的是P型晶体管还是N型晶体管,本文中都将进一步描述用于从存储器单元12进行读取的合适电压电位(举例来说,正或负电压电位)。
参考图2,展示根据本发明的实施例的图1中展示的存储器单元12的横截面图。存储器单元12可包含第一N-区域120、第二N-区域122、第三N-区域124及/或P-区域126。第一N-区域120、第二N-区域122、第三N-区域124及/或P-区域126可以连续相邻关系安置在平面配置内,所述平面配置可水平延伸或与由氧化物区域128及/或P-衬底130界定的平面平行而延伸。在示范性实施例中,第二N-区域122可为存储器单元12的经配置以积累/存储电荷的电浮动体区域,所述电浮动体区域可与字线(WL)28间隔开且电容性地耦合到字线(WL)28。
存储器单元12的第一N-区域120可经由第一N+多晶硅插塞(polyplug)232耦合到源极线(EN)32。第一N+多晶硅插塞232可直接耦合到存储器单元12的第一N-区域120。存储器单元12的第二N-区域122可经由栅极区域228耦合到字线(WL)28。栅极区域228可电容性地耦合到存储器单元12的第二N-区域122。存储器单元12的第三N-区域124可经由第二N+多晶硅插塞230耦合到位线(CN)30。第二N+多晶硅插塞230可直接耦合到存储器单元12的第三N-区域124。存储器单元12的P-区域126可经由P+区域234耦合到载流子注入线(EP)34。P+区域234可直接耦合到存储器单元12的P-区域126。
第一N-区域120、第二N-区域122及第三N-区域124可由相同材料或不同材料形成。并且,第一N-区域120、第二N-区域122及第三N-区域124可由具有各种掺杂浓度的相同材料形成。在示范性实施例中,第一N-区域120、第二N-区域122及第三N-区域124可由包含施主杂质(例如,氮、砷及/或磷)的半导体材料(例如,硅)形成。在示范性实施例中,第一N-区域120、第二N-区域122及/或第三N-区域124可由带有具有1015原子/cm3到1018原子/cm3的浓度的施主杂质的硅材料形成。
P-区域126可由包含受主杂质的半导体材料(例如,本征硅)形成。举例来说,P-区域126可由掺杂有硼杂质的硅材料形成。在示范性实施例中,P-区域126可由带有具有1015原子/cm3到1018原子/cm3的浓度的受主杂质的硅材料形成。在另一示范性实施例中,P-区域126可由未掺杂半导体材料(例如,本征硅)形成。
第一N+多晶硅插塞232及第二N+多晶硅插塞230可由相同材料或不同材料形成。第一N+多晶硅插塞232及第二N+多晶硅插塞230可由金属材料、多晶硅材料、二氧化硅材料及/或其组合形成。第一N+多晶硅插塞232及第二N+多晶硅插塞230可将来自源极线(EN)32及位线(CN)30的电压电位分别耦合到存储器单元12的第一N-区域120及第三N-区域124。在另一示范性实施例中,第一N+多晶硅插塞232及第二N+多晶硅插塞230可由钨、钛、氮化钛、多晶硅或其组合形成。第一N+多晶硅插塞232及第二N+多晶硅插塞230可具有分别从第一N-区域120及第三N-区域124延伸到源极线(EN)32及位线(CN)30的高度。
栅极区域228可由多晶硅化物(polycide)材料、硅材料、金属材料及/或其组合形成。在另一示范性实施例中,栅极区域228可由经掺杂硅层形成。栅极区域228可由包含受主杂质的半导体材料(例如,硅)形成。举例来说,栅极区域228可由掺杂有硼杂质的硅材料形成。
P+区域234可由包含受主杂质的半导体材料(例如,硅)形成。举例来说,P+区域234可由掺杂有硼杂质的硅材料形成。在示范性实施例中,P+区域234可掺杂有具有1020原子/cm3或更高的浓度的受主杂质。
氧化物层128可形成在P-衬底130上。举例来说,氧化物层128可由绝缘材料形成。氧化物层128可包括配置在P-衬底130上方的连续平面区域。在示范性实施例中,氧化物层128可由绝缘氧化物材料形成。氧化物层128可形成沟道区域,所述沟道区域可具有用于适应其中一个或一个以上存储器单元12的横截面形状。举例来说,所述沟道区域可具有可适应一个或一个以上存储器单元12的正方形、矩形、圆柱形及/或其它形状的横截面形状。
在示范性实施例中,P-衬底130可由包含受主杂质的半导体材料(例如,硅)制成,且可形成存储器单元阵列20的基部。在替代示范性实施例中,多个P-衬底130可形成存储器单元阵列20的基部,或单个P-衬底130可形成存储器单元阵列20的基部。并且,P-衬底130可以P阱衬底的形式来制造。
绝缘层132可形成在氧化物层128的顶部上。举例来说,绝缘层132可由绝缘材料、氧化物材料及/或电介质材料形成。在示范性实施例中,绝缘层132可由氮化硅材料形成。绝缘层132可形成在氧化物层128上方,以电绝缘第一N+多晶硅插塞232、栅极区域228、第二N+多晶硅插塞230及/或P+区域234。
参考图3,展示根据本发明的替代实施例的图1中展示的存储器单元12的横截面图。图3中说明的存储器单元12可类似于图2中说明的存储器单元12,除了存储器单元12可包含多个未掺杂区域之外。所述多个未掺杂区域可包含耦合到对应第一N+多晶硅插塞232的第一未掺杂区域320、电容性地耦合到对应栅极区域228的第二未掺杂区域322及/或耦合到对应第二N+多晶硅插塞230的第三未掺杂区域324。
所述多个未掺杂区域可由相同材料或不同材料形成。举例来说,所述多个未掺杂区域(例如,第一未掺杂区域320、第二未掺杂区域322及/或第三未掺杂区域324)可由未掺杂半导体材料(例如,本征硅)形成。
参考图4,展示根据本发明的实施例的图1中展示的存储器单元12的横截面图。图4中说明的存储器单元12可类似于图2中说明的存储器单元12,除了所述存储器单元12可包含第一P-区域420、第二P-区域422、第三P-区域424及/或N-区域426之外。第一P-区域420、第二P-区域422、第三P-区域424及/或N-区域426可以连续相邻关系安置在平面配置内,所述平面配置可水平延伸或与由氧化物区域128及/或P-衬底130界定的平面平行而延伸。在示范性实施例中,第二P-区域422可为存储器单元12的经配置以积累/存储电荷的电浮动体区域,所述电浮动体区域可与字线(WL)28间隔开且电容性地耦合到字线(WL)28。
存储器单元12的第一P-区域420可经由第一P+多晶硅插塞432耦合到源极线(EN)32。第一P+多晶硅插塞432可直接耦合到存储器单元12的第一P-区域420。存储器单元12的第二P-区域422可经由栅极区域428耦合到字线(WL)28。栅极区域428可电容性地耦合到存储器单元12的第二P-区域422。存储器单元12的第三P-区域424可经由第二N+多晶硅插塞430耦合到位线(CN)30。第二N+多晶硅插塞430可直接耦合到存储器单元12的第三P-区域424。存储器单元12的N-区域426可经由N+区域434耦合到载流子注入线(EP)34。N+区域434可直接耦合到存储器单元12的N-区域426。
第一P-区域420、第二P-区域422及第三P-区域424可由相同材料或不同材料形成。并且,第一P-区域420、第二P-区域422及第三P-区域424可由具有各种掺杂浓度的相同材料形成。在示范性实施例中,第一P-区域420、第二P-区域422及第三P-区域424可由包含受主杂质的半导体材料(例如,硅)形成。举例来说,第一P-区域420、第二P-区域422及/或第三P-区域424可由掺杂有硼杂质的硅材料形成。在示范性实施例中,第一P-区域420、第二P-区域422及/或第三P-区域424可由带有具有1015原子/cm3到1018原子/cm3的浓度的受主杂质的硅材料形成。
N-区域426可由包含施主杂质的半导体材料(例如,本征硅)形成。举例来说,N-区域426可由掺杂有氮、砷及/或磷杂质的硅材料形成。在示范性实施例中,N-区域426可由带有具有1015原子/cm3到1018原子/cm3的浓度的施主杂质的硅材料形成。在另一示范性实施例中,N-区域426可由未掺杂半导体材料(例如,本征硅)形成。
第一P+多晶硅插塞432及/或第二P+多晶硅插塞430可由相同材料或不同材料形成。第一P+多晶硅插塞432及第二P+多晶硅插塞430可由金属材料、多晶硅材料、二氧化硅材料及/或其组合形成。第一P+多晶硅插塞432及/或第二P+多晶硅插塞430可将来自源极线(EN)32及位线(CN)30的电压电位分别耦合到存储器单元12的第一P-区域420及第三P-区域424。在另一示范性实施例中,第一P+多晶硅插塞432及/或第二P+多晶硅插塞430可由钨、钛、氮化钛、多晶硅或其组合形成。第一P+多晶硅插塞432及/或第二P+多晶硅插塞430可具有分别从第一P-区域420及第三P-区域424延伸到载流子注入线(EP)34及位线(CN)30的高度。
栅极区域428可由多晶硅化物材料、硅材料、金属材料及/或其组合形成。在另一示范性实施例中,栅极区域428可由经掺杂硅层形成。栅极区域428可由包含受主杂质的半导体材料(例如,硅)形成。举例来说,栅极区域428可由掺杂有硼杂质的硅材料形成。
N+区域434可由包含施主杂质的半导体材料(例如,硅)形成。举例来说,N+区域434可由掺杂有氮、砷及/或磷杂质的硅材料形成。在示范性实施例中,N+区域434可由带有具有1020原子/cm3或更高的浓度的施主杂质的硅材料形成。
参考图5,展示根据本发明的替代实施例的图1中展示的存储器单元12的横截面图。图5中说明的存储器单元12可类似于图4中说明的存储器单元12,除了所述存储器单元12可包含多个未掺杂区域之外。所述多个未掺杂区域可包含耦合到对应第一P+多晶硅插塞432的第一未掺杂区域520、电容性地耦合到对应栅极区域428的第二未掺杂区域522及/或耦合到对应第二N+多晶硅插塞430的第三未掺杂区域524。
所述多个未掺杂区域可由相同材料或不同材料形成。举例来说,所述多个未掺杂区域(例如,第一未掺杂区域420、第二未掺杂区域422及/或第三未掺杂区域424)可由未掺杂半导体材料(例如,本征硅)形成。
参考图6,展示根据本发明的实施例的图1中展示的存储器单元阵列20的至少一部分的横截面图。图6说明存储器单元阵列20的至少一部分的沿着位线(CN)30的横截面图,及存储器单元阵列20的至少一部分的沿着字线(WL)28的横截面图。存储器单元阵列20的存储器单元12可以具有各种区域的垂直配置来实施。举例来说,存储器单元12可包含第一N-区域620、第二N-区域622、第三N-区域624及/或P+区域626。第一N-区域620、第二N-区域622、第三N-区域624及/或P+区域626可以连续相邻关系安置,且可从由P-衬底130界定的平面垂直延伸。在示范性实施例中,第二N-区域622可为存储器单元12的经配置以积累/存储电荷的电浮动体区域,且可与多个字线(WL)28间隔开且电容性地耦合到多个字线(WL)28。
存储器单元12的第一N-区域620可耦合到源极线(EN)32。存储器单元12的第二N-区域622可电容性地耦合到字线(WL)28。存储器单元12的第三N-区域624可耦合到位线(CN)30。存储器单元12的P+区域626可耦合到载流子注入线(EP)34。
第一N-区域620、第二N-区域622及第三N-区域624可由相同材料或不同材料形成。并且,第一N-区域620、第二N-区域622及第三N-区域624可由具有各种掺杂浓度的相同材料形成。在示范性实施例中,第一N-区域620、第二N-区域622及第三N-区域624可由包含施主杂质(例如,氮、砷及/或磷)的半导体材料(例如,硅)形成。在示范性实施例中,第一N-区域620、第二N-区域622及/或第三N-区域624可由带有具有1015原子/cm3到1018原子/cm3的浓度的施主杂质的硅材料形成。
P+区域626可由至少一个层形成。在示范性实施例中,P+区域626可包含多个层。举例来说,P+区域626的第一层可由多晶硅材料或二氧化硅材料及/或其组合形成。在另一示范性实施例中,P+区域626的第一层可由包含受主杂质的半导体材料(例如,本征硅)形成。举例来说,P+区域626的第一层可由掺杂有硼杂质的硅材料形成。在示范性实施例中,P+区域626的第一层可由带有具有1018原子/cm3或以上的浓度的受体杂质的硅材料形成。P+区域626的第二层可由金属材料、多晶硅材料、二氧化硅材料及/或其组合形成。在示范性实施例中,P+区域626的第二层可由钨、钛、氮化钛、多晶硅或其组合形成。
源极线(EN)32可由金属材料形成。在另一示范性实施例中,源极线(EN)32可由多晶硅化物材料(例如,金属材料与硅材料的组合)形成。在其它示范性实施例中,源极线(EN)32可由N+掺杂硅层形成。源极线(EN)32可将电压电位提供到存储器单元12的第一N-区域620。举例来说,源极线(EN)32可耦合到多个存储器单元12(例如,存储器单元阵列20的一列或一行存储器单元12)。源极线(EN)32可配置在第一N-区域620的侧部分上。
字线(WL)28可电容性地耦合到第二N-区域622。字线(WL)28可在存储器单元阵列20的行方向上定向,且耦合到多个存储器单元12。字线(WL)28可布置在存储器单元12(例如,位于存储器单元阵列20的行方向上的存储器单元12)的侧部分上。举例来说,字线(WL)28可布置在存储器单元12的第二N-区域622的两个侧部分处。
举例来说,字线(WL)28可由多晶硅化物材料(例如,金属材料与硅材料的组合)、金属材料及/或多晶硅化物材料与金属材料的组合形成。在另一示范性实施例中,字线(WL)28可由N+掺杂硅材料形成。在示范性实施例中,字线(WL)28可将存储器单元选择及控制电路38的电压/电流源电容性地耦合到存储器单元12的第二N-区域622。在示范性实施例中,第一字线(WL)28可对存储器单元12实施写入逻辑低(例如,二进制“0”数据状态)操作,而第二字线(WL)28可实施写入逻辑高(例如,二进制“1”数据状态)操作。
位线(CN)30可耦合到存储器单元12的第三N-区域624。位线(CN)30可由金属材料形成。在另一示范性实施例中,位线(CN)30可由多晶硅化物材料(例如,金属材料与硅材料的组合)形成。在其它示范性实施例中,位线(CN)30可由N+掺杂硅层形成。举例来说,位线(CN)30可耦合到多个存储器单元12。位线(CN)30可配置在第三N-区域624的侧部分上。在示范性实施例中,位线(CN)30可配置在与源极线(EN)30相对的侧部分上。
氧化物层128可形成在P-衬底130上。举例来说,氧化物层128可由绝缘材料形成。在示范性实施例中,氧化物层128可由绝缘氧化物材料形成。氧化物层128可包括由绝缘氧化物材料形成的多个势垒壁。所述多个势垒壁可在存储器单元阵列20的列方向及行方向上定向。举例来说,多个势垒壁中的第一势垒壁可在列方向上定向。多个势垒壁中的第二势垒壁可在行方向上定向。在示范性实施例中,在列方向上定向的第一势垒壁及在行方向上定向的第二势垒壁可交叉以形成沟道区域。氧化物层128可形成沟道区域,所述沟道区域可具有用于适应其中一个或一个以上存储器单元12的横截面形状。举例来说,所述沟道区域可具有可适应一个或一个以上存储器单元12的正方形、矩形、圆柱形及/或其它形状的横截面形状。
在示范性实施例中,P-衬底130可以P阱衬底的形式来制造。在另一示范性实施例中,P-衬底130可由包含受主杂质的半导体材料(例如,硅)制成,且可形成存储器单元阵列20的基部。在替代示范性实施例中,多个P-衬底130可形成存储器单元阵列20的基部,或单个P-衬底130可形成存储器单元阵列20的基部。
绝缘层132可形成在P+区域626的顶部。举例来说,绝缘层132可由绝缘材料、氧化物材料及/或电介质材料形成。在示范性实施例中,绝缘层132可由氮化硅材料形成。绝缘层132可形成在P+区域626上方以电绝缘P+区域626。
参考图7,展示根据本发明的替代实施例的图1中展示的存储器单元阵列20的至少一部分的横截面图。图7说明存储器单元阵列20的至少一部分的沿着位线(CN)30的横截面图,及存储器单元阵列20的至少一部分的沿着字线(WL)28的横截面图。存储器单元阵列20的存储器单元12可以具有各种区域的垂直配置来实施。举例来说,存储器单元12可包含第一N-区域720、第二N-区域722、第三N-区域724及/或P+区域726。第一N-区域720、第二N-区域722、第三N-区域724及/或P+区域726可以连续相邻关系安置,且可从由N+衬底130界定的平面垂直延伸。在示范性实施例中,第二N-区域722可为存储器单元12的经配置以积累/存储电荷的电浮动体区域,且可与多个字线(WL)28间隔开且电容性地耦合到多个字线(WL)28。
存储器单元12的第一N-区域720可耦合到源极线(EN)32。存储器单元12的第二N-区域722可电容性地耦合到字线(WL)28。存储器单元12的第三N-区域724可耦合到位线(CN)30。存储器单元12的P+区域726可耦合到载流子注入线(EP)34。
第一N-区域720、第二N-区域722及第三N-区域724可由相同材料或不同材料形成。并且,第一N-区域720、第二N-区域722及第三N-区域724可由具有各种掺杂浓度的相同材料形成。在示范性实施例中,第一N-区域720、第二N-区域722及第三N-区域724可由包含施主杂质(例如,氮、砷及/或磷)的半导体材料(例如,硅)形成。在示范性实施例中,第一N-区域720、第二N-区域722及/或第三N-区域724可由带有具有1015原子/cm3到1018原子/cm3的浓度的施主杂质的硅材料形成。
P+区域726可以P阱区域的形式来制造。在另一示范性实施例中,P+区域726可由包含受主杂质的半导体材料(例如,硅)制成,且可形成一个或一个以上存储器单元12的基部。举例来说,P+区域726可形成存储器单元阵列20的一行或一列存储器单元12的基部。P+区域726可包含配置在N+衬底130上方的连续平面区域。P+区域726还可包含形成在所述连续平面区域上的多个势垒壁。P+区域726的多个势垒壁可在存储器单元阵列20的列方向及/或行方向上定向。
源极线(EN)32可由至少一个层形成。在示范性实施例中,源极线(EN)32可包含多个层。举例来说,源极线(EN)32的第一层可由多晶硅材料或二氧化硅材料及/或其组合形成。在另一示范性实施例中,源极线(EN)32的第一层可由包含施主杂质的半导体材料(例如,本征硅)形成。举例来说,源极线(EN)32的第一层可由掺杂有氮、砷及/或磷杂质的硅材料形成。在示范性实施例中,源极线(EN)32的第一层可由带有具有1018原子/cm3或以上的浓度的受体杂质的硅材料形成。源极线(EN)32的第二层可由金属材料、多晶硅材料、二氧化硅材料及/或其组合形成。在示范性实施例中,源极线(EN)32的第二层可由钨、钛、氮化钛、多晶硅或其组合形成。举例来说,源极线(EN)32可耦合到多个存储器单元12(例如,存储器单元阵列20的一列或一行存储器单元12)。源极线(EN)32可配置在第一N-区域720上方。
字线(WL)28可电容性地耦合到第二N-区域722。字线(WL)28可在存储器单元阵列20的行方向上定向,且耦合到多个存储器单元12。字线(WL)28可布置在存储器单元12(例如,位于存储器单元阵列20的行方向上的存储器单元12)的侧部分上。举例来说,字线(WL)28可布置在存储器单元12的第二N-区域722的两个侧部分处。
举例来说,字线(WL)28可由多晶硅化物材料(例如,金属材料与硅材料的组合)、金属材料及/或多晶硅化物材料与金属材料的组合形成。在另一示范性实施例中,字线(WL)28可由N+掺杂硅材料形成。在示范性实施例中,字线(WL)28可将存储器单元选择及控制电路38的电压电位/电流源电容性地耦合到存储器单元12的第二N-区域722。在示范性实施例中,第一字线(WL)28可对存储器单元12实施写入逻辑低(例如,二进制“0”数据状态)操作,而第二字线(WL)28可实施写入逻辑高(例如,二进制“1”数据状态)操作。
位线(CN)30可耦合到存储器单元12的第三N-区域724。位线(CN)30可由金属材料形成。在另一示范性实施例中,位线(CN)30可由多晶硅化物材料(例如,金属材料与硅材料的组合)形成。在其它示范性实施例中,位线(CN)30可由N+掺杂硅层形成。举例来说,位线(CN)30可耦合到多个存储器单元12。位线(CN)30可配置在第三N-区域724的侧部分上。
氧化物层128可形成在P+区域726及/或N+衬底130上。举例来说,氧化物层128可由绝缘材料形成。在示范性实施例中,氧化物层128可由绝缘氧化物材料形成。氧化物层128可包括由绝缘氧化物材料形成的多个势垒壁。所述多个势垒壁可在存储器单元阵列20的列方向及行方向上定向。举例来说,多个势垒壁中的第一势垒壁可在列方向上定向。多个势垒壁中的第二势垒壁可在行方向上定向。在列方向上定向的第一势垒壁可具有与在行方向上定向的第二势垒壁不同的高度。在示范性实施例中,在列方向上定向的第一势垒壁及在行方向上定向的第二势垒壁可交叉以形成沟道区域。氧化物层128可形成沟道区域,所述沟道区域可具有用于适应其中一个或一个以上存储器单元12的横截面形状。举例来说,所述沟道区域可具有可适应一个或一个以上存储器单元12的正方形、矩形、圆柱形及/或其它形状的横截面形状。
在示范性实施例中,N+衬底130可以N阱衬底的形式来制造。在另一示范性实施例中,N+衬底130可由包含施主杂质的半导体材料(例如,硅)制成,且可形成存储器单元阵列20的基部。在替代示范性实施例中,多个N+衬底130可形成存储器单元阵列20的基部,或单个N+衬底130可形成存储器单元阵列20的基部。
绝缘层132可形成在第一N-区域720的顶部。举例来说,绝缘层132可由绝缘材料、氧化物材料及/或电介质材料形成。在示范性实施例中,绝缘层132可由氮化硅材料形成。绝缘层132可形成在第一N-区域720上方以电绝缘源极线(EN)32。
参考图8,展示根据本发明的实施例的图1中展示的存储器单元阵列20的至少一部分的横截面图。图8说明存储器单元阵列20的至少一部分的沿着位线(CN)30的横截面图,及存储器单元阵列20的至少一部分的沿着字线(WL)28的横截面图。存储器单元阵列20的存储器单元12可以具有各种区域的垂直配置来实施。举例来说,存储器单元12可包含第一P-区域820、第二P-区域822、第三P-区域824及/或N+区域826。第一P-区域820、第二P-区域822、第三P-区域824及/或N+区域826可以连续相邻关系安置,且可从由N+衬底130界定的平面垂直延伸。在示范性实施例中,第二P-区域822可为存储器单元12的经配置以积累/存储电荷的电浮动体区域,且可与多个字线(WL)28间隔开且电容性地耦合到多个字线(WL)28。
存储器单元12的第一P-区域820可耦合到源极线(EN)32。存储器单元12的第二P-区域822可电容性地耦合到字线(WL)28。存储器单元12的第三P-区域824可耦合到位线(CN)30。存储器单元12的N+区域826可耦合到载流子注入线(EP)34。
第一P-区域820、第二P-区域822及第三P-区域824可由相同材料或不同材料形成。并且,第一P-区域820、第二P-区域822及第三P-区域824可由具有各种掺杂浓度的相同材料形成。在示范性实施例中,第一P-区域820、第二P-区域822及第三P-区域824可由包含受主杂质的半导体材料(例如,硅)形成。第一P-区域820、第二P-区域822及/或第三P-区域824可由掺杂有硼杂质的硅材料形成。在示范性实施例中,第一P-区域820、第二P-区域822及/或第三P-区域824可由带有具有1015原子/cm3到1018原子/cm3的浓度的受主杂质的硅材料形成。
N+区域826可由至少一个层形成。在示范性实施例中,N+区域826可包含多个层。举例来说,N+区域826的第一层可由多晶硅材料或二氧化硅材料及/或其组合形成。在另一示范性实施例中,N+区域826的第一层可由包含施主杂质的半导体材料(例如,本征硅)形成。举例来说,N+区域826的第一层可由掺杂有硼杂质的硅材料形成。在示范性实施例中,N+区域826的第一层可由带有具有1018原子/cm3或以上的浓度的施主杂质的硅材料形成。N+区域826的第二层可由金属材料、多晶硅材料、二氧化硅材料及/或其组合形成。在示范性实施例中,N+区域826的第二层可由钨、钛、氮化钛、多晶硅或其组合形成。
源极线(EN)32可由金属材料形成。在另一示范性实施例中,源极线(EN)32可由多晶硅化物材料(例如,金属材料与硅材料的组合)形成。在其它示范性实施例中,源极线(EN)32可由P+掺杂硅层形成。源极线(EN)32可将电压电位提供到存储器单元12的第一P-区域820。举例来说,源极线(EN)32可耦合到多个存储器单元12(例如,存储器单元阵列20的一列或一行存储器单元12)。源极线(EN)32可配置在第一P-区域820的侧部分上。
字线(WL)28可电容性地耦合到第二P-区域822。字线(WL)28可在存储器单元阵列20的行方向上定向,且耦合到多个存储器单元12。字线(WL)28可布置在存储器单元12(例如,位于存储器单元阵列20的行方向上的存储器单元12)的侧部分上。举例来说,字线(WL)28可布置在存储器单元12的第二P-区域822的两个侧部分处。
举例来说,字线(WL)28可由多晶硅化物材料(例如,金属材料与硅材料的组合)、金属材料及/或多晶硅化物材料与金属材料的组合形成。在另一示范性实施例中,字线(WL)28可由P+掺杂硅材料形成。在示范性实施例中,字线(WL)28可将存储器单元选择及控制电路38的电压/电流源电容性地耦合到存储器单元12的第二P-区域822。在示范性实施例中,布置在第二P-区域822的侧部分上的第一字线(WL)28可对存储器单元12实施写入逻辑低(例如,二进制“0”数据状态)操作,而布置在第二P-区域822的相对侧部分上的第二字线(WL)28可实施写入逻辑高(例如,二进制“1”数据状态)操作。
位线(CN)30可耦合到存储器单元12的第三P-区域824。位线(CN)30可由金属材料形成。在另一示范性实施例中,位线(CN)30可由多晶硅化物材料(例如,金属材料与硅材料的组合)形成。在其它示范性实施例中,位线(CN)30可由P+掺杂硅层形成。举例来说,位线(CN)30可耦合到多个存储器单元12。位线(CN)30可配置在第三P-区域824的侧部分上。在示范性实施例中,位线(CN)30可配置在与源极线(EN)30相对的侧部分上。
氧化物层128可形成在N+衬底130上。举例来说,氧化物层128可由绝缘材料形成。在示范性实施例中,氧化物层128可由绝缘氧化物材料形成。氧化物层128可包括由绝缘氧化物材料形成的多个势垒壁。所述多个势垒壁可在存储器单元阵列20的列方向及行方向上定向。举例来说,多个势垒壁中的第一势垒壁可在列方向上定向。多个势垒壁中的第二势垒壁可在行方向上定向。在示范性实施例中,在列方向上定向的第一势垒壁及在行方向上定向的第二势垒壁可交叉以形成沟道区域。氧化物层128可形成沟道区域,所述沟道区域可具有用于适应其中一个或一个以上存储器单元12的横截面形状。举例来说,所述沟道区域可具有可适应一个或一个以上存储器单元12的正方形、矩形、圆柱形及/或其它形状的横截面形状。
在示范性实施例中,N+衬底130可以N阱衬底的形式来制造。在另一示范性实施例中,N+衬底130可由包含施主杂质的半导体材料(例如,硅)制成,且可形成存储器单元阵列20的基部。在替代示范性实施例中,多个N+衬底130可形成存储器单元阵列20的基部,或单个N+衬底130可形成存储器单元阵列20的基部。
绝缘层132可形成在N+区域826的顶部。举例来说,绝缘层132可由绝缘材料、氧化物材料及/或电介质材料形成。在示范性实施例中,绝缘层132可由氮化硅材料形成。绝缘层132可形成在N+区域826上方以电绝缘N+区域826。
参考图9,展示根据本发明的替代实施例的图1中展示的存储器单元阵列20的至少一部分的横截面图。图9说明存储器单元阵列20的至少一部分的沿着位线(CN)30的横截面图,及存储器单元阵列20的至少一部分的沿着字线(WL)28的横截面图。存储器单元阵列20的存储器单元12可以具有各种区域的垂直配置来实施。举例来说,存储器单元12可包含第一P-区域920、第二P-区域922、第三P-区域924及/或N+区域926。第一P-区域920、第二P-区域922、第三P-区域924及/或N+区域926可以连续相邻关系安置,且可从由P+衬底130界定的平面垂直延伸。在示范性实施例中,第二P-区域922可为存储器单元12的经配置以积累/存储电荷的电浮动体区域,且可与多个字线(WL)28间隔开且电容性地耦合到多个字线(WL)28。
存储器单元12的第一P-区域920可耦合到位线(CN)30。存储器单元12的第二P-区域922可电容性地耦合到字线(WL)28。存储器单元12的第三P-区域924可耦合到源极线(EN)32。存储器单元12的N+区域926可耦合到载流子注入线(EP)34。
第一P-区域920、第二P-区域922及第三P-区域924可由相同材料或不同材料形成。并且,第一P-区域920、第二P-区域922及第三P-区域924可由具有各种掺杂浓度的相同材料形成。在示范性实施例中,第一P-区域920、第二P-区域922及第三P-区域924可由包含受主杂质的半导体材料(例如,硅)形成。举例来说,第一P-区域920、第二P-区域922及/或第三P-区域924可由掺杂有硼杂质的硅材料形成。在示范性实施例中,第一P-区域920、第二P-区域922及/或第三P-区域924可由带有具有1015原子/cm3到1018原子/cm3的浓度的受主杂质的硅材料形成。
N+区域926可以N阱区域的形式来制造。在另一示范性实施例中,N+区域926可由包含施主杂质的半导体材料(例如,硅)制成,且可形成一个或一个以上存储器单元12的基部。举例来说,N+区域926可形成存储器单元阵列20的一行或一列存储器单元12的基部。N+区域926可包含配置在P+衬底130上方的连续平面区域。N+区域926还可包含形成在所述连续平面区域上的多个势垒壁。N+区域926的多个势垒壁可在存储器单元阵列20的列方向及/或行方向上定向。
位线(CN)30可由至少一个层形成。在示范性实施例中,位线(CN)30可包含多个层。举例来说,位线(CN)32的第一层可由多晶硅材料或二氧化硅材料及/或其组合形成。在另一示范性实施例中,位线(CN)30的第一层可由包含施主杂质的半导体材料(例如,本征硅)形成。举例来说,位线(CN)30的第一层可由掺杂有氮、砷及/或磷杂质的硅材料形成。在示范性实施例中,位线(CN)30的第一层可由带有具有1018原子/cm3或以上的浓度的施主杂质的硅材料形成。位线(CN)30的第二层可由金属材料、多晶硅材料、二氧化硅材料及/或其组合形成。在示范性实施例中,位线(CN)30的第二层可由钨、钛、氮化钛、多晶硅或其组合形成。举例来说,位线(CN)30可耦合到多个存储器单元12(例如,存储器单元阵列20的一列或一行存储器单元12)。位线(CN)30可配置在第一P-区域920上方。
字线(WL)28可电容性地耦合到第二P-区域922。字线(WL)28可在存储器单元阵列20的行方向上定向,且耦合到多个存储器单元12。字线(WL)28可布置在存储器单元12(例如,位于存储器单元阵列20的行方向上的存储器单元12)的侧部分上。举例来说,字线(WL)28可布置在存储器单元12的第二P-区域922的两个侧部分处。
举例来说,字线(WL)28可由多晶硅化物材料(例如,金属材料与硅材料的组合)、金属材料及/或多晶硅化物材料与金属材料的组合形成。在另一示范性实施例中,字线(WL)28可由N+掺杂硅材料形成。在示范性实施例中,字线(WL)28可将存储器单元选择及控制电路38的电压电位/电流源电容性地耦合到存储器单元12的第二P-区域922。在示范性实施例中,第一字线(WL)28可对存储器单元12实施写入逻辑低(例如,二进制“0”数据状态)操作,而第二字线(WL)28可实施写入逻辑高(例如,二进制“1”数据状态)操作。
源极线(EN)32可耦合到存储器单元12的第三P-区域924。源极线(EN)32可由金属材料形成。在另一示范性实施例中,源极线(EN)32可由多晶硅化物材料(例如,金属材料与硅材料的组合)形成。在其它示范性实施例中,源极线(EN)32可由P+掺杂硅层形成。举例来说,源极线(EN)32可耦合到多个存储器单元12。源极线(EN)32可配置在第三P-区域924的侧部分上。
氧化物层128可形成在N+区域926及/或P+衬底130上。举例来说,氧化物层128可由绝缘材料形成。在示范性实施例中,氧化物层128可由绝缘氧化物材料形成。氧化物层128可包括由绝缘氧化物材料形成的多个势垒壁。所述多个势垒壁可在存储器单元阵列20的列方向及行方向上定向。举例来说,多个势垒壁中的第一势垒壁可在列方向上定向。多个势垒壁中的第二势垒壁可在行方向上定向。在列方向上定向的第一势垒壁可具有与在行方向上定向的第二势垒壁不同的高度。在示范性实施例中,在列方向上定向的第一势垒壁及在行方向上定向的第二势垒壁可交叉以形成沟道区域。氧化物层128可形成沟道区域,所述沟道区域可具有用于适应其中一个或一个以上存储器单元12的横截面形状。举例来说,所述沟道区域可具有可适应一个或一个以上存储器单元12的正方形、矩形、圆柱形及/或其它形状的横截面形状。
在示范性实施例中,P+衬底130可以P阱衬底的形式来制造。在另一示范性实施例中,P+衬底130可由包含受主杂质的半导体材料(例如,硅)制成,且可形成存储器单元阵列20的基部。在替代示范性实施例中,多个P+衬底130可形成存储器单元阵列20的基部,或单个P+衬底130可形成存储器单元阵列20的基部。
绝缘层132可形成在第一P-区域920的顶部。举例来说,绝缘层132可由绝缘材料、氧化物材料及/或电介质材料形成。在示范性实施例中,绝缘层132可由氮化硅材料形成。绝缘层132可形成在第一P-区域920上方以电绝缘位线(CN)30。
参考图10,展示根据本发明的实施例的用于对图2中展示的存储器单元12执行写入操作的控制信号电压波形。举例来说,各种控制信号可经配置以执行写入逻辑低(例如,二进制“0”数据状态)操作及/或写入逻辑高(例如,二进制“1”数据状态)操作。在示范性实施例中,可将各种控制信号施加到存储器单元12,以向一个或一个以上所选择的存储器单元12执行一个或一个以上写入逻辑低(例如,二进制“0”数据状态)操作。举例来说,可向一个或一个以上所选择的存储器单元12执行写入逻辑低(例如,二进制“0”数据状态)操作,以便耗尽可能已经积累/存储在所述一个或一个以上所选择的存储器单元12的浮动体区域中的电荷载流子。可将各种电压电位施加到存储器单元12的各种区域。在示范性实施例中,施加到第一N-区域120、第三N-区域124及/或P-区域126的电压电位可维持在0V。施加到可电容性地耦合到第二N-区域122的字线(WL)28的电压电位可从在保持操作期间施加的电压电位上升。在示范性实施例中,施加到可电容性地耦合到第二N-区域122的字线(WL)28的电压电位可上升到-0.5V。
在此偏置下,第一N-区域120与第二N-区域122之间的结及第二N-区域122与第三N-区域124之间的结可被正向偏置。第三N-区域124与P-区域126之间的结可被反向偏置或较弱地正向偏置(例如,在反向偏置电压之上且在正向偏置阈值电压电位之下)。可能已经积累/存储在第二N-区域122中的空穴电荷载流子可流到第一N-区域120及/或第三N-区域124。因此,可能已经积累/存储在第二N-区域122中的空穴电荷载流子可经由第一N-区域120及/或第三N-区域124来耗尽。通过移除可能已经积累/存储在第二N-区域122中的空穴电荷载流子,可将逻辑低(例如,二进制“0”数据状态)写入到存储器单元12。
在执行写入逻辑低(例如,二进制“0”数据状态)操作之后,控制信号可经配置以执行保持操作,以便维持存储在存储器单元12中的数据状态(例如,逻辑高(二进制“1”数据状态))。特定来说,控制信号可经配置以执行保持操作,以便使存储在存储器单元12中的数据状态(例如,逻辑低(二进制“0”数据状态))的保持时间最大化。并且,用于保持操作的控制信号可经配置以消除或减少存储器单元12内的活动或场(例如,可导致电荷泄漏的在结之间的电场)。在示范性实施例中,在保持操作期间,可将负电压电位施加到可电容性地耦合到存储器单元12的第二N-区域122的字线(WL)28,同时可将恒定电压电位经由源极线(EN)32施加到第一N-区域120、经由位线(CN)30施加到第三N-区域124且/或经由载流子注入线(EP)34施加到P-区域126,且可维持在0V。
举例来说,施加到字线(WL)28(例如,电容性地耦合到存储器单元12的P-区域122)的负电压电位可为-2.0V。在保持操作期间,可反向偏置第一N-区域120与第二N-区域122之间的结及第三N-区域124与第二N-区域122之间的结,以便保持存储在存储器单元12中的数据状态(例如,逻辑高(二进制“1”数据状态)或逻辑低(二进制“0”数据状态))。
在另一示范性实施例中,控制信号可经配置以将逻辑高(例如,二进制“1”数据状态)写入到存储器单元阵列20的一个或一个以上所选择的行的一个或一个以上所选择的存储器单元12。举例来说,可对存储器单元阵列20的一个或一个以上所选择的行或整个存储器单元阵列20执行写入逻辑高(例如,二进制“1”数据状态)操作。在另一示范性实施例中,写入逻辑高(例如,二进制“1”数据状态)操作可具有经配置以引起空穴电荷载流子积累/存储在第二N-区域122中的控制信号。
在示范性实施例中,经由源极线(EN)32施加到存储器单元12的第一N-区域120的电压电位及经由位线(CN)30施加到第三N-区域124的电压电位可维持在与保持操作期间的电压电位相同的电压电位。举例来说,经由源极线(EN)32施加到第一N-区域120及经由位线(CN)30施加到第三N-区域124的电压电位可维持在0V。施加到可电容性地耦合到第二N-区域122的字线(WL)28的电压电位也可维持在与保持操作期间的电压电位相同的电压电位。举例来说,施加到可电容性地耦合到第二N-区域122的字线(WL)28的电压电位可维持在-2.0V。
经由载流子注入线(EP)34施加到P-区域126的电压电位可从在保持操作期间施加的电压电位上升。在示范性实施例中,经由载流子注入线(EP)34施加到P-区域126的电压电位可从0V上升到约0.7V到0.9V。
在此偏置下,第三N-区域124与P-区域126之间的结可变为正向偏置。举例来说,多数电荷载流子(例如,空穴)可经由第三N-区域124从P-区域126流向第二N-区域122。因此,预定量的空穴电荷载流子可经由P+区域126及第三N-区域124而积累/存储在N-区域122中。积累/存储在第二N-区域122(例如,电容性地耦合到字线(WL)28)中的预定量的电荷载流子可表示逻辑高(例如,二进制“1”数据状态)可被写入在存储器单元12中。
参考图11,展示根据本发明的实施例的用于对图2中展示的存储器单元12执行读取操作的控制信号电压波形。在示范性实施例中,控制信号可经配置以执行对存储在存储器单元阵列20的一个或一个以上所选择的行的一个或一个以上所选择的存储器单元12中的数据状态(例如,逻辑低(二进制“0”数据状态)及/或逻辑高(二进制“1”数据状态))的读取操作。
控制信号可经配置为预定电压电位以经由位线(CN)30实施读取操作。在示范性实施例中,经由源极线(EN)32施加到第一N-区域120的电压电位及经由载流子注入线(EP)34施加到P-区域126的电压电位可维持在0V。施加到可电容性地耦合到第二N-区域122的字线(WL)28的电压电位及施加到第三N-区域124的电压电位可从在保持操作期间施加的电压电位上升。在示范性实施例中,施加到可电容性地耦合到第二N-区域122的字线(WL)28的电压电位可从-2.0V上升到-1.0V。经由位线(CN)30施加到第三N-区域124的电压电位可从0V上升到1.0V。
在此偏置下,当将逻辑低(例如,二进制“0”数据状态)存储在存储器单元12中时,在保持操作期间积累/存储在第二N-区域122中的预定量的空穴电荷载流子可流向第三N-区域124。流到第三N-区域124的预定量的空穴电荷载流子可引起来自第三N-区域124的电子电荷载流子的注入。来自第三N-区域124的电子电荷载流子的注入可引起电流尖峰且可改变位线(CN)30上的电压电位。数据写入及感测电路36中的数据感测放大器可经由耦合到第三N-区域124的位线(CN)30而检测到小量的电压电位或电流(例如,与参考电压电位或电流相比)或检测不到电压电位或电流。
当将逻辑高(例如,二进制“1”数据状态)存储在存储器单元12中时,积累/存储在第二N-区域122中的预定量的空穴电荷载流子(例如,其可表示逻辑高(例如,二进制“1”数据状态))可流向第三N-区域124。注入到第三N-区域124中的预定量的空穴电荷载流子还可引起电子电荷载流子注入到第三N-区域124中。电子电荷载流子注入到第三N-区域124中可引起电流尖峰且可改变位线(CN)30上的电压电位。数据写入及感测电路36中的数据感测放大器可经由位线(CN)30检测所产生的电压电位或电流(例如,与参考电压电位或电流相比)。
在这里,应注意,提供用于提供根据如上文描述的本发明的半导体存储器装置的技术通常涉及某种程度上的输入数据的处理及输出数据的产生。此输入数据处理及输出数据产生可以硬件或软件来实施。举例来说,特定电子组件可在半导体存储器装置或类似或相关电路中使用以用于实施与提供根据如上文描述的本发明的半导体存储器装置相关联的功能。或者,根据指令进行操作的一个或一个以上处理器可实施与提供根据如上文描述的本发明的半导体存储器装置相关联的功能。如果情况是这样的,那么在本发明的范围内的是,此类指令可存储在一个或一个以上处理器可读媒体(例如,磁盘或其它存储媒体)上,或经由体现在一个或一个以上载波中的一个或一个以上信号发射到一个或一个以上处理器。
本发明在范围上不应由本文中描述的特定实施例限制。而是,除了本文中所描述的那些之外,所属领域的技术人员将从前述描述及附图中容易明白本发明的其它各种实施例及对本发明的修改。因此,此些其它实施例及修改意在落在本发明的范围内。此外,虽然本文中已出于特定目的而在特定环境中在特定实施方案的上下文中描述了本发明,但所属领域的技术人员将认识到,本发明的有用性不限于此,且本发明可有利地出于任何数目的目的在任何数目的环境中实施。因此,所附权利要求书应在如本文中描述的本发明的整个广度及精神的背景下理解。

Claims (27)

1.一种半导体存储器装置,其包含:
以行及列的阵列布置的多个存储器单元,每一存储器单元包含:
第一区域,其耦合到源极线;
第二区域,其耦合到位线;
体区域,其电容性地耦合到至少一个字线且安置在所述第一区域与所述第二区域之间;及
第三区域,其耦合到载流子注入线;
其中所述第一区域、所述第二区域和所述体区域具有共同的第一掺杂极性;
其中所述第三区域具有与所述第一掺杂极性不同的第二掺杂极性。
2.根据权利要求1所述的半导体存储器装置,其中所述第一区域耦合到第一多晶硅插塞,且所述第二区域耦合到第二多晶硅插塞。
3.根据权利要求1所述的半导体存储器装置,其中所述第一区域、所述第二区域、所述体区域及所述第三区域以平面配置来布置。
4.根据权利要求3所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域掺杂有施主杂质。
5.根据权利要求4所述的半导体存储器装置,其中所述第三区域掺杂有受主杂质。
6.根据权利要求3所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域为未掺杂区域。
7.根据权利要求3所述的半导体存储器装置,其中所述体区域耦合到第一掺杂区域,且所述第三区域耦合到第二掺杂区域。
8.根据权利要求7所述的半导体存储器装置,其中所述第二掺杂区域掺杂有受主杂质,所述受主杂质具有高于所述第三区域的浓度。
9.根据权利要求3所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域掺杂有受主杂质。
10.根据权利要求3所述的半导体存储器装置,其中所述第三区域掺杂有施主杂质。
11.根据权利要求10所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域为未掺杂区域。
12.根据权利要求1所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域以垂直配置来布置。
13.根据权利要求12所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域掺杂有施主杂质。
14.根据权利要求13所述的半导体存储器装置,其中所述第三区域掺杂有受主杂质。
15.根据权利要求14所述的半导体存储器装置,其中所述第三区域由P阱区域制成。
16.根据权利要求1所述的半导体存储器装置,其中所述第一区域、所述第二区域以及所述体区域具有各种掺杂浓度。
17.根据权利要求12所述的半导体存储器装置,其中所述源极线及所述位线布置在所述存储器单元的相对侧上。
18.根据权利要求12所述的半导体存储器装置,其中所述第一区域、所述第二区域及所述体区域掺杂有受主杂质。
19.根据权利要求18所述的半导体存储器装置,其中所述第三区域掺杂有施主杂质。
20.根据权利要求19所述的半导体存储器装置,其中所述第三区域由N阱区域制成。
21.一种用于对半导体存储器装置进行偏置的方法,其包含以下步骤:
经由存储器单元阵列的相应源极线将第一电压电位施加到所述阵列的第一存储器单元的第一区域;
经由所述阵列的相应位线将第二电压电位施加到所述第一存储器单元的第二区域;
经由电容性地耦合到所述第一存储器单元的体区域的所述阵列的至少一个相应字线将第三电压电位施加到所述第一存储器单元的所述体区域;及
经由所述阵列的相应载流子注入线将第四电压电位施加到所述第一存储器单元的第三区域,
其中所述第一区域、所述第二区域和所述体区域具有共同的第一掺杂极性。
22.根据权利要求21所述的方法,其进一步包含增加在保持操作期间施加到所述至少一个相应字线的所述第三电压电位,以便执行写入逻辑低操作。
23.根据权利要求21所述的方法,其进一步包含维持在保持操作期间施加的所述第一电压电位、所述第二电压电位及所述第四电压电位,以便执行写入逻辑低操作。
24.根据权利要求21所述的方法,其进一步包含增加在保持操作期间施加的所述第四电压电位,以便执行写入逻辑高操作。
25.根据权利要求21所述的方法,其进一步包含维持在保持操作期间施加的所述第一电压电位、所述第二电压电位及所述第三电压电位,以便执行写入逻辑高操作。
26.根据权利要求21所述的方法,其进一步包含增加在保持操作期间施加的所述第二电压电位,以便执行读取操作。
27.根据权利要求21所述的方法,其进一步包含增加在保持操作期间施加的所述第三电压电位,以便执行读取操作。
CN201180013884.9A 2010-03-15 2011-03-14 半导体存储器装置及用于对半导体存储器装置进行偏置的方法 Active CN102812552B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31398610P 2010-03-15 2010-03-15
US61/313,986 2010-03-15
PCT/US2011/028323 WO2011115893A2 (en) 2010-03-15 2011-03-14 Techniques for providing a semiconductor memory device

Publications (2)

Publication Number Publication Date
CN102812552A CN102812552A (zh) 2012-12-05
CN102812552B true CN102812552B (zh) 2015-11-25

Family

ID=44559854

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180013884.9A Active CN102812552B (zh) 2010-03-15 2011-03-14 半导体存储器装置及用于对半导体存储器装置进行偏置的方法

Country Status (5)

Country Link
US (3) US8547738B2 (zh)
EP (2) EP2548227B1 (zh)
KR (1) KR20130007609A (zh)
CN (1) CN102812552B (zh)
WO (1) WO2011115893A2 (zh)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US9941332B2 (en) * 2009-10-12 2018-04-10 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
JP2014022548A (ja) * 2012-07-18 2014-02-03 Ps4 Luxco S A R L 半導体装置及びその製造方法
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
CN103258813B (zh) * 2013-04-24 2016-08-24 上海华虹宏力半导体制造有限公司 部分耗尽soi mosfet的测试结构及其形成方法
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9666717B2 (en) * 2014-03-18 2017-05-30 Global Foundries, Inc. Split well zero threshold voltage field effect transistor for integrated circuits
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US9639650B2 (en) 2015-05-19 2017-05-02 Globalfoundries Inc. Method, apparatus, and system for offset metal power rail for cell design
US9818466B2 (en) 2015-06-30 2017-11-14 University Of South Florida Robust slope detection technique for STTRAM and MRAM sensing
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US20180102161A1 (en) * 2016-10-07 2018-04-12 Kilopass Technology, Inc. Vertical Thyristor Memory Array and Memory Array Tile Therefor
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1366347A (zh) * 2001-01-18 2002-08-28 株式会社东芝 半导体器件及其制造方法
CN1401140A (zh) * 2000-08-14 2003-03-05 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
US6825524B1 (en) * 2003-08-29 2004-11-30 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device

Family Cites Families (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA272437A (en) 1925-10-22 1927-07-19 Edgar Lilienfeld Julius Electric current control mechanism
US3439214A (en) 1968-03-04 1969-04-15 Fairchild Camera Instr Co Beam-junction scan converter
US4032947A (en) 1971-10-20 1977-06-28 Siemens Aktiengesellschaft Controllable charge-coupled semiconductor device
IT979035B (it) 1972-04-25 1974-09-30 Ibm Dispositivo a circuito integrato per la memorizzazione di informa zioni binarie ad emissione elettro luminescente
FR2197494A5 (zh) 1972-08-25 1974-03-22 Radiotechnique Compelec
US3997799A (en) 1975-09-15 1976-12-14 Baker Roger T Semiconductor-device for the storage of binary data
JPS5562858A (en) 1978-11-06 1980-05-12 Mitsubishi Metal Corp Sintering material with tenacity and abrasion resistance
JPS5567993A (en) 1978-11-14 1980-05-22 Fujitsu Ltd Semiconductor memory unit
US4250569A (en) 1978-11-15 1981-02-10 Fujitsu Limited Semiconductor memory device
EP0014388B1 (en) 1979-01-25 1983-12-21 Nec Corporation Semiconductor memory device
JPS55113359A (en) 1979-02-22 1980-09-01 Fujitsu Ltd Semiconductor integrated circuit device
JPS627149Y2 (zh) 1979-03-08 1987-02-19
DE3067215D1 (en) 1979-12-13 1984-04-26 Fujitsu Ltd Charge-pumping semiconductor memory cell comprising a charge-storage region and memory device using such a cell
JPS5742161A (en) 1980-08-28 1982-03-09 Fujitsu Ltd Semiconductor and production thereof
JPS5982761A (ja) 1982-11-04 1984-05-12 Hitachi Ltd 半導体メモリ
JPS6070760A (ja) 1983-09-27 1985-04-22 Fujitsu Ltd 半導体記憶装置
US4658377A (en) 1984-07-26 1987-04-14 Texas Instruments Incorporated Dynamic memory array with segmented bit lines
JPS6177359A (ja) 1984-09-21 1986-04-19 Fujitsu Ltd 半導体記憶装置
JPS61280651A (ja) 1985-05-24 1986-12-11 Fujitsu Ltd 半導体記憶装置
JPH0671067B2 (ja) 1985-11-20 1994-09-07 株式会社日立製作所 半導体装置
JPS62272561A (ja) 1986-05-20 1987-11-26 Seiko Epson Corp 1トランジスタ型メモリセル
JPS6319847A (ja) 1986-07-14 1988-01-27 Oki Electric Ind Co Ltd 半導体記憶装置
US4807195A (en) 1987-05-18 1989-02-21 International Business Machines Corporation Apparatus and method for providing a dual sense amplifier with divided bit line isolation
US4816884A (en) 1987-07-20 1989-03-28 International Business Machines Corporation High density vertical trench transistor and capacitor memory cell structure and fabrication method therefor
JP2582794B2 (ja) 1987-08-10 1997-02-19 株式会社東芝 半導体装置及びその製造方法
US5677867A (en) 1991-06-12 1997-10-14 Hazani; Emanuel Memory with isolatable expandable bit lines
EP0333426B1 (en) 1988-03-15 1996-07-10 Kabushiki Kaisha Toshiba Dynamic RAM
FR2629941B1 (fr) 1988-04-12 1991-01-18 Commissariat Energie Atomique Memoire et cellule memoire statiques du type mis, procede de memorisation
JPH0666443B2 (ja) 1988-07-07 1994-08-24 株式会社東芝 半導体メモリセルおよび半導体メモリ
US4910709A (en) 1988-08-10 1990-03-20 International Business Machines Corporation Complementary metal-oxide-semiconductor transistor and one-capacitor dynamic-random-access memory cell
US5164805A (en) 1988-08-22 1992-11-17 Massachusetts Institute Of Technology Near-intrinsic thin-film SOI FETS
US5144390A (en) 1988-09-02 1992-09-01 Texas Instruments Incorporated Silicon-on insulator transistor with internal body node to source node connection
US5258635A (en) 1988-09-06 1993-11-02 Kabushiki Kaisha Toshiba MOS-type semiconductor integrated circuit device
JPH02168496A (ja) 1988-09-14 1990-06-28 Kawasaki Steel Corp 半導体メモリ回路
NL8802423A (nl) 1988-10-03 1990-05-01 Imec Inter Uni Micro Electr Werkwijze voor het bedrijven van een mos-structuur en daarvoor geschikte mos-structuur.
US4894697A (en) 1988-10-31 1990-01-16 International Business Machines Corporation Ultra dense dram cell and its method of fabrication
US5010524A (en) 1989-04-20 1991-04-23 International Business Machines Corporation Crosstalk-shielded-bit-line dram
JPH02294076A (ja) 1989-05-08 1990-12-05 Hitachi Ltd 半導体集積回路装置
JPH03171768A (ja) 1989-11-30 1991-07-25 Toshiba Corp 半導体記憶装置
US5366917A (en) 1990-03-20 1994-11-22 Nec Corporation Method for fabricating polycrystalline silicon having micro roughness on the surface
US5024993A (en) 1990-05-02 1991-06-18 Microelectronics & Computer Technology Corporation Superconducting-semiconducting circuits, devices and systems
US5313432A (en) 1990-05-23 1994-05-17 Texas Instruments Incorporated Segmented, multiple-decoder memory array and method for programming a memory array
JPH07123145B2 (ja) 1990-06-27 1995-12-25 株式会社東芝 半導体集積回路
DE69111929T2 (de) 1990-07-09 1996-03-28 Sony Corp Halbleiteranordnung auf einem dielektrischen isolierten Substrat.
JPH04176163A (ja) 1990-11-08 1992-06-23 Fujitsu Ltd 半導体装置及びその製造方法
JP2700955B2 (ja) 1991-01-11 1998-01-21 三菱電機株式会社 電界効果型トランジスタを備えた半導体装置
US5331197A (en) 1991-04-23 1994-07-19 Canon Kabushiki Kaisha Semiconductor memory device including gate electrode sandwiching a channel region
US5424567A (en) 1991-05-15 1995-06-13 North American Philips Corporation Protected programmable transistor with reduced parasitic capacitances and method of fabrication
US5515383A (en) 1991-05-28 1996-05-07 The Boeing Company Built-in self-test system and method for self test of an integrated circuit
US5355330A (en) 1991-08-29 1994-10-11 Hitachi, Ltd. Capacitive memory having a PN junction writing and tunneling through an insulator of a charge holding electrode
JPH05347419A (ja) 1991-08-29 1993-12-27 Hitachi Ltd 半導体記憶装置
DE69226687T2 (de) 1991-10-16 1999-04-15 Sony Corp Verfahren zur Herstellung einer SOI-Struktur mit einem DRAM
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
US5397726A (en) 1992-02-04 1995-03-14 National Semiconductor Corporation Segment-erasable flash EPROM
EP0564204A3 (en) 1992-03-30 1994-09-28 Mitsubishi Electric Corp Semiconductor device
US5528062A (en) 1992-06-17 1996-06-18 International Business Machines Corporation High-density DRAM structure on soi
US5315541A (en) 1992-07-24 1994-05-24 Sundisk Corporation Segmented column memory array
EP0599388B1 (en) 1992-11-20 2000-08-02 Koninklijke Philips Electronics N.V. Semiconductor device provided with a programmable element
JPH06216338A (ja) 1992-11-27 1994-08-05 Internatl Business Mach Corp <Ibm> 半導体メモリセル及びその製造方法
JPH0799251A (ja) 1992-12-10 1995-04-11 Sony Corp 半導体メモリセル
DE69329376T2 (de) 1992-12-30 2001-01-04 Samsung Electronics Co Ltd Verfahren zur Herstellung einer SOI-Transistor-DRAM
US5986914A (en) 1993-03-31 1999-11-16 Stmicroelectronics, Inc. Active hierarchical bitline memory architecture
JP3613594B2 (ja) 1993-08-19 2005-01-26 株式会社ルネサステクノロジ 半導体素子およびこれを用いた半導体記憶装置
EP0655788B1 (en) 1993-11-29 1998-01-21 STMicroelectronics S.A. A volatile memory cell
US5448513A (en) 1993-12-02 1995-09-05 Regents Of The University Of California Capacitorless DRAM device on silicon-on-insulator substrate
US5432730A (en) 1993-12-20 1995-07-11 Waferscale Integration, Inc. Electrically programmable read only memory array
US5489792A (en) 1994-04-07 1996-02-06 Regents Of The University Of California Silicon-on-insulator transistors having improved current characteristics and reduced electrostatic discharge susceptibility
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
JP3273582B2 (ja) 1994-05-13 2002-04-08 キヤノン株式会社 記憶装置
JPH0832040A (ja) 1994-07-14 1996-02-02 Nec Corp 半導体装置
US5583808A (en) 1994-09-16 1996-12-10 National Semiconductor Corporation EPROM array segmented for high performance and method for controlling same
JP3304635B2 (ja) 1994-09-26 2002-07-22 三菱電機株式会社 半導体記憶装置
US5627092A (en) 1994-09-26 1997-05-06 Siemens Aktiengesellschaft Deep trench dram process on SOI for low leakage DRAM cell
US5593912A (en) 1994-10-06 1997-01-14 International Business Machines Corporation SOI trench DRAM cell for 256 MB DRAM and beyond
FR2726935B1 (fr) 1994-11-10 1996-12-13 Commissariat Energie Atomique Dispositif a memoire non-volatile electriquement effacable et procede de realisation d'un tel dispositif
JP3315293B2 (ja) 1995-01-05 2002-08-19 株式会社東芝 半導体記憶装置
JP3274306B2 (ja) 1995-01-20 2002-04-15 株式会社東芝 半導体集積回路装置
US6292424B1 (en) 1995-01-20 2001-09-18 Kabushiki Kaisha Toshiba DRAM having a power supply voltage lowering circuit
JP2806286B2 (ja) 1995-02-07 1998-09-30 日本電気株式会社 半導体装置
JP3407232B2 (ja) 1995-02-08 2003-05-19 富士通株式会社 半導体記憶装置及びその動作方法
JPH08222648A (ja) 1995-02-14 1996-08-30 Canon Inc 記憶装置
EP1209747A3 (en) 1995-02-17 2002-07-24 Hitachi, Ltd. Semiconductor memory element
JP3600335B2 (ja) 1995-03-27 2004-12-15 株式会社東芝 半導体装置
JPH08274277A (ja) 1995-03-31 1996-10-18 Toyota Central Res & Dev Lab Inc 半導体記憶装置およびその製造方法
US5568356A (en) 1995-04-18 1996-10-22 Hughes Aircraft Company Stacked module assembly including electrically interconnected switching module and plural electronic modules
US5821769A (en) 1995-04-21 1998-10-13 Nippon Telegraph And Telephone Corporation Low voltage CMOS logic circuit with threshold voltage control
US5606188A (en) 1995-04-26 1997-02-25 International Business Machines Corporation Fabrication process and structure for a contacted-body silicon-on-insulator dynamic random access memory
JP2848272B2 (ja) 1995-05-12 1999-01-20 日本電気株式会社 半導体記憶装置
DE19519159C2 (de) 1995-05-24 1998-07-09 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US5629546A (en) 1995-06-21 1997-05-13 Micron Technology, Inc. Static memory cell and method of manufacturing a static memory cell
JPH0946688A (ja) 1995-07-26 1997-02-14 Fujitsu Ltd ビデオ情報提供/受信システム
US6480407B1 (en) 1995-08-25 2002-11-12 Micron Technology, Inc. Reduced area sense amplifier isolation layout in a dynamic RAM architecture
JPH0982912A (ja) 1995-09-13 1997-03-28 Toshiba Corp 半導体記憶装置及びその製造方法
JP3853406B2 (ja) 1995-10-27 2006-12-06 エルピーダメモリ株式会社 半導体集積回路装置及び当該装置の製造方法
US5585285A (en) 1995-12-06 1996-12-17 Micron Technology, Inc. Method of forming dynamic random access memory circuitry using SOI and isolation trenches
DE19603810C1 (de) 1996-02-02 1997-08-28 Siemens Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
JP3759648B2 (ja) 1996-03-04 2006-03-29 株式会社ルネサステクノロジ 半導体記憶装置
US5936265A (en) 1996-03-25 1999-08-10 Kabushiki Kaisha Toshiba Semiconductor device including a tunnel effect element
DE69739692D1 (de) 1996-04-08 2010-01-21 Hitachi Ltd Integrierte halbleiterschaltungsvorrichtung
EP0801427A3 (en) 1996-04-11 1999-05-06 Matsushita Electric Industrial Co., Ltd. Field effect transistor, semiconductor storage device, method of manufacturing the same and method of driving semiconductor storage device
US5715193A (en) 1996-05-23 1998-02-03 Micron Quantum Devices, Inc. Flash memory system and method for monitoring the disturb effect on memory cell blocks due to high voltage conditions of other memory cell blocks
US6424016B1 (en) 1996-05-24 2002-07-23 Texas Instruments Incorporated SOI DRAM having P-doped polysilicon gate for a memory pass transistor
US5754469A (en) 1996-06-14 1998-05-19 Macronix International Co., Ltd. Page mode floating gate memory device storing multiple bits per cell
US5886376A (en) 1996-07-01 1999-03-23 International Business Machines Corporation EEPROM having coplanar on-insulator FET and control gate
US5778243A (en) 1996-07-03 1998-07-07 International Business Machines Corporation Multi-threaded cell for a memory
US5811283A (en) 1996-08-13 1998-09-22 United Microelectronics Corporation Silicon on insulator (SOI) dram cell structure and process
JP3260660B2 (ja) 1996-08-22 2002-02-25 株式会社東芝 半導体装置およびその製造方法
US5774411A (en) 1996-09-12 1998-06-30 International Business Machines Corporation Methods to enhance SOI SRAM cell stability
US5798968A (en) 1996-09-24 1998-08-25 Sandisk Corporation Plane decode/virtual sector architecture
JP2877103B2 (ja) 1996-10-21 1999-03-31 日本電気株式会社 不揮発性半導体記憶装置およびその製造方法
US6097624A (en) 1997-09-17 2000-08-01 Samsung Electronics Co., Ltd. Methods of operating ferroelectric memory devices having reconfigurable bit lines
KR19980057003A (ko) 1996-12-30 1998-09-25 김영환 반도체 메모리 디바이스 및 그 제조방법
JP3161354B2 (ja) 1997-02-07 2001-04-25 日本電気株式会社 半導体装置及びその製造方法
EP0860878A2 (en) 1997-02-20 1998-08-26 Texas Instruments Incorporated An integrated circuit with programmable elements
US5732014A (en) 1997-02-20 1998-03-24 Micron Technology, Inc. Merged transistor structure for gain memory cell
JP3441330B2 (ja) 1997-02-28 2003-09-02 株式会社東芝 半導体装置及びその製造方法
JPH11191596A (ja) 1997-04-02 1999-07-13 Sony Corp 半導体メモリセル及びその製造方法
US6424011B1 (en) 1997-04-14 2002-07-23 International Business Machines Corporation Mixed memory integration with NVRAM, dram and sram cell structures on same substrate
US5881010A (en) 1997-05-15 1999-03-09 Stmicroelectronics, Inc. Multiple transistor dynamic random access memory array architecture with simultaneous refresh of multiple memory cells during a read operation
WO1998054727A2 (en) 1997-05-30 1998-12-03 Micron Technology, Inc. 256 Meg DYNAMIC RANDOM ACCESS MEMORY
US5784311A (en) 1997-06-13 1998-07-21 International Business Machines Corporation Two-device memory cell on SOI for merged logic and memory applications
US6133597A (en) 1997-07-25 2000-10-17 Mosel Vitelic Corporation Biasing an integrated circuit well with a transistor electrode
KR100246602B1 (ko) 1997-07-31 2000-03-15 정선종 모스트랜지스터및그제조방법
JPH1187649A (ja) 1997-09-04 1999-03-30 Hitachi Ltd 半導体記憶装置
US5907170A (en) 1997-10-06 1999-05-25 Micron Technology, Inc. Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor
US5943581A (en) 1997-11-05 1999-08-24 Vanguard International Semiconductor Corporation Method of fabricating a buried reservoir capacitor structure for high-density dynamic random access memory (DRAM) circuits
US5976945A (en) 1997-11-20 1999-11-02 Vanguard International Semiconductor Corporation Method for fabricating a DRAM cell structure on an SOI wafer incorporating a two dimensional trench capacitor
JPH11163329A (ja) 1997-11-27 1999-06-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
DE19752968C1 (de) 1997-11-28 1999-06-24 Siemens Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
DE59814170D1 (de) 1997-12-17 2008-04-03 Qimonda Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US5943258A (en) 1997-12-24 1999-08-24 Texas Instruments Incorporated Memory with storage cells having SOI drive and access transistors with tied floating body connections
JP4199338B2 (ja) 1998-10-02 2008-12-17 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6097056A (en) 1998-04-28 2000-08-01 International Business Machines Corporation Field effect transistor having a floating gate
US6225158B1 (en) 1998-05-28 2001-05-01 International Business Machines Corporation Trench storage dynamic random access memory cell with vertical transfer device
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
TW432545B (en) 1998-08-07 2001-05-01 Ibm Method and improved SOI body contact structure for transistors
JP4030198B2 (ja) 1998-08-11 2008-01-09 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR100268419B1 (ko) 1998-08-14 2000-10-16 윤종용 고집적 반도체 메모리 장치 및 그의 제조 방법
US6333866B1 (en) 1998-09-28 2001-12-25 Texas Instruments Incorporated Semiconductor device array having dense memory cell array and heirarchical bit line scheme
US6423596B1 (en) 1998-09-29 2002-07-23 Texas Instruments Incorporated Method for two-sided fabrication of a memory array
US6096598A (en) 1998-10-29 2000-08-01 International Business Machines Corporation Method for forming pillar memory cells and device formed thereby
US6214694B1 (en) 1998-11-17 2001-04-10 International Business Machines Corporation Process of making densely patterned silicon-on-insulator (SOI) region on a wafer
KR100290787B1 (ko) 1998-12-26 2001-07-12 박종섭 반도체 메모리 소자의 제조방법
US6184091B1 (en) 1999-02-01 2001-02-06 Infineon Technologies North America Corp. Formation of controlled trench top isolation layers for vertical transistors
JP3384350B2 (ja) 1999-03-01 2003-03-10 株式会社村田製作所 低温焼結セラミック組成物の製造方法
US6157216A (en) 1999-04-22 2000-12-05 International Business Machines Corporation Circuit driver on SOI for merged logic and memory circuits
US6111778A (en) 1999-05-10 2000-08-29 International Business Machines Corporation Body contacted dynamic memory
US6333532B1 (en) 1999-07-16 2001-12-25 International Business Machines Corporation Patterned SOI regions in semiconductor chips
JP2001036092A (ja) 1999-07-23 2001-02-09 Mitsubishi Electric Corp 半導体装置
JP2001044391A (ja) 1999-07-29 2001-02-16 Fujitsu Ltd 半導体記憶装置とその製造方法
WO2001024268A1 (en) 1999-09-24 2001-04-05 Intel Corporation A nonvolatile memory device with a high work function floating-gate and method of fabrication
US6566177B1 (en) 1999-10-25 2003-05-20 International Business Machines Corporation Silicon-on-insulator vertical array device trench capacitor DRAM
US6391658B1 (en) 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6544837B1 (en) 2000-03-17 2003-04-08 International Business Machines Corporation SOI stacked DRAM logic
US6359802B1 (en) 2000-03-28 2002-03-19 Intel Corporation One-transistor and one-capacitor DRAM cell for logic process technology
US6524897B1 (en) 2000-03-31 2003-02-25 Intel Corporation Semiconductor-on-insulator resistor-capacitor circuit
US20020031909A1 (en) 2000-05-11 2002-03-14 Cyril Cabral Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
JP2002064150A (ja) 2000-06-05 2002-02-28 Mitsubishi Electric Corp 半導体装置
DE10028424C2 (de) 2000-06-06 2002-09-19 Infineon Technologies Ag Herstellungsverfahren für DRAM-Speicherzellen
JP3526446B2 (ja) 2000-06-09 2004-05-17 株式会社東芝 フューズプログラム回路
US6262935B1 (en) 2000-06-17 2001-07-17 United Memories, Inc. Shift redundancy scheme for wordlines in memory circuits
US6479862B1 (en) 2000-06-22 2002-11-12 Progressant Technologies, Inc. Charge trapping device and method for implementing a transistor having a negative differential resistance mode
JP2002009081A (ja) 2000-06-26 2002-01-11 Toshiba Corp 半導体装置及びその製造方法
JP4011833B2 (ja) 2000-06-30 2007-11-21 株式会社東芝 半導体メモリ
KR100339425B1 (ko) 2000-07-21 2002-06-03 박종섭 리세스된 소이 구조를 갖는 반도체 소자 및 그의 제조 방법
JP4226205B2 (ja) 2000-08-11 2009-02-18 富士雄 舛岡 半導体記憶装置の製造方法
JP4713783B2 (ja) 2000-08-17 2011-06-29 株式会社東芝 半導体メモリ装置
US6621725B2 (en) 2000-08-17 2003-09-16 Kabushiki Kaisha Toshiba Semiconductor memory device with floating storage bulk region and method of manufacturing the same
US6492211B1 (en) 2000-09-07 2002-12-10 International Business Machines Corporation Method for novel SOI DRAM BICMOS NPN
US20020070411A1 (en) 2000-09-08 2002-06-13 Alcatel Method of processing a high voltage p++/n-well junction and a device manufactured by the method
JP4064607B2 (ja) 2000-09-08 2008-03-19 株式会社東芝 半導体メモリ装置
JP2002094027A (ja) 2000-09-11 2002-03-29 Toshiba Corp 半導体記憶装置とその製造方法
US6350653B1 (en) 2000-10-12 2002-02-26 International Business Machines Corporation Embedded DRAM on silicon-on-insulator substrate
US6421269B1 (en) 2000-10-17 2002-07-16 Intel Corporation Low-leakage MOS planar capacitors for use within DRAM storage cells
US6496402B1 (en) 2000-10-17 2002-12-17 Intel Corporation Noise suppression for open bit line DRAM architectures
US6849871B2 (en) 2000-10-20 2005-02-01 International Business Machines Corporation Fully-depleted-collector silicon-on-insulator (SOI) bipolar transistor useful alone or in SOI BiCMOS
US6429477B1 (en) 2000-10-31 2002-08-06 International Business Machines Corporation Shared body and diffusion contact structure and method for fabricating same
US6440872B1 (en) 2000-11-03 2002-08-27 International Business Machines Corporation Method for hybrid DRAM cell utilizing confined strap isolation
US6549450B1 (en) 2000-11-08 2003-04-15 Ibm Corporation Method and system for improving the performance on SOI memory arrays in an SRAM architecture system
US6441436B1 (en) 2000-11-29 2002-08-27 United Microelectronics Corp. SOI device and method of fabrication
JP3808700B2 (ja) 2000-12-06 2006-08-16 株式会社東芝 半導体装置及びその製造方法
US20020072155A1 (en) 2000-12-08 2002-06-13 Chih-Cheng Liu Method of fabricating a DRAM unit
US7101772B2 (en) 2000-12-30 2006-09-05 Texas Instruments Incorporated Means for forming SOI
US6552398B2 (en) 2001-01-16 2003-04-22 Ibm Corporation T-Ram array having a planar cell structure and method for fabricating the same
US6441435B1 (en) 2001-01-31 2002-08-27 Advanced Micro Devices, Inc. SOI device with wrap-around contact to underside of body, and method of making
JP4216483B2 (ja) 2001-02-15 2009-01-28 株式会社東芝 半導体メモリ装置
JP3884266B2 (ja) 2001-02-19 2007-02-21 株式会社東芝 半導体メモリ装置及びその製造方法
US6620682B1 (en) 2001-02-27 2003-09-16 Aplus Flash Technology, Inc. Set of three level concurrent word line bias conditions for a nor type flash memory array
JP4354663B2 (ja) 2001-03-15 2009-10-28 株式会社東芝 半導体メモリ装置
US6548848B2 (en) 2001-03-15 2003-04-15 Kabushiki Kaisha Toshiba Semiconductor memory device
JP4071476B2 (ja) 2001-03-21 2008-04-02 株式会社東芝 半導体ウェーハ及び半導体ウェーハの製造方法
US7456439B1 (en) 2001-03-22 2008-11-25 T-Ram Semiconductor, Inc. Vertical thyristor-based memory with trench isolation and its method of fabrication
US6462359B1 (en) 2001-03-22 2002-10-08 T-Ram, Inc. Stability in thyristor-based memory device
TW544911B (en) 2001-04-26 2003-08-01 Toshiba Corp Semiconductor device
JP4053738B2 (ja) 2001-04-26 2008-02-27 株式会社東芝 半導体メモリ装置
US6556477B2 (en) 2001-05-21 2003-04-29 Ibm Corporation Integrated chip having SRAM, DRAM and flash memory and method for fabricating the same
US6563733B2 (en) 2001-05-24 2003-05-13 Winbond Electronics Corporation Memory array architectures based on a triple-polysilicon source-side injection non-volatile memory cell
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US6573566B2 (en) 2001-07-09 2003-06-03 United Microelectronics Corp. Low-voltage-triggered SOI-SCR device and associated ESD protection circuit
JP2003031684A (ja) 2001-07-11 2003-01-31 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2003031693A (ja) 2001-07-19 2003-01-31 Toshiba Corp 半導体メモリ装置
US6567330B2 (en) 2001-08-17 2003-05-20 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2003132682A (ja) 2001-08-17 2003-05-09 Toshiba Corp 半導体メモリ装置
US6664589B2 (en) 2001-08-30 2003-12-16 Micron Technology, Inc. Technique to control tunneling currents in DRAM capacitors, cells, and devices
US6552932B1 (en) 2001-09-21 2003-04-22 Sandisk Corporation Segmented metal bitlines
JP3984014B2 (ja) 2001-09-26 2007-09-26 株式会社東芝 半導体装置用基板を製造する方法および半導体装置用基板
JP4322453B2 (ja) 2001-09-27 2009-09-02 株式会社東芝 半導体装置およびその製造方法
US6870225B2 (en) 2001-11-02 2005-03-22 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6518105B1 (en) 2001-12-10 2003-02-11 Taiwan Semiconductor Manufacturing Company High performance PD SOI tunneling-biased MOSFET
JP3998467B2 (ja) 2001-12-17 2007-10-24 シャープ株式会社 不揮発性半導体メモリ装置及びその動作方法
JP2003203967A (ja) 2001-12-28 2003-07-18 Toshiba Corp 部分soiウェーハの製造方法、半導体装置及びその製造方法
US20030123279A1 (en) 2002-01-03 2003-07-03 International Business Machines Corporation Silicon-on-insulator SRAM cells with increased stability and yield
US20030230778A1 (en) 2002-01-30 2003-12-18 Sumitomo Mitsubishi Silicon Corporation SOI structure having a SiGe Layer interposed between the silicon and the insulator
US6975536B2 (en) 2002-01-31 2005-12-13 Saifun Semiconductors Ltd. Mass storage array and methods for operation thereof
JP3948292B2 (ja) * 2002-02-01 2007-07-25 株式会社日立製作所 半導体記憶装置及びその製造方法
US6750515B2 (en) 2002-02-05 2004-06-15 Industrial Technology Research Institute SCR devices in silicon-on-insulator CMOS process for on-chip ESD protection
DE10204871A1 (de) 2002-02-06 2003-08-21 Infineon Technologies Ag Kondensatorlose 1-Transistor-DRAM-Zelle und Herstellungsverfahren
JP2003243528A (ja) 2002-02-13 2003-08-29 Toshiba Corp 半導体装置
US6686624B2 (en) 2002-03-11 2004-02-03 Monolithic System Technology, Inc. Vertical one-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6560142B1 (en) 2002-03-22 2003-05-06 Yoshiyuki Ando Capacitorless DRAM gain cell
US6677646B2 (en) 2002-04-05 2004-01-13 International Business Machines Corporation Method and structure of a disposable reversed spacer process for high performance recessed channel CMOS
JP4880867B2 (ja) 2002-04-10 2012-02-22 セイコーインスツル株式会社 薄膜メモリ、アレイとその動作方法および製造方法
EP1355316B1 (en) 2002-04-18 2007-02-21 Innovative Silicon SA Data storage device and refreshing method for use with such device
US6574135B1 (en) 2002-04-19 2003-06-03 Texas Instruments Incorporated Shared sense amplifier for ferro-electric memory cell
US6940748B2 (en) 2002-05-16 2005-09-06 Micron Technology, Inc. Stacked 1T-nMTJ MRAM structure
JP3962638B2 (ja) 2002-06-18 2007-08-22 株式会社東芝 半導体記憶装置、及び、半導体装置
KR100437856B1 (ko) 2002-08-05 2004-06-30 삼성전자주식회사 모스 트랜지스터 및 이를 포함하는 반도체 장치의 형성방법.
JP4044401B2 (ja) 2002-09-11 2008-02-06 株式会社東芝 半導体記憶装置
US6861689B2 (en) 2002-11-08 2005-03-01 Freescale Semiconductor, Inc. One transistor DRAM cell structure and method for forming
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
DE10362018B4 (de) 2003-02-14 2007-03-08 Infineon Technologies Ag Anordnung und Verfahren zur Herstellung von vertikalen Transistorzellen und transistorgesteuerten Speicherzellen
US6714436B1 (en) 2003-03-20 2004-03-30 Motorola, Inc. Write operation for capacitorless RAM
US7233024B2 (en) 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP2004335553A (ja) 2003-04-30 2004-11-25 Toshiba Corp 半導体装置およびその製造方法
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
US7085153B2 (en) 2003-05-13 2006-08-01 Innovative Silicon S.A. Semiconductor memory cell, array, architecture and device, and method of operating same
US20040228168A1 (en) 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US6912150B2 (en) 2003-05-13 2005-06-28 Lionel Portman Reference current generator, and method of programming, adjusting and/or operating same
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
FR2857150A1 (fr) 2003-07-01 2005-01-07 St Microelectronics Sa Element integre de memoire dynamique a acces aleatoire, matrice et procede de fabrication de tels elements
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US6897098B2 (en) 2003-07-28 2005-05-24 Intel Corporation Method of fabricating an ultra-narrow channel semiconductor device
US6936508B2 (en) 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US20050062088A1 (en) 2003-09-22 2005-03-24 Texas Instruments Incorporated Multi-gate one-transistor dynamic random access memory
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US6982902B2 (en) 2003-10-03 2006-01-03 Infineon Technologies Ag MRAM array having a segmented bit line
US7072205B2 (en) 2003-11-19 2006-07-04 Intel Corporation Floating-body DRAM with two-phase write
US7002842B2 (en) 2003-11-26 2006-02-21 Intel Corporation Floating-body dynamic random access memory with purge line
JP2005175090A (ja) 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法
US6952376B2 (en) 2003-12-22 2005-10-04 Intel Corporation Method and apparatus to generate a reference value in a memory array
US7109532B1 (en) * 2003-12-23 2006-09-19 Lee Zachary K High Ion/Ioff SOI MOSFET using body voltage control
JP4559728B2 (ja) 2003-12-26 2010-10-13 株式会社東芝 半導体記憶装置
US6992339B2 (en) 2003-12-31 2006-01-31 Intel Corporation Asymmetric memory cell
US7001811B2 (en) 2003-12-31 2006-02-21 Intel Corporation Method for making memory cell without halo implant
US6903984B1 (en) 2003-12-31 2005-06-07 Intel Corporation Floating-body DRAM using write word line for increased retention time
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
JP4028499B2 (ja) 2004-03-01 2007-12-26 株式会社東芝 半導体記憶装置
JP4032039B2 (ja) 2004-04-06 2008-01-16 株式会社東芝 半導体記憶装置
JP4110115B2 (ja) 2004-04-15 2008-07-02 株式会社東芝 半導体記憶装置
JP2005346755A (ja) 2004-05-31 2005-12-15 Sharp Corp 半導体記憶装置
US7042765B2 (en) 2004-08-06 2006-05-09 Freescale Semiconductor, Inc. Memory bit line segment isolation
JP3898715B2 (ja) 2004-09-09 2007-03-28 株式会社東芝 半導体装置およびその製造方法
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7061806B2 (en) 2004-09-30 2006-06-13 Intel Corporation Floating-body memory cell write
US7611943B2 (en) 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
US7251164B2 (en) 2004-11-10 2007-07-31 Innovative Silicon S.A. Circuitry for and method of improving statistical distribution of integrated circuits
JP4081071B2 (ja) * 2004-11-26 2008-04-23 株式会社東芝 半導体記憶装置とその製造方法
WO2006065698A2 (en) 2004-12-13 2006-06-22 William Kenneth Waller Sense amplifier circuitry and architecture to write data into and/or read data from memory cells
US7301803B2 (en) 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
DE102005017072A1 (de) 2004-12-29 2006-07-13 Hynix Semiconductor Inc., Ichon Ladungsfalle- bzw. Ladung-Trap-Isolator-Speichereinrichtung
JP4924419B2 (ja) 2005-02-18 2012-04-25 富士通セミコンダクター株式会社 記憶素子マトリックス、及び、その記憶素子マトリックスを用いた半導体回路装置
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7319617B2 (en) 2005-05-13 2008-01-15 Winbond Electronics Corporation Small sector floating gate flash memory
US7538389B2 (en) 2005-06-08 2009-05-26 Micron Technology, Inc. Capacitorless DRAM on bulk silicon
US7230846B2 (en) 2005-06-14 2007-06-12 Intel Corporation Purge-based floating body memory
US7317641B2 (en) 2005-06-20 2008-01-08 Sandisk Corporation Volatile memory cell two-pass writing method
US7460395B1 (en) 2005-06-22 2008-12-02 T-Ram Semiconductor, Inc. Thyristor-based semiconductor memory and memory array with data refresh
US20070023833A1 (en) 2005-07-28 2007-02-01 Serguei Okhonin Method for reading a memory cell having an electrically floating body transistor, and memory cell and array implementing same
US7511332B2 (en) 2005-08-29 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical flash memory
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7606066B2 (en) 2005-09-07 2009-10-20 Innovative Silicon Isi Sa Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US7355916B2 (en) 2005-09-19 2008-04-08 Innovative Silicon S.A. Method and circuitry to generate a reference current for reading a memory cell, and device implementing same
US7388252B2 (en) * 2005-09-23 2008-06-17 Macronix International Co., Ltd. Two-bits per cell not-and-gate (NAND) nitride trap memory
US20070085140A1 (en) 2005-10-19 2007-04-19 Cedric Bassin One transistor memory cell having strained electrically floating body region, and method of operating same
WO2007051795A1 (en) 2005-10-31 2007-05-10 Innovative Silicon S.A. Method and apparatus for varying the programming duration and/or voltage of an electrically floating body transistor, and memory cell array implementing same
KR100724560B1 (ko) 2005-11-18 2007-06-04 삼성전자주식회사 결정질 반도체층을 갖는 반도체소자, 그의 제조방법 및그의 구동방법
US7687851B2 (en) 2005-11-23 2010-03-30 M-Mos Semiconductor Sdn. Bhd. High density trench MOSFET with reduced on-resistance
JP2007157296A (ja) 2005-12-08 2007-06-21 Toshiba Corp 半導体記憶装置
KR100675297B1 (ko) 2005-12-19 2007-01-29 삼성전자주식회사 캐패시터가 없는 동적 메모리 셀을 구비한 반도체 메모리장치 및 이 장치의 배치 방법
US7683430B2 (en) 2005-12-19 2010-03-23 Innovative Silicon Isi Sa Electrically floating body memory cell and array, and method of operating or controlling same
US8022482B2 (en) 2006-02-14 2011-09-20 Alpha & Omega Semiconductor, Ltd Device configuration of asymmetrical DMOSFET with schottky barrier source
US7542345B2 (en) 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
DE102006009225B4 (de) 2006-02-28 2009-07-16 Advanced Micro Devices, Inc., Sunnyvale Herstellung von Silizidoberflächen für Silizium/Kohlenstoff-Source/Drain-Gebiete
US7492632B2 (en) 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
US7324387B1 (en) 2006-04-18 2008-01-29 Maxim Integrated Products, Inc. Low power high density random access memory flash cells and arrays
DE102006019935B4 (de) 2006-04-28 2011-01-13 Advanced Micro Devices, Inc., Sunnyvale SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
JP5068035B2 (ja) 2006-05-11 2012-11-07 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7542340B2 (en) 2006-07-11 2009-06-02 Innovative Silicon Isi Sa Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US7545694B2 (en) 2006-08-16 2009-06-09 Cypress Semiconductor Corporation Sense amplifier with leakage testing and read debug capability
US7359226B2 (en) 2006-08-28 2008-04-15 Qimonda Ag Transistor, memory cell array and method for forming and operating a memory device
US7553709B2 (en) 2006-10-04 2009-06-30 International Business Machines Corporation MOSFET with body contacts
KR100819552B1 (ko) 2006-10-30 2008-04-07 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 동작 방법
US7608898B2 (en) 2006-10-31 2009-10-27 Freescale Semiconductor, Inc. One transistor DRAM cell structure
JP2008117489A (ja) 2006-11-07 2008-05-22 Toshiba Corp 半導体記憶装置
US7675781B2 (en) 2006-12-01 2010-03-09 Infineon Technologies Ag Memory device, method for operating a memory device, and apparatus for use with a memory device
KR100790823B1 (ko) 2006-12-14 2008-01-03 삼성전자주식회사 리드 디스터브를 개선한 불휘발성 반도체 메모리 장치
US7688660B2 (en) 2007-04-12 2010-03-30 Qimonda Ag Semiconductor device, an electronic device and a method for operating the same
JP2008263133A (ja) * 2007-04-13 2008-10-30 Toshiba Microelectronics Corp 半導体記憶装置およびその駆動方法
US20080258206A1 (en) 2007-04-17 2008-10-23 Qimonda Ag Self-Aligned Gate Structure, Memory Cell Array, and Methods of Making the Same
US20080285350A1 (en) 2007-05-18 2008-11-20 Chih Chieh Yeh Circuit and method for a three dimensional non-volatile memory
EP2015362A1 (en) 2007-06-04 2009-01-14 STMicroelectronics (Crolles 2) SAS Semiconductor array and manufacturing method thereof
JP2009032384A (ja) 2007-06-29 2009-02-12 Toshiba Corp 半導体記憶装置の駆動方法および半導体記憶装置
FR2919112A1 (fr) 2007-07-16 2009-01-23 St Microelectronics Crolles 2 Circuit integre comprenant un transistor et un condensateur et procede de fabrication
US7688648B2 (en) 2008-09-02 2010-03-30 Juhan Kim High speed flash memory
US7927938B2 (en) 2007-11-19 2011-04-19 Micron Technology, Inc. Fin-JFET
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US7772649B2 (en) * 2008-02-25 2010-08-10 International Business Machines Corporation SOI field effect transistor with a back gate for modulating a floating body
JP5135004B2 (ja) * 2008-02-29 2013-01-30 株式会社東芝 不揮発性半導体記憶装置、及びディプレッション型mosトランジスタ
US8130537B2 (en) * 2008-09-09 2012-03-06 Qimonda Ag Phase change memory cell with MOSFET driven bipolar access device
US7924630B2 (en) 2008-10-15 2011-04-12 Micron Technology, Inc. Techniques for simultaneously driving a plurality of source lines
US8223574B2 (en) 2008-11-05 2012-07-17 Micron Technology, Inc. Techniques for block refreshing a semiconductor memory device
US9076543B2 (en) 2009-07-27 2015-07-07 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1401140A (zh) * 2000-08-14 2003-03-05 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
CN1366347A (zh) * 2001-01-18 2002-08-28 株式会社东芝 半导体器件及其制造方法
US6825524B1 (en) * 2003-08-29 2004-11-30 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device

Also Published As

Publication number Publication date
EP2548227A4 (en) 2014-09-03
US9019759B2 (en) 2015-04-28
EP2548227A2 (en) 2013-01-23
WO2011115893A3 (en) 2011-12-22
US20110222356A1 (en) 2011-09-15
US9524971B2 (en) 2016-12-20
WO2011115893A9 (en) 2012-11-15
CN102812552A (zh) 2012-12-05
EP3511982A1 (en) 2019-07-17
US8547738B2 (en) 2013-10-01
US20150155285A1 (en) 2015-06-04
US20140029360A1 (en) 2014-01-30
KR20130007609A (ko) 2013-01-18
WO2011115893A2 (en) 2011-09-22
EP2548227B1 (en) 2021-07-14

Similar Documents

Publication Publication Date Title
CN102812552B (zh) 半导体存储器装置及用于对半导体存储器装置进行偏置的方法
US8947965B2 (en) Techniques for providing a direct injection semiconductor memory device
US9425190B2 (en) Techniques for providing a direct injection semiconductor memory device
US9559216B2 (en) Semiconductor memory device and method for biasing same
CN102365628B (zh) 用于提供半导体存储器装置的技术
US9142264B2 (en) Techniques for refreshing a semiconductor memory device
US8531878B2 (en) Techniques for providing a semiconductor memory device
US8982633B2 (en) Techniques for providing a direct injection semiconductor memory device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant