TW200822183A - Cartesian cluster tool configuration for lithography type processes - Google Patents

Cartesian cluster tool configuration for lithography type processes Download PDF

Info

Publication number
TW200822183A
TW200822183A TW096133746A TW96133746A TW200822183A TW 200822183 A TW200822183 A TW 200822183A TW 096133746 A TW096133746 A TW 096133746A TW 96133746 A TW96133746 A TW 96133746A TW 200822183 A TW200822183 A TW 200822183A
Authority
TW
Taiwan
Prior art keywords
processing
mechanical
substrate
chamber
chambers
Prior art date
Application number
TW096133746A
Other languages
English (en)
Inventor
Eric A Englhardt
Michael R Rice
Jeffrey C Hudgens
Steve Hongkham
Jay D Pinson
Mohsen Salek
Charles Carlson
William T Weaver
Helen R Armer
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200822183A publication Critical patent/TW200822183A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

200822183 九、發明說明: • 【發明所屬之技術領域】 V 本發明之實施例大體上是關於包含多個處理站和機械 裝置(robot)的整合式處理系統,其可平行處理多個基材。 【先前技術】 形成電子裝置的製程常施行於多室處理系統(如叢集 〇 工具其可在已控制的處理環境下依序處理基材(如半導 ; 體晶圓)。用來沉積(即塗佈)及顯影光阻材料的典型叢集工 具一般已知為自動化塗佈顯影(track)微影工具、或者甩來 進行半導體清洗製程的典型叢集工具一般稱為溼式/清洗 工具,且將包括主架構來放置至少一基材傳送機械裝置, 以傳送基材於盒/匣架設裝置與多個連接主架構的處理室 之間。叢集工具常用於在已控制的處理環境中以可重複的 方式處理基材。已控制的處理環境有多項優點,包括減少 傳运基材期間和完成各基材處理步驟時基材表面的污染。 ϋ 故在已控制的處理環境下進行處理可減少缺陷生成數量及 增進裝置產量(yield)。 基材製造製程的效力通常衡量二個相關重要因素,即 裝置產量和環境成本(cost of ownership,Co0)。這些因素 彳艮重要’因其直接影響電子裝置的生產成本,並影響製造 業者的市場競爭力。儘管影響CoO的因素很多,然其深受 系統與腔室產能(throughput)的影響,此或可簡述為一預定 處理程序每小時處理的基材數量。處理程序一般定義成叢 6 200822183 集工具中一或多個處理室完成裝置製造步驟或處理方法步 . 驟的順序。處理程序一般可包含各種基材(或晶圓)電子裝 置製造步驟。為降低Co 0,電子裝置製造業者常耗費很多 時間試圖最佳化處理程序和腔室處理時間,以達到叢集工 具構造和腔室處理時間極限内可得的最大基材產能。 - 在自動化塗佈顯影微影型叢集工具中,由於腔室處理 、 時間相當短(如約1分鐘完成處理),且完成典型處理程序 所需的處理步數相當多,因此完成處理程序所需的時間有 ^ 一大部分是用來傳送基材於各種處理室之間。典型的自動 化塗佈顯影微影處理程序一般將包括以下步驟:沉積一或 多個均勻光阻層於基材表面、接著將基材傳出叢集工具並 傳至獨立的步進或掃描工具,以利用光阻改質電磁輻射曝 照光阻層而圖案化基材表面、然後顯影經圖案化的光阻 層。若叢集工具的基材產能非受限於機械裝置,則最久的 處理方法步驟通常將會決定處理程序的產能。但自動化塗 ’ 佈顯影微影處理程序通常並非如此,因其處理時間短且處 - 理步數多。用於傳統製程的系統產能(例如執行典型製程的 1/ 自動化塗佈顯影微影工具)一般為每小時1 00-120個基材。 其他影響 CoO估算的重要因素為系統可靠度和系統 正常運行時間。這些因素對叢集工具的收益及/或效用而言 非常重要,因為系統無法處理基材的時間越長,使用者因 此損失的金錢越多。故叢集工具使用者和製造業者皆不遺 餘力開發可靠的製程、硬體和系統,以增加正常運行時間。 第1圖為習知叢集工具構造的上視圖(如叢集工具 7 200822183 2 2),包含三個處理格1 6,其分別包含機械裴置1 7、 多個送入口 21(例如送入口 21A-21C)、和一或多個圍 械裝置17(例如機械裝置17A-17C)的處理室18。叢集 22 —般還包含具前端機械裝置20與一或多個基材£ 前端單元19。在習知叢集工具構造中,處理室18的 和數量受限於機械裝置17A-17C可及之範圍,因此除 集工具另增處理室(例如處理格16,),否則無法加大月 當增加新的處理格16,時,叢集工具22亦須增設新的 裝置,以將基材傳送到新增處理室1 8。因每一基材須 各處理格中央的機械裝置才能從一處理格1 6傳送到 處理格,故基材傳送通過叢集工具22的過程是以“串 而非平行方式完成。然串聯程序的可靠度與執行程序 串聯組件的可靠度呈比例關係。額外增設機械裝置至 工具將降低系統的可靠度。例如,採用正常運行時間 9 9%之二機械裝置的傳送程序將限定系統的正常運行 為98.01%;而採用單一機械裝置協助相同處理室數量 統的正常運行時間為99%。系統的的正常運行時間為 估算的重要因素之一,故需要可減少串聯步數和串聯 的叢集工具。 如第1圖所示的先前技術構造需使用多個遍及叢 具22的送入口 21和多個機械裝置’以元成整個叢集 的傳送處理程序。例如,第一機械裝置17八將傳遞各 至送入口 21B,使鄰近的第二機械裝置PB可拾起及 基材到處理袼1 6之處理室内的預定位置。處理完處理 一或 繞機 工具 23的 尺寸 非叢 ‘寸。 機械 利用 另一 聯”、 之各 叢集 各為 時間 之系 C〇〇 組件 集工 工具 基材 傳送 室内 8 200822183 的基材後,接著利用第二機械裝置i 7B將基材放回原由第 一機械裝置1 7 A拾起的送入口 2 i B處。傳統的叢集工具傳 送程序需多次傳遞至送入室,如此需進行一些非加值型移 動(non-value added move)來傳送基材於叢集工具μ的各 處理格16間’因而不W C〇〇估算。非加值型移動备減小 基材產能及降低叢集工具可靠度,也相對付出不少
C 由於自動化塗佈顯影微影室處理時間相當短,且完成: 處理程序所需的處理步數相 傳遞次數、機械裝置的非I:移統產能深受晶圓 靠度的影響。故需要可減少非加值:和糸統組件可 次數的叢集工具。 1移動(例如送入步驟) 建構具大量處理室盥媳 影型叢集工具)所引起的“門::的叢集工具(通常指微 難、叢集工具於操作時不易維修於隹”工具的製造困 最終使用者的重炎 _ 〆叢木工具不易配置符合 J而本。這此pq (footprint)宜翕β , 二問碭*起因於叢集工具的足印 )且盡1小,但叢隼 门 與機械組件以達成預定的h 好具有㈣的腔室 構造還容易製造、& & 、、產故需要比先前技術型 维修、配番 隨著半導體裝置尺寸曰M L且足印較*的叢集工具。 減少裝置產生的熱量 ::小來提高裝置處理速度及 低。為降低製程變異,”製程變異的容忍度已不斷減 言,其重要因青之_ 自動化塗佈顯影微影處理程序而 同樣的“晶圓歷史,,。基 :了叢集工具的每一基材具有 控,以確認彳I # 的日日圓歷史一般由製程工程師監 後績可能影響裝置性能的裝置製程變異均加以 9 200822183 控制,使同批基材總是以相同的方式處理。為確保各基 具有同樣的“晶圓歷史”,各基材需經歷相同、可重複的 材處理步驟(如前後一致的塗佈製程、硬烤製程、冷卻製 等),且處理每一基材之處理步驟間的間隔時間需相同。 影型裝置製程尤其易受製程條件差異與方法步驟時距變 的影響,此會直接影響製程變異性和裝置最終性能。故 要能進行製程變異低且各處理步驟間隔時間差異小之處 程序的叢集工具和支援設備。並且,需要能執行處理結 均一且具再現性之裝置製造製程,並可達成預定基材產 的叢集工具和支援設備。 因此需要系統、方法、和設備來處理基材,以達到 需的裝置性能目標及增進系統產能,進而降低處理程序 CoO 〇 【發明内容】 本發明大體上提出用於處理基材的叢集工具,包含 包括第一組二或多假垂直堆疊之處理室與第二組二或多 垂直堆疊之處理室的第一處理架件,其中第一組和第二 的基材處理室各具有對齊第一方向的第一側邊,且第一 向大致垂直於垂直方向、一包括第三組二或多個垂直堆 之處理室與第四組二或多個垂直堆疊之處理室的第二處 架件,其中第三組和第四組的基材處理室各具有對齊第 方向的第一側邊、一第一機械構件,用以沿著平行第一 向的方向移動及放置基材至第一處理架件的基材處理室 材 基 程 微 異 需 理 果 能 所 的 個 組 方 疊 理 方 10 200822183 一第二機械構件,用以沿著平 级知 爷十仃弟一方向的方向移動及玫 置基材至第二處理架件的其 木什们暴材處理室、一第三機械構件, 連接第-處理架件的處理室與介面構件的第〆處理模組、 一第四機械構件,連接第-#饰加l 认哲 乐一處理架件的處理室與介面構件 的第二處理模組、以及一第石 機械構件,設於介面構件内 且連接第一處理模組與第二處 ^ 处理換組,其中第五機械構件 疋用來連接介面構件中的一外部模組。 Ο 本發明之實施例亦提出用於處 合一, 地里暴材的叢集工具,包 匕括第'、且二或多個垂直堆疊之處 多個垂直堆疊之處理室的第_ ,、—、及一或 _ 处主木件,盆中第一鈿1哲 二組的二或多個基材處理室具 八 ,、,第 邊、-包括第三組二或多個垂直堆:弟-方向的第-側 或多個垂直堆疊之處理室的第- 至,、弟四組一 第四组的二或多個基材處理室具有對齊第一、弟二、、且和 邊、—第一機械構件’用以傳送基材至第::向的第一側 材處理室,其中第一機械構件包含 處理架件的基 接收面於葉片上的第—機械裝置,其;機械葉片與基材 出傳送區並用來放置基材到大致位於第機械裝置定義 個位置,第-平面平行第一方向和垂直第—面内的-或多 向、一第一移動構件,以大致垂直第—方向的第二方 位第一機械裝1、和-第二移動構件,以:的第三方向定 向的方向定位第—機械致平行第-方 甘u 乐一機械槎杜 土至第二處理架件的基材處理室,其中’用以傳送 含一具有機械葉片與基材接收面於葉、片第二機械構件包 、 的第一機械裝 11 200822183
置,其中第二 致位於第一平 向和垂直第一 垂直第一平面 動構件,以大 一第三機械構 的第一處理模 第四機械構件 第二處理模組 一第五機械構 第二處理模組 的一外部模組 機械裝 面内的 方向的 的第三 致平行 件,連 組,且 ,連接 ,且介 件,設 ,其中 置定義 一或多 第二方 方向定 第一方 接第一 介面構 第二處 面構件 於介面 第五機 出傳送區 個位置, 向、一第 位第二機 向的方向 處理架件 件設置鄰 理架件的 設置鄰接 構件内且 械構件是 並用來 第一平 二移動 械裝置 定位第 的處理 接第一 處理室 第二處 連接第 用來連 放置基材至 面平行第一 構件,以> 、和一第β 二機械装I 室與介面考 處理架件、 與介面構伞 理架件、 以 處理模組 接介面構件
本發明之實施例更提出用於處理基材的叢集工具 含一,一處理架件,包括第一組二或多個垂直=疊二處 至,每一處理室具有對齊第一方向的第一寬度、和第一 二或多個垂直堆疊之處理室,每一處理室具有對齊第一 向的第二寬度,其中第一方向大致垂直於垂直方向, ^ J3L 二寬度大致為第一寬度的倍數、以及一第一機械構件, 以沿著平行第一方向的方向移動及放置基材至第—處理 件的基材處理室。 本發明之實施例還提出用於處理基材的叢集工具, 含一包括第一組二或多個垂直堆疊之處理室與第二組二 多個垂直堆疊之處理室的第一處理架件,其中第一組和 二組的二或多個基材處理室具有對齊第一方向的第— 大 方 致 移 \ 件 的 及 與 中 包 理 組 方 第 用 架 包 或 第 側 12 200822183 邊、一包栝第三組二或 . 或多個蜜直堆桑之處理 第四組的二或多個基材 , 邊、一第一機械構件, 材處理室,其中第一機 - 有機械葉片與基材接收 、 第一機械裝置定義出傳 一平面内的〆或多個位 ζ) 第一方向的第二方向、 平面的第三方向定位第 以大致平行第一方向的 械構件,用以傳送基材 中第二機械構件設在中 基材接收面於葉片上的 定義出傳送區並用來放 或多個位置’第一平面 0 二方向、一第三移動構 向定位第二機械裝置、 一方向的方向定位第二 鄰接第一處理架件與第 械裝置是用來傳送基材 送入室,設置鄰近中央 前端機械裝置、第一機 多個垂直堆疊之處理室與第四組二 室的第二處理架件,其中第三組和 處理室具有對齊第一方向的第一側 用以傳送基材至第一處理架件的基 械構件設在中央模組内且包含一具 面於葉片上的第一機械裝置,其中 送區並用來放置基材到大致位於第 置,第一平面平行第——方向和垂直 一第一移動構件,以大致垂直第一 一機械裝置、和一第二移動構件, 方向定位第一機械裝置、一第二機 至第一處理架件的基材處理室,其 央模組内且包含一具有機械葉片與 第二機械裝置,其中第二機械裝置 置基材到大致位於第一平面内的一 平行第一方向和垂直第一方向的第 件,以大致垂直第一平面的第三方 和一第四移動構件,以大致平行第 機械裝置、一前端機械裝置,設在 二處理架件的介面構件+,前端機 進出連接介面構件的昆盒、以及一 模組和介面構件,並用來接收來自 械構件與第二機械構件的基材。 13 200822183 【實施方式】 本發明大體上提出利用多室處理系統(如叢集工具)處 理基:的設備和方法,纟更容易配置、系統產能更高、系 統可靠度更佳、裝置產量性能更好、晶圓處理歷史(或晶圓 歷史)更具再現性、且足印更小。在一實施例中,叢集工具 是用來進行自動化塗佈顯影微影製程,其中基材塗上感光 材料,接著傳送到步進機/掃瞄器,讓某類型的輻射曝照感 Γ
U 光材料而形成感光材料圖案,然後於叢集工具中完成顯影 製程來移除部分感光材料。 第2-7圖繪示可用於本發明各種實施例的不同機械裝 置與處理室構造。各種叢集工具1〇實施例通常採用二或多 個以平行處理結構配置的機械裝置,用以傳送基材於處理 架件(如處理架件60、80等)的各處理室間,進而進行預定 的處理程序處理基材。在—實施例中,平行處理結構包含 二或多個機械構件η(第2A及2B圖的機械構件uA、 叫,其沿著垂直方向(以下指z方向)、水平方向(即傳送 方向(X方向))、和垂貪德访 > 罝得、方向的方向(y方向)移動基材, 使基材得以在虛理恕此Π各 慝理木件(如處理架件60、80等)中對齋傳送 方向的各處理室處採。伞 丁處理結構的優點之一在於,當 機械褒置無法運作或進彳千 / 一 、准t時,糸統仍可使用系統内 的其他機械裝置而繼續處 目 材。一般來說,在此所述之
實施例具有優勢,田t ω + A 驻 /各組處理室乃由二或多個機械 裝置&供協助,故可·接古本 ㈤故了挺-產能和增進系統可靠度。另外,
在此所述之實施例一 Jn·專田A 又 來減少及控制基材傳送機制產 14 200822183 的力今以免降低裝置產量和造成基材報廢等問題而影 響叢集工具的c〇〇。此結構的另〆優點為,彈性的模組式 , 、纟°構可讓使用者依產能需求配置適當數量的處理室、處理 y、牛矛處理機械裝置。雖然第2 - 7圖缘示可施行本發明 . 各種態樣的機械構件U實施例,但其他類型的機械構件 11也可用來執行同樣的基材傳送與放置功能,此亦不脫離 % 本發明之基本範圍。 \ # 故 MX. 構造 Α·系統欉^ 第2Α圖為一叢集工具1〇實施例的立體視圖,其說明 本發明一些態樣的優點。第2Α圖繪示叢集工具丨〇之一實 施例,包含二個用以接近垂直堆疊於第一處理架件與第 處理架件80之各處理室和外部模組5的機械構件。在一 態樣中,若叢集工具10是用來完成光微影處理程序,則可 為步進/掃描工·具的外部模組5附加在背面區域45(第2Α 〇 圖未繪示),以進行一些額外的曝光型製程步驟。如第2Α 圖所不,叢集工具1 0之一實施例包含前端模組24和中央 模組25 。 ' 第2Β圖為第2Α圖之叢集工具1〇實施例的平面圖。 前=模組24 —般包含一或多個盒件1〇5(如盒件i〇5a_D) 和前端機械構件15。一或多個盒件1〇5或前開式晶圓盒 (fr〇nt opening unif〇rm p〇d; F〇Up)通常是用來接收一或; 個® 1〇6含有-或多個待於叢集工具1〇中處理的 15 200822183 基材“W”或晶圓。在一態樣中,前端模組24還包含一或多 個送入位置(如第2B圖的元件符號9A-B)。 Ο
在一態樣中,中央模組25具有第一機械構件11A、第 二機械構件11 B、第一背面機械構件4 0 A、第二背面機械 構件40B、第一步進機械裝置46、第一處理架件60、和第 二處理架件80。第一處理架件60與第二處理架件80包含 各種處理室(例如塗佈/顯影室、烘烤室、冷卻室、濕式清 洗室等,其將進一步討論於下(第2 C _ D圖)),用以進行基 材處理程序的各製程步驟。 之一實施例的側視圖,其從面對第一處理架件6〇與第二處 理架件8〇的角度且最接.近側邊60A的側面觀之,並與第 2A-2F圖、第4a_4b圖、和第7圖的視角一致。第一處理 架件60與第二處理架件8〇 一般包含一或多組垂直堆疊的 處理室或個別放在架上,以進行一些預定的半導體或^面 顯不裝置製造步驟來處理基材。例如在第2C圖中,第一 處理架件60具有五組或五欄垂直堆疊的處理室。一般來 說’這些裝置製造步驟可包括沉積材料至基 其:y*主= 衣面、清洗 土材表面、蝕刻基材表 或以某類型的 祛I 钿射曝照基材, 1之暴材的—或多個區域產生物理或化學變化。 φ 锋 在一實施例 ’第一處理架件60和第二處理架件8〇内 理宮,爾、 网含一或多個處 用Μ進行一或多個光微影製程步驟。在—$ 處理架件6G、8G可包含一或多個塗佈/顯/樣中,、 多個冷卻室18〇、一或多個烘烤室19〇、一 或 ^夕個曝光邊緣 16 200822183 球狀物去除(optical edge bead removal·,OEBR)室 162、一 • 或多個支撐室165、整合式烘烤/冷卻室800、整合式曝光 . 後烘烤(PEB)室 801、及/或一或多個六甲基二矽胺 (hexamethyldisilazane,HMDS)處理室 170。有益於本發明 一或多個態樣之塗佈/顯影室、冷卻室、烘烤室、〇 E B R室、 PEB室、支撐室、整合式烘烤/冷卻室、及/或HMDS處理 • 室的例子可進一步參見美國專利申請案號11/1 12,281、西 元2005年4月22曰申請的申請案,其一併引用於此且不 I ] 與本發明相悖。有益於本發明-一或多個態樣之整合式烘烤/ 冷卻室與整合式PEB室的例子可進一步參見美國專利申請 案號11/1 11,154、西元2005年4月11日申請的申請案、 和美國專利申請案號11/111,3 5 3、西元2005年4月11日 申請的申請案,其均一併引用於此且不與本發明相悖。 在一實施例中,如第2E圖所示,當叢集工具1〇用來 . 進行光微影型製程時,第一處理架件60可具有8個塗佈/ 顯影至160(標示為CDl-8)、6個冷卻室18〇(標示為ci-6)、 〇 6個整合式供烤/冷卻室800(標示為BCK)、8個整合式 PEB室8〇1(標示為ΡΕΒ1·8)、和2個〇EBR室162(標示為 OEBR1-2)。在一實施例中,如第π圖所示,當叢集工具 10用來進行光微影型製程時,第二處理架件8〇可具有8 個塗佈/顯影室160(標示為CD1-8)、18個整合式烘烤/冷卻 室800(標示為BC1_18)、6個整合式ρΕβ室8〇ι(標示為 PEB1-6)、和6個支撐室165(標示為S1_6)。第2e_f圖中 的處理室方向、位置、類型、和數量並非用來限定本發明 17 200822183
之範圍,而是舉例說明本發明之實施例。有益於本發明一 或多個態樣之整合式烘烤/冷卻室或整合式PEB室的例子 可進一步參見美國專利申請案號 1 1/1 74,78 1、西元2005 年7月5日申請的申請案、美國專利申請案號11/174,782、 西元2005年7月5曰申請的申請案、和美國專利申請案號 1 1/413,9 60、西元2006年4月28曰申請的申請案,其皆 一併引用於此且不與本發明相悖。有益於本發明一或多個 態樣之塗佈/顯影室的例子可進一步參見美國專利申請案 號11/112,281、西元2005年4月22曰申請的申請案、美 國專利申請案號1 1 /1 1 1,353、西元2005年4月20日申請 的申請案、和美國專利申請案號11 /111,1 5 4、西元2 0 0 5年 4月20日申請的申請案,其皆一併引用於此且不與本發明 相#。有益於本發明一或多個態樣之烘烤/冷卻室的例子可 進一步參見美國專利申請案號11/112,281、西元2005年4 月22曰申請的申請案,其一併引用於此且不與本發明相 悖。 參照第2B圖,在一實施例中,前端機械構件丨$是用 來傳送基材於設在盒件105(參見元件符號1〇5A_D)内之g 106與一或多個送入位置(參見第2B圖的送入位置9A-B) 之間。在另一實施例中,前端機械構件1 5是用來傳送基材 於設在盒件105内之匣與一或多個處理室之間,處理室位 於毗連前端模組24的第一處理架件60或第二處理架件8〇 中。前端機械構件1 5 —般包含水平移動構件〗 裝置⑽,其可-起用來放置基材至前端模組2/中= 18 200822183 水平及/或垂直位置、或中央模組25中的鄰接位置。依據 系統控制器101送出的指令,前端機械構件15可利用一或 多個機械葉片15C傳送一或多個基材(此將說明於下)。在 一程序中,前端機械構件15將基材從匡1〇6傳送到其中一 個送入位置9A-B。送入位置一般為基材架設區域,:可包 533(^ 3
C
匿1〇6,並可接收來自第一機械構件的基材,且利用第二 機械構件移開及重新放置基材。在一態樣中,設在送入位 置的送入處理室可用來施行預定處理程序的一或多個處理 步驟,例如HMDS處理步驟、或冷卻/降溫處理步驟、或美 材缺口對準步驟。在一態樣中,各中央機械構件(即第二ς 械構件1丨八和第二機械構件11Β)可進入各送入位置9Α_Β。 參照第2Α-Β圖,第一機械構件UA和第二機械構件 UB將基材傳送到第一處理架件6〇與第二處理架件⑽中 不同的處理室。在一實施例中,為實行傳送叢集工具1〇 之基材的方法,第一機械構件UA和第二機械構件具 有結構相仿的機械構件η,其各具有連接系統控制器ι〇ι 的至少一水平移動構件9〇、垂直移動構件%、和機械硬體 構件85。在一態樣中,第一處理架件6〇的側邊6〇β與第 一處理架件80的側邊80Α均平行對準各機械構件(即第一 機械構件11Α和第二機械構件11Β)的水平移動構件9〇(此 將說明於下)〇 系統控制器101是用來控制完成傳送所需之各組件的 位置與動作。系統控制器1〇1通常為用來協助整體系統的 19 200822183 控制及自動化,且一般包括中央處理單元(CPU)(未繪示)、 §己憶體(未繪示)、和支援電路(或I / 〇)(未繪示)。c p u可為 • 任一型式的電腦處理器,其可用於工業設定來控制不同的 系統功能、處理室製程與支援硬體(如偵測器、機械裝置、 馬達、氣體源硬體等)、以及監視系統與處理室製程(如腔 室溫度、處理程序產能、腔室處理時間、1/0訊號等)。記 憶體連接CPU,且可為一或多種容易取得的記憶體,例如 隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、 C ) , 或其他近端或遠端的數位儲存器。軟體指令與資料可加以 編碼及儲存於記憶體,用以指示CPU。支援電路亦可連接 CPU ’以藉由傳統方式支援處理器。支援電路可包括高速 緩衝儲存器、電源供應器、時鐘電路、輸入/輸出電路、次 系統等。系統控制器丨0丨可讀取的程式(或電腦指令)決定 施行於基材的任務。較佳地,程式為系統控制器1〇1可讀 • 取的軟體,其包括進行監測相關任務的編碼、和處理程序 任務與各種腔室處理方法步驟的執行。 參照第2B圖,在本發明之一態樣中,第一機械構件 11A從至少一側(如側邊60B)接取及傳送基材於第一處理 架件60的各處理室之間。在一態樣中,第二機械構件11B 從至少一侧(如側邊80B)接取及傳送基材於第二處理架件 80的各處理室之間。在一態樣中,期第一機械構件11A只 進入第一處理架件60内的處理室,而第二機械構件11B 只進入第二處理架件8 〇内的處理室,以防機械裝置相撞並 減少預定處理程序期間每一基材歷經的製程變數(例如傳 20 200822183 送時間、機械葉片溫度)。 . 在一實施例中,第一機械構件1 1A和第二機械構件 11B均用來從側邊60B“重疊”或接取及傳送基材於第一處 理架件60内的各處理室間和從側邊80A‘‘重疊”或接取及傳 送基材於第二處理架件80内的各處理室間。藉著水平移動 •構件90、垂直移動構件95、和機械硬體構件85的組件共 - 同運作、及依據系統控制器1 〇 1送出的指令,可使機械葉 片87伸入處理室及自處理室縮回機械葉片87。二或多個 〇 如第一機械構件1 1 A與第二機械構件1 1 B的機械裝置具相 互“重疊”的能力是有益的,因其可重複傳送基材,進而增 進叢集工具可靠度、正常運行時間、和基材產能。機械裝 置“重疊” 一般是指二或多個機械裝置接取及/或獨立傳送 基材進出同一處理室。二或多個機械裝置重複進入處理室 可有效避免系統機械裝置發生傳送瓶頸,此乃因使用中的 機械裝置可幫助限制系統產能的機械裝置脫困。故藉由均 ,衡各機械裝置於處理程序期間的負載量,可提高基材產 • 能、加強基材之晶圓歷史的再現性、和增進系統可靠度。
U 在本發明之一態樣中,各種重疊之機械構件(如第2-7 圖的元件符號1 1 A、1 1 B等)可同時進入水平相鄰(X方向) 或垂直相鄰(y方向)的處理室。例如採用第2B及2F圖所 示的叢集工具構造時,第一機械構件11 A可進入第一處理 架件60中的處理室CD6,而第二機械構件ι1Β可同時進 入處理室CD5,且不會相互碰撞或干擾。在另一實施例中, 若採用第2B及2F圖所示的叢集工具構造,則第一機械構 21 200822183 件11A可進入第二處理架件8〇中的處理室^,第_ ^ —機械 ' 構件11B可同時進入處理室Bcn,且不會相互碰撞 一 擾。 〆 在一態樣中,系統控制器1〇1可依據計算得到的最佳 • 產能來調整整個叢集工具的基材傳送程序、或於無法操^ •的處理室周圍運作。可增加產能之系統控制器1〇1的特徵 • 之一已知為邏輯排程。邏輯排程依據使用者和遍佈叢集工 p 具之各種感測器提供的輸入值排列任務與基材移動的優先 順序。邏輯排程可檢視各機械裝置(如前端機械構件15、 第一機械構件11A、第二機械構件11B等)待進行的任務清 單其儲存在系統控制器i 0丨的記憶體内,以協助均衡各 機械裝置的負載量。利用系統控制器1〇1提高叢集工呈的 刪,將可改善叢集工具的c〇〇、使晶圓歷史更具:現 性、及增進叢集工具的可靠度^ - ·在^"樣中,系統控制器101還可防止各種重疊之機 &裝置相撞,並增加基材產能。在一態樣中,系統控制器 u 1G1更可程式化來監控叢集卫具中所有機械裝置的水平移 動構件9〇、垂直移動構件95、和機械硬體構件85的移動,
以免“重疊,,之嫵p@ L 胃古/機械裝置相撞,並使所有機械裝置同時移動 而提同系統產能。此所謂的“防撞系統,,可以多種方式實 mu系統㈣器1G1在傳送 機械裝置上或《隹 罢、 -集工具中的種種感測器監控各機械裝置的 位置,以免碰格 。在-態樣中,系統控制器可主動改變各 機槭裝置於偯a、m / 辱k過程的移動及/或軌道,以防碰撞及縮短傳 22 200822183 送路徑。 參照第2Β圖,在一實施例中,第一介面室48及/或第 二介面室49是用來施行曝光邊緣球狀物去除(optical edge bead removal,0EBR)製程、基材冷卻製程、或整合式烘烤 與冷卻製程。有益於本發明一或多個態樣之曝光邊緣球狀 物去除室、基材冷卻室、或整合式烘烤/冷卻室的例子可進 一步參見美國專利申請案號11/112,281、西元2005年4月
Ο 22曰申睛的申請案’其一併引用於此且不與本發明相悖。 在一實施例中,第一介面室48及/或第二介面室49是用來 執行度量衡型功能及透過控制器1 〇!將結果傳達給使用 者。在一態樣中,介面室48、49包含垂直堆疊排列的度量 衡室(未繪示),如此可平行處理多個基材。在一態樣中, 介面室48、49包含多個度量衡室(未繪示)和多個交換室(未 缘示)一’其配置類似第3圖。典型的度量衡室可包括傳統微 粒測$工具、傳統光阻厚度測量工具、及/或傳統關鍵尺寸 (CD)測量工具,但不以此為限。 介面機械 在一貫施例中,如第2A-2F圖所示,中 有第一背面機械槿杜μ Δ壤 ^ 、、、、且25具 Μ八如牛 “機械構件伽、和用 來接合如步進/输糾莊如, 矛用 知馬裝置50之外部模組5的 藉以施行無縫之處 構件47, %孓慝理程序。在此配置下,一 件40Α、第二势;她 示一牙面機械構 面機械構件40Β、和介面構件 機械裝置46用來偟、、, 内的介面 傳运基材及控制基材在叢举 取苯工具1 〇與外 23 200822183 部模組5之間移動。在一態樣中,第一背面機械構件4〇a 和第二背面機械構件40B傳送基材於第一處理架件60及/ 或第二處理架件8 0内之各處理室與介面構件4 7或外部模 組5内之位置間。在一態樣中,外部模組5為傳統步進或 掃瞄模組,其例如可取自Belmont,CA的尼康精機公司 (Nikon Precision Inc·)、Lake Success,NY 的美國佳能公司 (Canon U.S.A. Inc·)、或 丁6111?6,八2的美國八3^11^公司。 在一實施例中,第一背面機械構件40A和第二背面機械構 件4 0 B直接接取及放置外部模組5中的基材。在一態樣 中,若第一背面機械構件40A和第二背面機械構件40B直 接接取及放置外部模組5中的基材,則叢集工具構造可省 略配置介面機械裝置40 〇 參照第2B圖,在一態樣中,第一背面機械構件4〇 A 和第二背面機械構件40B —般包含具單一臂手/葉片40E 的傳統選擇性順應裝配機械臂(selectively c〇mpiiant articulated robot arm,SCARA)裝置。在另一實施例中,第 一背面機械構件40A和第二背面機械構件40B可為具二獨 立控制之臂手/葉片(未繪示)的SCARA型裝置,用以交換 及/或傳送兩組基材。具有二獨立控制之臂手/葉片的機械 裝置有利於使機械裝置先移開預定位置的基材,再放入下 一基材到同一位置。一具二獨立控制之臂手/葉片的機械裝 置範例可購自 Fremont, CA 的亞士帝公司(Asyst Technologies) 〇 在一實施例中,介面構件47 —般包含介面機械裝置 24 200822183 46、第一介面室48、和第二介 〜 材在外部模組5内之各势I ;丨面室49,用以放置及控制基 中,第一介面室48和第二八— 間私動。在一實施例 中央模組25移動到外部模組$至或==入室,供基材從 央模組25,以於步進機械裝置❹各北#組5移動到中 背面機械構件40A或第一北 月面機械構件(第一 材。此配置方式的優點在於,牛 )間傳遞基 、Y進機械裳置4 6可和制值、关 基材於外部模組5舆巾工制傳送 <間。使用步進機赫奘罾 46亦可於喚醒等候之背崧 代裝置 機械構件(如機械構件4〇Α盥 40Β)時解凍其來執行關鍵任務,直 ” 成較不重要的任務。 交換室 第3圖繪示交換室533的_實施例,其可設在處理竿 件(如處理架件60、80)的支撐室165(第汀圖)。在一實施 例中’交換室533是用來接收及留住基材,使叢集工具ι〇 内的至少二機械裝置可放下或拾起基材。在_態樣中,背 面機械構件40和中央模·组25内的至少一機$裝置可放下 及/或接收交換室533的基材。交換室533 一般包含基材支 撐件65卜封閉區652、和至少—位於封閉區以〕壁面的入 口 653。基材支撐件651 —般包括複數個支撐指狀物件 660(第3圖繪示6個),其具有用來支撐及托住基材的基材 接收面66卜封閉區652 —般為具有一或多個壁面的結構, 壁面圍住基材支撐件651,以控制基材留在交換室53 3時 的周圍環境。入口 6 5 3 —般為封閉區6 5 2壁面上的開口, 25 放下基材至支撐指 651可利用二或多個 面661,且機械裝置 狀物件 機械裝 至少相
200822183 供外部機械裝置進入拾起及 6 6 0。在一態樣中,基材支撐件 置將基材置於或移開基材接收 隔90度進入封閉區652。 B.傳送裎序實例 第2C圖繪示基材通過叢集工具1〇的處理程序 施例’其中在完成每一傳送步驟Ai_a"後可進行 理步驟(如步驟5〇1-518)。一或多個處理步驟5〇1巧 行微影型流體處理步驟處理基材、沉積材料至基材 清洗基材表面、顯影基材表面上的沉積材料、^以 的輻射曝照基材,使基材的一或多個區域產生物理 變化。第2D圖繪示傳送步驟之—實施例,其中基 循第2C圖的處理程序5〇〇通過與第28圖構造相^ 工具。在此實施例中,利用前端機械構件15可將基 盒件(符號105D),並依循傳送路徑^傳送到送 9Β的I至,藉以疋成基材送入步驟5〇2。在一態樣 入步驟502用來放置戎®杜耸从 π水敬罝:¾留住基材,使得另一機械裝 起送入位置9B的基材。 完成送入步驟5〇2後,接著利用第二機械構件 依循傳送路徑Az將基材傳送到第-處理室531,在 基材處理步驟504。完成處理步驟5〇4後,接著利 ㈣構件11B且依循傳送路#八3冑基材傳送到第 至532。疋成處理步驟506後,接著利用第二機械掮
500實 許多處 ^可施 表面、 某類型 或化學 材可依 的叢集 材移出 入位置 中,送 置可拾 11 B且 此完成 用第二 —^處理 件11 B 26 200822183 且依循傳送路徑A4將基材傳送到交換室533(第3圖)。完 成處理步驟508後,接著利用第一背面機械構件4〇a且= • 循傳送路徑A5將基材傳送到第一介面室48,在此進行處 理步驟509。進行完處理步驟509後,接著利用步進介面 • 機械裝置46真依循傳送路徑Αδ將基材傳送到步進/掃嘴裝 置50的交換位置5卜在此進行處理步驟51〇。進行完處理 . 步驟5丨〇後,接著利用步進介面機械裝置46且依循傳送路 0 徑八7將基材傳送到步進介面構件47的介面室49,在此進 行處理步驟511。在一態樣中,處理步驟5〇9、511用來放 置或留住基材,使第一背面機械構件4〇Α、第二背面機械 構件40Β、及/或步進介面機械裝置46可拾起及傳送基材 至預定位置。進行完處理步驟511後,接著利用第二背面 機械構件40Β且依循傳送路徑α8將基材傳缉到處理室 534,在此進行處理步驟512。進行完處理步驟以2後,接 • 著利用第一機械構件1 1Α且依循傳送路徑α9將基材傳送 . 到處理室53 5,在此進行處理步驟5丨4。完成處理步驟5 1 4 ti 後,第一機械構件HA依循傳送路徑Aig將基材傳送到位 於送入位置9A的送入室,在此進行送入步驟516〇在/實 施例中,送入步驟516用來放置或留住基材,使得另/機 槭裝置可拾起送入位置9A的基材。進行完送入步驟516 後,接著利用前端機械構件15且依循傳送路徑A"將基材 傳送到盒件l〇5D。 在一實施例中,處理步驟504、506、510、512、和514 分別為光阻塗佈步驟、烘烤/冷卻步驟、步進/掃描裝I 5〇 27 200822183 實行的曝光步驟、曝光後烘烤/冷卻步驟、和顯影步驟,相 關描述可進一步參見美國專利申請案號丨丨/丨丨2,2 8 1、西元 2 0 05年4月22曰申請的申請案,其一併引用於此供作參 考。烘烤/冷卻步驟和曝光後烘烤/冷卻步驟可在單一處理 室中進行,或者二者可使用内部機械裝置(未繪示)而於整 合式烘烤/冷卻室的烘烤區與冷卻區間轉換。雖然第2C-D 圖繪示處理叢集工具1 〇之基材的處理程序實例,但更複雜 或簡單的處理程序及/或傳送程序亦不脫離本發明的基本 範圍。 上述叢集工具構造有利於施行需嚴格控制及保持某些 步驟時距的處理程序,以確保各處理基材的晶圓歷史與處 理結果具再現性。例如,某些微影型製程步驟的間隔時間 (如第2C圖的曝光步驟510與第2C圖的曝光後烘烤步驟 5 1 2)對處理結果(如c D均一性)是否可接受和各基材是否 具一致性而言,是很重要的。微影型處理程序的時距問題 通常是在進行第2C圖的曝光步驟510曝照正型光阻材料 而改變光祖材料化性後發生。 曝光時’含有光酸產生物質(photoacid generators, PAG)的光阻成分於曝光期間會產生有機酸,其可能攻擊未 曝光的光阻區域並影響形成在光阻層中之圖案的清晰度。 光酸的漂移會攻擊未曝光的光阻,其中漂移為擴散支配過 程。因光酸攻擊形成之圖案為擴散支配過程,故攻擊速度 取決於二相關變數,即時間和溫度。因此控制這些變數對 確保CD之均一性和基材之一致性而言,是很重要的。 28 200822183 在此所述之構造可南丨m 再w』刊用三機械裝置結構來確保某些如 步驟510-512的關鍵步 、 驟間之傳送時距減至最低且具再現 性。由於步進/掃描裝罟ς Λ 置5〇送入、處理、送出基材的間隔 時間小於3 0秒,因此备敕 田整個叢集工具1〇與步進/掃描裝置 50的產能很高時,例如备 』斯母小時超過12〇個基材,上述方法 變得很重要。猎由確保牵小 卡至y —機械裝置(例如第一背面機械 構件40A、第二背面播mu 機锇構件40B)專門用於確定離開步進 Ο /掃描裝置50的基姑她3 土可〜、疋經歷相同的處理步驟(例如步驟 5 1 0 - 5 1 2)時距,可得刭箱¥ 于f彳預期、具再現性的裝置效能。 差-Jr-叢集工具 Α·系統構造 第4Α圖為叢集工具1 〇之一實施例的平面圖,包括前 端機械構件15、背面機械構件40、系統控制器101、和置 於一處理架件60、80間的三個機械構件η(例如第4Α圖 元件苻號1 1 A、1 1 β、丨丨c),其皆利用處理架件内的各種 處理至來進行預定之基材處理程序的至少一步驟。第4A 圖的實施例與第2A_F圖的構造類似,除了其增設第三機 械構件11C和送入位置9C,因此類似的元件以同樣的符號 表不。第4A圖的叢集工具構造有利基材產能受限於機械 壯 、極限的情況,因為增設的第三機械構件11 C將協助減 輕其他機械構件的負载量,並建立一些重複性,使系統在 或多個中央機械裝置無法運作時仍可處理基材。在一態 ’ ’第一處理架件60的側邊60B與第二處理架件80的 29 200822183 1 邊;°A;平行對準各機械構件(例如第-機械構件 、第一機械構件11B等)的水平移動構件9〇。 在-態樣中,第-機械構件11A從側彡_接取及傳 运基材於第-處理架件6G的各處理室之間。在—態樣中, 第二機械構件11B㈣4 _接取及傳 架…各處理室之間。在一態樣中,第三機械= 從侧邊60B接取及傳送基材於第一處理架件6〇的各處理
室和從側邊80B接取及傳送基材於第二處理架件8〇 處理室。 第4B圖為第4A圖之叢集工具1〇實施例的平面圖, 其中第三機械構件11C的機械葉片87已經由侧邊6〇8延 伸進入第一處理架件60的處理室。藉著水平移動構件9〇、 垂直移動構件95、和機械硬體構件85的組件共同運作、 及依據系統控制器101送出的指令,可使機械葉片Μ伸入 處理室及/或自處理室縮回機械葉片87。如上述,第三機 械構件1 1C和系統控制器101允許叢集工具的各機械裝置 ‘‘重疊’’、容許系統控制器的邏輯排程依據使用者和遍佈叢 集工具之各種感測器提供的輸入值排列任務與基材移動的 優先順序、還可採用防撞系統最佳化機械構件傳送基材通 過系統的程序。利用系統控制器101提高叢集工具的利用 率’將可改善叢集工具的C 〇 〇、使晶圓歷史更具再現性、 及增進叢集工具的可靠度。 機械辑件 30 200822183 一般來說,因為機械構件(如第9A圖的斤 • 的尺寸較小,使得叢集工具的足印縮減,且機 • 成在傳送基材時可減少物理性侵入其他叢集工 間(如機械裝置、處理室),故在此所述之叢集 施例特別優於先前技術的構造。減少物理性侵 • 械裝置與其他外來組件相撞。縮減叢集工具= / 在此所述之機械裝置實施例還特別減少傳^移 〜的中心軸數量。此態樣對增進機械構件和叢集 D 度而言是很重要的。由系統的可靠度與系統之 靠度呈比例關係更可獲知此態樣的重要性i 在此所述之叢集工具10的實施例亦因減 通過叢集工具所需的送入室數量(如第9B圖 9A-C),而優於先前技術的構造。施行處理^ 技術的叢集工具構造普遍裝設二或多個送入室 站,讓叢集工具機械裝置將基材從置於一戈多 V 間的機械裝置傳送到另一置於其他一或多1二 ◎ 機械裝置。依次將基材放置到多個不進行處理 …室不僅費時,還會降低機械裝置的可利用性、 具的空間、及增加機械裝置的耗損。增加送入 加基材傳遞次數而不利裝置產量,此也將增加 染量。另外,含多個送入步驟的基材處理程序 不同的基材晶圓歷史,除非控制每一基材待在 間一樣多® 控制待在送入室的時間會增加製程變數而 匕件符號11) 械裝ί設言十 具組件的空 ϋ具1 0的實 入可防止機 印的同時, 動時需控制 工具之可靠 各組件的可 少傳送基材 的元件符號 序時,先前 或基材暫留 個處理室中 理室中間的 步驟的送入 浪費叢集工 步驟尚會增 背面微粒污 本質上具有 送入室的時 提高系統的 31 Ο
200822183 複雜度,並且折損可達到的最大基材產能。當機械裝 制了系統產能時,叢集工具的最大基材產能受控於機 置完成處理程序的移動次數和機械裝置移動粍費的時 機械裝置執行預定移動所耗費的時間常受限於機械硬 處理室間距、基材潔淨度、和系統控制極限。不同種 機械裝置移動時間一般差異不大且整個產業頗為一致 此,本質上完成處理程序需較少機械裝置移動的叢集 比需更多移動才能完成處理程序的叢集工具(例如含 送入步驟的叢集工具)有更大的系統產能。 本發明所述之態樣大體上乃避免先前技術構造 點,是以本發明之叢集工具構造大體上在處理基材前 成所有基材處理步驟後,只採行送入型步驟(如第2C 步驟502、508、511、518),故處理時間和晶圓歷史 並非很嚴重的問題。若送入步驟介於關鍵時刻步驟之1 第2C圖的步驟5 1 1 ),則在此所述之叢集工具構造乃 讓進行關鍵時刻步驟的機械襞置完成這些任務,且不 響關鍵傳送步驟上、下游的移動和基材處理時間。 例如在第1圖的構造中,機械裝置17C需暫停處 1 6内的任一傳送任務來施行關键時刻傳送步驟,如此 響基材進出處理格的時間與流程。為彌補此問題,先 術構造必須控制或調整基材進出叢集工具之各格(如 圖的付號1 6)的時間,以確保每一機械裝置(如第1圖 號17A-C)有足夠的前置時間(overhead tilne)完成關鍵 任務,且不會大幅改變晶圓歷史。由於控制基材進出 置限 械裝 間。 體、 類的 。因 工具 多個 的缺 及完 圖的 在此 丨曰1 (如 配置 會影 理袼 會影 前技 第1 的符 時刻 先前 32 Ο i) 200822183 技術構造之處理格的時間以維持一致的晶圓歷史需减+ 材產能,以免衝突的基材傳送優先順序改變了衝突妝、 队%與 非衝突狀況間的步驟時距,因而大幅降低基材產能。 本發明所述之態樣提供一或多個機械裝置(如機插、 置40Β)特地進行關鍵時刻步驟並允許其他機械裝置f 械裝置40A、46)執行優先順序較後面的任務,藉以分解& 材經關鍵傳送步驟移動的流程。此配置方式尤其有利叢集 工具1 〇之處理程序產能不同於連接系統之外部模組5產_ 的情況。例如依循第2 C圖的處理程序時,若進行交換步 驟5 09之腔室的基材產能比外部模組5的產能大,則不需 降低系統產能;進行步驟5 09後且基材處於穩定處理狀態 時,將可得到具再現性的晶圓歷史。在一例子中,補償施 行交換步驟509之腔室的產能大於外部模組5產能的方法 為將抵達交換室的基材儲放在多個“緩衝”位置(如第3圖 的支撐指狀物件6 1 0),然後依需求拾起及傳送基材至外部 模組5。在另一實例中,當基材進入外部模組5的速度比 曝光步驟510及/或其他下游步驟(如步驟511-518)慢時, 因系統產能不需協調成與上游步驟一致,故不會降低系繞 產能。 在此所述之新穎的叢集工具構造深具優勢的理由有後 多。第一,所述構造傳送基材的方法不像第1圖的先前技 術系統需要使用同一機械裝置來傳送同時上、下游移動的 基材,故不會產生基材處理及/或機械裝置傳送瓶頸而影響 整個系統的基材上、下游移動。第二,因傳送步驟瓶顒不 33 200822183 會限制基材產能,故機械構件n 傳送多個基材,淮而★* 拉組可平行處理或 進而大幅提高產能。第二 瓶頸發生在上游藉& m ~ 由於傳送步驟 殍知序,因此不會惡化處 (例如CD均一极、★ 里暴材的處理結果 庇)。在此所述之構造涂^r从& 可重複的晶圓歷 <、 的傳送程序具有 產能。 果、和較大的基材 笛卡兒機 第5圖為機械構件u之一每 的元件符號―。機㈣:第2A_2F圖及第4A,圖 W。機械構件1 i 一私 85、-或多個垂直移動構件95、和 '二:機械硬體構件 9〇。藉著機械硬體構件85、垂直移動構^水平移動構件 構件9〇共同運作及依據系統控制器…送出、:::移動 基材放置在叢集工具1〇内的 、出的扣令,可將 欠x、y、z位番 機械硬體構件85 一般包含一或多置' Ο 86 ,其依據系統控制器1〇1送出的指人 專运機械構件 放置一或多個基材。在一實施例中,W留住、傳送、及 件86利甩傳送機械構件86之各組件的運圖的傳送機械構
與y方向的水平面傳送基材。有益 f作而於如包括X π皿於本發明— 之機械硬體構件的例子可進一井奋 或夕個態樣 1 1/3 1 5,984、西元⑽年12/2/見美國專w請案號 干以月22曰申請的 併引用於此且不與本發明相恃。第5圖的構二案’其一 型葉片構造例如有利期移開處理 霉&或“上/下” 至之基材、再放入下一基 34 200822183 材到冋一處理室的情沉 1收“必& 賤械硬體構件85不需離開原位即 可將移除,,之基材移動 另一腔室(即“交換,,基材)。 弟圖為水平移動構 $ # # 件 之一實施例的立體視圖。水 千移動構件90 —般包含扭 封閉件460、啟動件443、和橇座 451〇啟動件443 一般包至、^ 土 L 主y 一水平線性滑動件4 6 8和移 動件442。移動件442 一 力又包含水平機械致動器3 67、驅動 T 440、和二或多個驅 、勒帶π輪441。垂直移動構件95透
Ο 過橇*座4 5 1連接水平移動播 勒構件9〇。橇座451為支撐水平移 動構件9 0定位垂直移動槿 動構件95時產生之各種負載的結構 體。水平移動構件90 —船句人 版包含二水平線性滑動件4 6 8,其 各具線執4 5 8、軸承座(去絡一 1禾纷不)、和支撐座(未繪示),用以 支撐橇座4 5 1與垂直夥勤Μ > 且移勁構件95的重量。此構造可使垂直 移動構件9 5沿著水平銘叙姓μ 十移動構件90的長邊平順且精確地移 動。線軌4 5 8和軸承座(去給^ - 及(禾、會不)可為此技藝熟知的線性滾 珠軸承滑座或傳統線性導勅。士 β ^ a η。 表丨王等軌。有益於本發明一或多個態樣 之水平移動構件的例子可進一 +灸a蓋國東&由#也上 ^ 步參見美國專利申請案號 11/315,984、西元 2005 年 12 曰 ο。 干丄2月22曰申請的申請案,其一 併引用於此且不與本發明相•障。 第5圖還繪示垂直移動構件95之一實施例。垂直移動 構件95 —般包含垂直支撐件(未繪示)、垂直啟動件56〇、 支撐板(未繪示)、和垂直封閉件59〇,其以垂直方向(如第 5圖的z方向)控制及定位機械硬體構件85。有益於本發明 一或多個態樣之垂直移動構件的例子可進一步參見美國專 利申請案號11/315,984、西元2005年12月22曰申請的申 35 200822183 請 案’其-併弓丨用於此且不與本發明相恃 叢-集工具構造配置設計 在本發明一態樣中,叢 ^ Θ 敦果工具乃配置成可擴增額外的 處理s ’且不會大量增力 二 機械裝置數量、提高系統控制的
複雜度及加大叢集工具的 ^ M 〜寸。如上述,採用第1圖處理 格10的先前技術構造每 ^ ^ 、 人知升系統原有之處理量時,需增
U 汉機械裝置。如此可能造成不樂見的情況’即增設的機械 裝置與送入口僅用來協助為數不多的新增處理室,以達到 預定的系統產能。此時,先^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ 常 元則技術叢集工具的製造業责吊 減速或減低叢隼工旦的fL | . 果 /、的取大產能,而非另增附加處理格 以免提同成本與叢集工具的複雜度、及降低叢集工具的可 #度在此所述之叢集工具構造則分離基材產能、處理量、 系統可靠度、和機械裝置能力的課題,故改變系統需求時 不需調整系統的其他方面或參數。 本發明所述之實施例提出組合式的叢集工具構造,用 以提1¾叢集工具的處理詈,卄a Λ % J ^ ^ ^ 並且不增设額外的基材傳送設
備與送人口,而僅些微加大m印。第6圖為半個第2A 圖之叢集工具的立體視圖,例如叢集工具ι〇的處理架件 60 一側,其說明藉由增設一或多個堆疊之處理模组(例如 符號⑶、631)及增長機械袭置長度(例如符號“〇、641) 來提高叢集工具1 〇之處理量的方法。應土 〜/上忍的是,增設一 或多個堆疊之處理模組及增長一或多個 y ^ ^ u機械構件之長度可 施行於叢集工具的一或多個側邊(例如處 ~ Ϊ里架件60、處理 36 200822183 架件80)。增設分別含有多個處理室622、632的堆疊處理 模組621、63 1,可提高叢集 一般來說,增設堆疊之 6 3 1需將機械構件1 1延伸— 供機械裝置進入堆疊處理模 6 3 2。雖然增設堆疊處理模組 各腔室間的傳送時間,但這 送處理前置時間的最小組件 過程通常佔傳送過程最大的 傳送基材至正確的X、y、ζ 是,相較於上述第1圖先前 送基材的傳送方法,採用單 移到另一 X、y、ζ位置的傳 的多個拾起與放下傳送步驟 在一實施例中,期增設 以提高系統產能(參見第4A 第4A圖的符號llA、iiB、 因此另增機械裝置於第2B 前技術構造面臨的不當影響 組件會降低糸統的整體可靠 補償其中一個機械襞置無法 正常運行時間幾乎維持不變 在一態樣中,處理架件 631)設計成讓堆疊之處理室 工具10的處理量。 Ο Ο 處理模組6 2 1及/或處理模組 段長度64 1及/或長度642,以 組的處理室 622及/或處理室 會增長叢集工具的長度而增加 類傳送基材的組件通常屬於傳 。拾起和放下處理室之基材的 部分,其一般包括拾起基材、 位置、和放下基材。應注意的 技術構造於各處理格間串聯傳 一機械裝置從一 X、y、Z位置 送方法因不用累積串聯程序中 ’故速度更快。 附加機械構件11到叢集工具, 圖)。由於每一機械構件Η (如 1 1 C)乃平行、而非串聯運作, ®的叢集工具構造不會受到先 。在此例子中,儘管增設附加 度’然因機械裝置平行運作而 運作時的間置時間,故系統的 〇 (如元件符號6 0 1、6 1 1、6 2 1、 (如 70 件符號 602、612、622、 37 200822183 632)對齊機械裝置傳送方向(如第6圖的χ方向)的寬度互 為倍數關係。參照第6圖,堆疊處理模組60 1的寬度為2X, * 而堆疊處理模組6 11的寬度為χ,其中X代表某一有限長 度’例如寬度為約0 · 4公尺至約2 · 〇公尺。使用寬度互為 • 倍數關係(例如χ、2Χ、3χ)的堆疊處理室有助於解決一些 /、叢取工具内之模組分組相關的問題。在一實施例中,叢 集工具包含二種不同尺寸的處理模組,其中較小的處理模 ζ) 組寬度為約〇.5公尺,較大的處理模組寬度為約1.0公尺。 在另一實施例中,叢集工具包含二種不同尺寸的處理模 組’其中較小的處理模組寬度為約0.7公尺,較大的處理 模組見度為約1 · 4公尺。上述方式町依據堆疊之處理模組 的處理模組結構減少機械裝置長度的變量,並降低系統用 於不同處理應用的配置複雜度。 • 第7圖為叢集工具10之一實施例的平面圖,包含多面 送入位置9Α供多個機械裝置進入。在一態樣中,所有的 中央機械構件(如第一機械構件11Α、第二機械構件11Β) 和刖端機械構件15皆可進入送入室。故在一實施例中,如 第7圖所示,送入位置9Α容許前端機械構件1 5、第一機 械構件11A、和第二機械構件11B將基材送進或送出送入 位置9A。在一態樣中,傳送方法需要傳送步驟,使第一機 械構件11 A將基材放到送入位置9 A後,第二機械構件i! b 再拾起此處的基材並傳到叢集工具的其他預定位置。參照 38 200822183 第2D圖及第7圖,第2D圖的傳送步驟ApA2與A10-An . 雖經由二送入位置9A、9B進行傳送,然如第7圖所示, . 含有多面送入室的構造卻允許經由單一送入位置9 A交換 基材。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 * 和範圍内,當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者為準。 f、 . 【圖式簡單說明】 為讓本發明之上述特徵更明顯易懂,可配合參考實施 例說明,其部分乃繪示如附圖式。須注意的是,雖然所附 圖式揭露本發明特定實施例,但其並非用以限定本發明之 精神與範圍,任何熟習此技藝者,當可作各種之更動與潤 飾而得等效實施例。 第1圖(先前技術)繪示習知叢集工具構造的平面; 第2A圖為根據本發明一實施例之叢集工具的立體視 圖; 第2B圖為根據本發明之第2A圖處理系統的平面圖; 第2C圖繪示一處理程序實施例,包含各種可用於在 此所述之各叢集工具實施例的處理方法步驟; 第2D圖為根據本發明之第2B圖處理系統的平面圖; 第2E圖為根據本發明一實施例之第一處理架件60的 側視圖, 39 200822183 第2F圖為根據本發明一實施例之第二處理架件80的 侧視圖; 第3圖為根據本發明一實施例之交換室的側視圖; 第4A圖為根據本發明之處理系統的平面圖; 第4B圖為根據本發明之第4A圖處理系統的平面圖; 第5圖為一機械裝置實施例的立體視圖,其可用來傳 送各叢集工具實施例中的基材; 第 6圖為根據本發明一實施例之叢集工具的立體視 圖;以及 第7圖為根據本發明一態樣之處理系統的平面圖。 【主要元件符號說明】 5、24、25 模組 9、9A-C 送入位置 10 叢集工具 11、11A-C、15、40A、40B、86 機械構件 15A、90、95 移動構件
U 15B、17、17A-17C、20、46 機械裝置 15C 、40E、87 葉片 16、 16 處 d里格 18 處理室 19 前 端 單 元 21、 21A-21C 送入口 22 叢 集 工 具 23 基材匣 45 背 面 域 47 介面構件 48、 49 介 面室 50 步進/掃瞄裝置 60、 80 處 理架件 60A 、60B、80A、80B 側邊 85 硬 體 構 件 40 200822183
Ο 101 控制器 105 、105A-D 盒 1 106 匣 160 塗佈/顯影室 162 OEBR 室 165 支撐室 170 ' 531 、 532 處理室 180 冷卻室 190 烘烤室 367 啟動器 440 驅動帶 441 滑輪 442 移動件 443 、560 啟動件 451 橇座 458 線軌 460 ' 590 封閉 件 468 滑動件 500 處理程序 501 -5 18 步驟 533 交換室 601 > 611 、 621 , ‘631 處理模組 602 - 612 - 622 、632 處理室 640 > 641 長度 651 支撐件 652 封閉區 653 入口 660 指狀物件 661 接收面 800 烘烤/冷卻室 801 PEB室 41

Claims (1)

  1. 200822183 十、申請專利範圍: 1. 一種用於處理一基材的叢集工具,其至少包含: 一第一處理架件,包含: 一第一組二或多個垂直堆疊之處理室;以及 一第二組二或多個垂直堆疊之處理室,其中該第一 組和該第二組的每一處理室各具有對齊一第一方向的一第 一側邊,其中該第一方向大致垂直於一垂直方向; 一第二處理架件,包含: 一第三組二或多個垂直堆疊之處理室;以及 一第四組二或多個垂直堆疊之處理室,其中該第三 組和該第四組的每一處理室各具有對齊該第一方向的一第 一側邊; 一第一機械構件,沿著平行該第一方向的一方向移動, 用以放置一基材至該第一處理架件的各處理室; 一第二機械構件,沿著平行該第一方向的一方向移動, 用以放置一基材至該第二處理架件的各處理室; 一第三機械構件,連接該第一處理架件的一處理室與一 介面構件的一第一處理模組;以及 一第四機械構件,連接該第二處理架件的一處理室與該 介面構件的一第二處理模組。 2. 如申請專利範圍第1項所述之叢集工具,更包含一第五 機械構件,沿著平行該第一方向的一方向移動,用以放置 42 200822183 一基材至該第一與該第二處理架件的各處理室,其中該第 - 五機械構件設在該第一與該第二機械構件之間。 3. 如申請專利範圍第1項所述之叢集工具,更包含一第五 機械構件,設於該介面構件内且連接該第一處理模組與該 第二處理模組,其中該第五機械構件連接鄰接該叢集工具 的一外部模組。 〇· 4. 如申請專利範圍第1項所述之叢集工具,更包含一外部 模組,鄰接該叢集工具且連接該介面構件内的該第一處理 模組與該第二處理模組,其中該外部模組選自一由一步進 機和一掃描器構成之群組。 5. 如申請專利範圍第1項所述之叢集工具,其中該第一組 二或多個處理室具有順著一第一方向的一第一寬度,且該 第二組二或多個處理室具有一第二寬度,其中該第二寬度 U 大致為該第一寬度的倍數。 6. —種用於處理一基材的叢集工具,其至少包含: 一第一處理架件,包含: 一第一組二或多個垂直堆疊之處理室;以及 一第二組二或多個垂直堆疊之處理室,其中該第一 組和該第二組的該二或多個處理室具有對齊一第一方向的 43 200822183 一第一側邊; 一第二處理架件,包含: 一第三組二或多個垂直堆疊之處理室;以及 一第四組二或多個垂直堆疊之處理室,其中該第三 組和該第四組的該二或多個處理室具有對齊該第一方向的 一第一側邊; 一第一機械構件,用以傳送一基材至該第一處理架件的 該些處理室,其中該第一機械構件包含: 一第一機械裝置,具有一機械葉片與一基材接收面 於該葉片上,其中該第一機械裝置定義出一傳送區並用來 放置一基材到大致位於一第一平面内的一或多個位置,該 第一平面平行該第一方向和垂直該第一方向的一第二方 向; 一第一移動構件,以大致垂直該第一平面的一第三 方向定位該第一機械裝置;以及 一第二移動構件,以大致平行該第一方向的一方向 定位該第一機械裝置; 一第二機械構件,用以傳送一基材至該第二處理架件的 該些處理室,其中該第二機械構件包含: 一第二機械裝置,具有一機械葉片與一基材接收面 於該葉片上,其中該第二機械裝置定義出一傳送區並用來 放置一基材到大致位於該第一平面内的一或多個位置,該 第一平面平行該第一方向和垂直該第一方向的一第二方 44 200822183 向; 一第二移動構件,以大致垂直該第_平面的一第三 v 方向定位該第二機械裝置;以及 一第四移動構件,以大致平行該第—方向的一方向 . 疋位該第二機械裝置; • 一第三機械構件,連接該第一處理架件的一處理室與一 面構件的一第一處理模組,其中該介面構件設置鄰接該 f) 第一處理架件; 一第四機械構件,連接該第二處理架件的一處理室與該 '面構件的一第二處理模組,其中該介面構件設置鄰接該 第二處理架件;以及 一第五機械構件,設於該介面構件内且連接該第一處理 模組與該第二處理模組’其中該第五機械構件連接鄰接該 • 叢集工具的一外部模組。 G 7.如申請專利範圍第6項所述之叢集工具,其中該第一機 械構件和該第二機械構件更包含一第三機 機械裝置具有-機械葉片與一基材接收面4=第: 中該第三機械裝置放置一基材到大致位於_第二平面内的 -或多個位置’且該第―平面與該第二平面相隔一距離。 8 ’如申請專利範圍第6項所述之叢集工具其中該叢集工 具更包含: 45 200822183 一第六機械構件,用以傳送一基材至該第一處理架件與 該第二處理架件的該些處理室,其中該第六機械構件包含: 一第三機械裝置,具有一第三機械葉片與一基材接 收面於該葉片上,其中該第三機械裝置定義出一傳送區並 用來放置一基材到大致位於一第二平面内的一或多個位 置,該第二平面平行該第一方向和垂直該第一方向的一第 二方向; 一第一移動件,具有一啟動件,以大致垂直該第二 平面的一第三方向定位該第三機械裝置;以及 一第二移動件,具有一啟動件,以大致平行該第一 方向的一方向定位該第三機械裝置。 9.如申請專利範圍第6項所述之叢集工具,更包含: 一匣盒,用以容納二或多個基材; 一第一送入室,用以接收來自一前端機械裝置與該第一 機械構件的一基材; 一第二送入室,用以接收來自該前端機械裝置與該第二 機械構件的一基材;以及 該前端機械裝置係適於傳送一基材進出該匣盒、該第一 送入室、和該第二送入室。 1 0·如申請專利範圍第6項所述之叢集工具,其中該外部 模組連接該第五機械構件或該介面構件内的該第一處理模 46 200822183 組與該第二處理模組,其中該外部模組選自一由一步進機 和一掃描器構成之群組。 11· 一種用於處理一基材的叢集工具,其至少包含: 一第一處理架件,包含: 一第一組二或多個垂直堆疊之處理室,每一處理室 具有對齊一第一方向的一第一寬度;以及 一第二組二或多個垂直堆疊之處理室,每一處理室 具有對齊該第一方向的一第二寬度,其中該第一方向大致 垂直於一垂直方向,且該第二寬度大致為該第一寬度的倍 數;以及 一第一機械構件,用以沿著平行該第一方向的一方向移 動及放置一基材至該第一處理架件的各處理室。 12.如申請專利範圍第11項所述之叢集工具,其中該第一 寬度為約0.4公尺至約1公尺,而該第二寬度為約0.8公 尺至約2公尺。 1 3 ·如申請專利範圍第11項所述之叢集工具,更包含: 一第二處理架件,包含: 一第三組二或多個垂直堆疊之處理室,每一處理室 具有對齊該第一方向的一第三寬度;以及 一第四組二或多個垂直堆疊之處理室,每一處理室 47 200822183 具有對齊該第一方向的一第四寬度,其中該第四寬度大致 4 為該第三寬度的倍數;以及 . 一第二機械構件,用以沿著平行該第一方向的一方向移 動及放置一基材至該第二處理架件的各處理室。 14. 如申請專利範圍第13項所述之叢集工具,更包含: 一第三機械構件,連接該第一處理架件的一處理室與一 介面構件的一第一處理模組,其中該介面構件設置鄰接該 第一處理架件; 一第四機械構件,連接該第二處理架件的一處理室與該 介面構件的一第二處理模組,其中該介面構件設置鄰接該 第二處理架件;以及 一第五機械構件,設於該介面構件内且連接該第一處理 模組與該第二處理模組,其中該第五機械構件連接該介面 構件中的一外部模組。 〇· 15. 如申請專利範圍第13項所述之叢集工具,更包含: 一第三機械構件,連接該第一處理架件的一處理室與一 外部模組,其中該外部模組設置鄰接該第一處理架件;以 及 一第四機械構件,連接該第二處理架件的一處理室與一 外部模組,其中該外部模組設置鄰接該第二處理架件。 48 200822183 16. —種用於處理一基材的叢集工具,其至少包含: • 一第一處理架件,包含·· ,一第一組二或多個垂直堆疊之處理室;以及 一第二組二或多個垂直堆疊之處理室,其中該第一 . 組和該第二組的該二或多個處理室具有對齊一第一方向的 一第一側邊; 一第二處理架件,包含: 一第三組二或多個垂直堆疊之處理室;以及 一第四組二或多個垂直堆疊之處理室,其中該第三 組和該第四組的該二或多個處理室具有對齊該第一方向的 一第一側邊; 一第一機械構件,用以傳送一基材至該第一處理架件的 該些處理室,其中該第一機械構件設在一中央模組内且包 含: 一第一機械裝置,具有一機械葉片與一基材接收面 於該葉片上,其中該第一機械裝置定義出一傳送區並用來 放置一基材到大致位於一第一平面内的一或多個位置,該 第一平面平行該第一方向和垂直該第一方向的一第二方 向; 一第一移動構件,以大致垂直該第一平面的一第三 方向定位該第一機械裝置;以及 一第二移動構件,以大致平行該第一方向的一方向 定位該第一機械裝置, 49 200822183 一第二機械構件,用以傳送一基材至該第二處理架件的 該些處理室,其中該第二機械構件設在一中央模組内且包 含: 一第二機械裝置,具有一機械葉片與一基材接收面 於該葉片上,其中該第二機械裝置定義出一傳送區並用來 放置一基材到大致位於該第一平面内的一或多個位置,該 第一平面平行該第一方向和垂直該第一方向的一第二方 向; 一第三移動構件,以大致垂直該第一平面的一第三 方向定位該第二機械裝置;以及 一第四移動構件,以大致平行該第一方向的一方向 定位該第二機械裝置; 一前端機械裝置,設在鄰接該第一處理架件與該第二處 理架件的一介面構件中,其中該前端機械裝置傳送一基材 進出連接該介面構件的一匣盒;以及 一送入室,設置鄰近該中央模組和該介面構件,用以接 收來自該前端機械裝置、該第一機械構件與該第二機械構 件的一基材。 17.如申請專利範圍第16項所述之叢集工具,更包含: 一第三機械構件,連接該第一處理架件的一處理室與一 介面構件的一第一處理模組,其中該介面構件設置鄰接該 第一處理架件; 50 200822183 一第四機械構件,連接該第二處理架件的一處理室與該 介面構件的一第二處理模組,其中該介面構件設置鄰接該 结二處理架件;以及 一第五機械構件,設於該介面構件内且連接該第一處理 模組與該第二處理模組,其中該第五機械構件連接該介面 構件中的一外部模組。 18. —種傳送一叢集工具中之一基材的方法,該方法至少 包含: 提供一第一處理架件,該第一處理架件包括三組或多組 二或多個垂直堆疊之處理室的一第一陣列,每一處理室具 有對齊一第一方向的一第一側邊,其中該第一方向大致垂 直於一垂直方向,其中該第一陣列内的該三組或多組二或 多個垂直堆疊之處理室分別沿著該第一方向設置; 設置一第二處理架件,該第二處理架件包括三組或多組 二或多個垂直堆疊之處理室的一第二陣列,每一處理室具 有對齊該第一方向的一第一側邊,使得該第一處理架件之 各處理室的該第一側邊面對該第二處理架件之各處理室的 該第一側邊,其中該第二陣列内的該三組或多組二或多個 垂直堆疊之處理室分別沿著該第一方向設置; 設置至少二機械構件於該第一與該第二處理架件之該 些處理室的各第一側邊間,其中該至少二機械構件分別以 該第一方向放置一基材至一預定位置; 51
    200822183 利用一第一機械構件將該基材從該第一處理架件 第一組處理室的至少一處理室傳送到該第一處理架件 第二組處理室的至少一處理室; 利用一第二機械構件將該基材從該第一處理架件 第二組處理室的至少一處理室傳送到該第二處理架件 第一組處理室; 利用一第三機械構件將該基材從該第一處理架件 第一組處理室的至少一處理室傳送到一介面構件的一 處理模組; 利用一第四機械構件將該基材從該第二處理架件 第一組處理室的至少一處理室傳送到該介面構件的一 處理模組;以及 利用一第五機械構件將該基材從該第一處理模組 第二處理模組傳送到一外部模組,其中該外部模組選 由一步進機和一掃描器構成之群組。 1 9.如申請專利範圍第1 8項所述之方法,更包含利用 於該第一與該第二機械構件間的第六機械構件將一基 該第一處理架件之該第一組二或多個垂直堆疊之處理 的一或多個處理室傳送到該第一處理架件之一第三組 多個垂直堆疊之處理室内的一或多個處理室。 20.如申請專利範圍第18項所述之方法,更包含: 之一 之一 之該 之一 之一 第一 之一 第二 或該 自一 一置 材從 室内 二或 52 200822183 利用一第六機械構件將一基材從一前端模組的一匣盒 ‘ 傳送到一送入室,其中該第六機械構件設於該前端模組 . 内,該前端模組鄰接該第一與該第二處理架件的一或多個 垂直堆疊之處理室;以及 利用該第一機械構件或該第二機械構件將一基材從該 送入室傳送到一處理模組,其中該處理模組設於該第一處 理架件或該第二處理架件的該些垂直堆疊之處理室。 (' ί, 53
TW096133746A 2006-09-08 2007-09-10 Cartesian cluster tool configuration for lithography type processes TW200822183A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/530,297 US7819079B2 (en) 2004-12-22 2006-09-08 Cartesian cluster tool configuration for lithography type processes

Publications (1)

Publication Number Publication Date
TW200822183A true TW200822183A (en) 2008-05-16

Family

ID=39158094

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096133746A TW200822183A (en) 2006-09-08 2007-09-10 Cartesian cluster tool configuration for lithography type processes

Country Status (3)

Country Link
US (1) US7819079B2 (zh)
TW (1) TW200822183A (zh)
WO (1) WO2008031031A2 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
WO2010042577A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5359285B2 (ja) 2009-01-07 2013-12-04 東京エレクトロン株式会社 処理装置及び処理装置の運転方法
US20100192844A1 (en) * 2009-01-30 2010-08-05 Semes Co., Ltd. Apparatus and method for treating substrate
JP5680557B2 (ja) 2009-02-22 2015-03-04 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子リソグラフィ装置
EP2399271B1 (en) * 2009-02-22 2013-01-16 Mapper Lithography IP B.V. Lithography machine and substrate handling arrangement
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
TWI451521B (zh) * 2010-06-21 2014-09-01 Semes Co Ltd 基板處理設備及基板處理方法
CH704406A1 (de) * 2011-01-31 2012-07-31 Kringlan Composites Ag Verfahren zur Herstellung von Vorformen.
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US9405194B2 (en) * 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
US20160195822A1 (en) * 2013-08-16 2016-07-07 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10459341B2 (en) 2018-01-30 2019-10-29 Applied Materials, Inc. Multi-configuration digital lithography system
JP7181068B2 (ja) * 2018-11-30 2022-11-30 株式会社Screenホールディングス 基板処理装置
KR20210096302A (ko) 2019-01-18 2021-08-04 어플라이드 머티어리얼스, 인코포레이티드 전기장 유도 포토레지스트 패터닝 프로세스를 위한 막 구조
KR102240925B1 (ko) * 2019-07-17 2021-04-15 세메스 주식회사 기판 처리 설비 및 기판 반송 장치
US11251064B2 (en) * 2020-03-02 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer frame sorter and stocker
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN113644005A (zh) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 一种半导体处理系统
KR20230029443A (ko) * 2021-08-24 2023-03-03 주식회사 케이씨텍 기판 세정 라인 및 이를 포함하는 기판 세정 시스템

Family Cites Families (544)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (zh) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (zh) 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (de) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Einrichtung zur Steuerung selbsttätig gelenkter Straßenfahrzeuge einer Container-Verladeanlage
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (ja) 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US4197000A (en) 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (ja) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 回転式基板処理装置用の基板回転保持装置
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4984597B1 (en) 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4634655A (en) 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
EP0198501B1 (en) 1985-04-17 1992-07-01 Hitachi, Ltd. Gripping device
JPS61178187U (zh) 1985-04-26 1986-11-06
US4895604A (en) 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
JPS6278828A (ja) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd 表面処理方法およびその装置
JPS6278826A (ja) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd 表面処理方法およびその装置
US4639026A (en) * 1985-10-11 1987-01-27 Schlage Lock Company Door knob and door knob catch arrangement
JPH0533006Y2 (zh) 1985-10-28 1993-08-23
JPS62129846A (ja) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd フオトレジストの塗布方法及び塗布装置
JPH0621769B2 (ja) 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 パタ−ン欠陥検出方法およびその装置
JPS62247085A (ja) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd フオトエッチング法による金属薄板の加工方法
JPH0621346B2 (ja) 1986-06-11 1994-03-23 日本鉱業株式会社 高純度金属タンタル製ターゲットの製造方法
JPS6314434A (ja) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd 基板表面処理方法および装置
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS6377569A (ja) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd 基板の回転式表面処理装置
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (ja) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd 感光材料の位置決め保持装置
JPS63133545A (ja) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd 熱処理装置の基板移載搬送装置
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
DE3876241D1 (de) 1987-03-31 1993-01-07 Siemens Ag Industrieroboter.
DE3712281A1 (de) 1987-04-10 1988-10-27 Heraeus Gmbh W C Verfahren zur herstellung von hochduktilem tantal-halbzeug
JPS63271931A (ja) 1987-04-28 1988-11-09 Tokyo Electron Ltd 現像装置
JPS63191348U (zh) 1987-05-27 1988-12-09
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (zh) 1987-07-13 1991-10-29
JPS6419351A (en) 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
JPH0617295Y2 (ja) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 基板受け渡し装置
JPH0623935B2 (ja) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 再現性を高めた熱処理制御方法
KR970006206B1 (ko) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 자동 도포 시스템
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
KR970011644B1 (ko) 1988-04-08 1997-07-12 고다까 토시오 도포 처리 장치
US4900214A (en) 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
KR0156237B1 (ko) 1988-06-03 1998-12-01 고다까 토시오 처리액 공급 장치
JPH06103687B2 (ja) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 回転式表面処理方法および回転式表面処理における処理終点検出方法、ならびに回転式表面処理装置
JPH069501Y2 (ja) 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 基板の回転乾燥装置
JPH02137852A (ja) 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd フォトレジストの現像終点検出方法
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
KR0138097B1 (ko) 1989-05-22 1998-06-15 고다까 토시오 도포장치
JPH0628223Y2 (ja) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
JPH03136232A (ja) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd 基板の表面処理装置
JPH0734426Y2 (ja) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 感光材料検出装置
US5197846A (en) 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (zh) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2704309B2 (ja) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
DE69113553T2 (de) 1990-07-23 1996-06-20 Dainippon Screen Mfg Schnittstellenvorrichtung zum Transportieren von Substraten zwischen Verarbeitungsgeräten.
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2843134B2 (ja) 1990-09-07 1999-01-06 東京エレクトロン株式会社 塗布装置および塗布方法
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2892476B2 (ja) 1990-09-14 1999-05-17 東京エレクトロン株式会社 帯状液体ノズル及び液処理装置及び液処理方法
US5201653A (en) 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
EP0482479B1 (en) 1990-10-23 1998-03-18 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for processing photosensitive material
JP2769645B2 (ja) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 感材処理装置
KR100230753B1 (ko) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 액도포 시스템
JPH081922B2 (ja) 1991-01-25 1996-01-10 株式会社東芝 ウェハ−保持装置
JP3241058B2 (ja) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 回転式塗布装置及び回転式塗布方法
TW204411B (zh) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5312487A (en) 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
ATE258084T1 (de) 1991-10-04 2004-02-15 Cfmt Inc Superreinigung von komplizierten mikroteilchen
JP2639771B2 (ja) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
JP2622046B2 (ja) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 基板搬送装置
US5275658A (en) 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (ja) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 ノッチ付ウエハの位置検出装置
JP2972970B2 (ja) 1992-04-24 1999-11-08 東京エレクトロン株式会社 処理装置
JP2906006B2 (ja) 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
JPH06244095A (ja) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
US5485644A (en) 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
SG93216A1 (en) 1993-03-25 2002-12-17 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP2907676B2 (ja) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 回転式基板処理装置の処理液供給装置
JP3347814B2 (ja) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
TW268905B (zh) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (ja) 1993-06-10 1999-05-10 東京エレクトロン株式会社 処理装置
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
DE634783T1 (de) 1993-07-16 1996-02-15 Semiconductor Systems Inc Thermische Behandlungsmodul für Beschichtungs/Entwicklungseinrichtung für Substrat.
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
EP0634699A1 (en) 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
JP3142195B2 (ja) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 薬液供給装置
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (ja) 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 シリカ系被膜形成用塗布液吐出装置
JPH07115058A (ja) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
JP2845738B2 (ja) 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 回転式基板処理装置の基板回転保持具
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (ja) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd 現像方法及びその装置
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
US5626913A (en) 1994-03-09 1997-05-06 Tokyo Electron Limited Resist processing method and apparatus
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5687085A (en) 1994-04-08 1997-11-11 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and method
JP2994553B2 (ja) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
JPH07297258A (ja) 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
JP3073886B2 (ja) 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 基板の熱処理装置
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (ja) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
US5715173A (en) 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
JP3116297B2 (ja) 1994-08-03 2000-12-11 東京エレクトロン株式会社 処理方法及び処理装置
US5695817A (en) 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
KR100262902B1 (ko) 1994-08-31 2000-09-01 다카시마 히로시 현상처리장치 및 현상처리방법
TW294821B (zh) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3099054B2 (ja) 1994-09-09 2000-10-16 東京エレクトロン株式会社 塗布装置及びその方法
JP3033009B2 (ja) 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3122868B2 (ja) 1994-09-29 2001-01-09 東京エレクトロン株式会社 塗布装置
US5625433A (en) 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
US5620560A (en) 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (ja) 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
KR100370728B1 (ko) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. 기판을균일하게코팅하는방법및장치
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (ja) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd 長尺素材の処理装置
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (ja) 1995-01-19 2002-04-22 東京エレクトロン株式会社 処理装置及び処理方法
US5618348A (en) 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (ja) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3350278B2 (ja) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 基板処理装置
US5711809A (en) 1995-04-19 1998-01-27 Tokyo Electron Limited Coating apparatus and method of controlling the same
JP3401121B2 (ja) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 基板への回転式塗布装置
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (ja) 1995-05-12 2000-04-04 東京エレクトロン株式会社 熱処理装置
JPH08316190A (ja) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
US5763892A (en) 1995-06-19 1998-06-09 Dainippon Screen Manufacturing Company, Ltd. Ultraviolet irradiator for substrate, substrate treatment system, and method of irradiating substrate with ultraviolet light
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
JPH0945611A (ja) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd 回転式基板塗布装置
JP2676334B2 (ja) 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
JP3518948B2 (ja) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 基板の回転処理装置
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
JP3552178B2 (ja) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 基板収納カセット、インターフェイス機構および基板処理装置
JPH0990643A (ja) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH09107013A (ja) 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd 基板受け渡し装置
JPH09106934A (ja) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd 基板現像装置
JP3227642B2 (ja) 1995-10-13 2001-11-12 東京エレクトロン株式会社 塗布装置
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (ko) 1995-11-22 2002-02-28 이시다 아키라 카세트내의 기판 검출 및 반송장치와 그 방법
JP3380663B2 (ja) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 基板処理装置
JP3892493B2 (ja) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (ja) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd 基板用処理液の脱気装置
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (ja) 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 基板処理装置
US5704493A (en) 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (ja) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 基板処理装置
JPH09213772A (ja) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd 基板保持装置
US6228561B1 (en) 1996-02-01 2001-05-08 Tokyo Electron Limited Film forming method and film forming apparatus
JP3377909B2 (ja) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 基板処理装置
JP3462657B2 (ja) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 薄膜形成装置および薄膜形成方法
JP3476305B2 (ja) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 回転式基板処理装置
JP3218425B2 (ja) 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
DE19613620C2 (de) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Verfahren und Vorrichtung zum Trocknen von Substraten
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (ko) 1996-04-23 2001-01-15 이시다 아키라 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치
DE19655219C2 (de) 1996-04-24 2003-11-06 Steag Micro Tech Gmbh Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (ja) 1996-05-08 2002-05-13 東京エレクトロン株式会社 現像処理方法及び現像処理装置
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (ja) 1996-05-28 2004-04-05 東京エレクトロン株式会社 塗布膜形成方法及びその装置
US5788453A (en) 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
JP3597639B2 (ja) 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JPH1022358A (ja) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3343033B2 (ja) 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 基板処理装置
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
EP0824224B1 (en) 1996-08-14 2002-03-06 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
EP0828189B1 (en) 1996-08-14 2004-11-10 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
JP3442934B2 (ja) 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 基板処理装置
JP3227595B2 (ja) 1996-08-20 2001-11-12 東京エレクトロン株式会社 現像処理方法及び現像処理装置
JP3278714B2 (ja) 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
JP3254574B2 (ja) 1996-08-30 2002-02-12 東京エレクトロン株式会社 塗布膜形成方法及びその装置
JP3245769B2 (ja) 1996-08-30 2002-01-15 東京エレクトロン株式会社 液処理方法及びその装置
JP3245812B2 (ja) 1996-08-30 2002-01-15 東京エレクトロン株式会社 液処理方法及びその装置
JPH1074818A (ja) 1996-09-02 1998-03-17 Tokyo Electron Ltd 処理装置
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3779393B2 (ja) 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
TW535216B (en) 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
JP3202929B2 (ja) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US6053058A (en) 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (ko) 1996-10-08 2001-01-15 이시다 아키라 기판처리장치
CH697146A5 (de) 1996-10-09 2008-05-15 Tec Sem Ag Greifvorrichtung zur Handhabung von Wafern.
JP3420900B2 (ja) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 塗布液塗布方法
JP3540524B2 (ja) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP3471543B2 (ja) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 回転式基板乾燥装置
JPH10144757A (ja) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
JPH10144599A (ja) 1996-11-11 1998-05-29 Tokyo Electron Ltd 回転処理装置およびその洗浄方法
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (ja) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 塗布液塗布方法
JP3245813B2 (ja) 1996-11-27 2002-01-15 東京エレクトロン株式会社 塗布膜形成装置
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3566475B2 (ja) 1996-12-03 2004-09-15 東京エレクトロン株式会社 処理装置
TW382749B (en) 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (ja) 1996-12-25 2002-10-07 東京エレクトロン株式会社 塗布装置
KR100283442B1 (ko) 1996-12-26 2001-04-02 이시다 아키라 현상장치및현상방법
US6099643A (en) 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (ja) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
JP3578577B2 (ja) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
TW389949B (en) 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
JP3559133B2 (ja) 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
JP3410342B2 (ja) 1997-01-31 2003-05-26 東京エレクトロン株式会社 塗布装置
JP3280880B2 (ja) 1997-02-07 2002-05-13 東京エレクトロン株式会社 脱気機構およびそれを用いた処理装置
JP3346716B2 (ja) 1997-02-14 2002-11-18 東京エレクトロン株式会社 基板冷却方法および基板冷却装置
JP3321540B2 (ja) 1997-02-14 2002-09-03 東京エレクトロン株式会社 脱気機構およびそれを用いた処理装置、ならびに脱気方法
EP0863538B1 (en) 1997-03-03 2003-05-21 Tokyo Electron Limited Coating apparatus and coating method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
US6138695A (en) 1997-03-07 2000-10-31 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP3442253B2 (ja) 1997-03-13 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JP3693783B2 (ja) 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 基板処理装置
JP3548373B2 (ja) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 基板処理装置
TW432520B (en) 1997-03-31 2001-05-01 Tokyo Electron Ltd Photoresist coating method and apparatus
JP3549141B2 (ja) 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
JP3715073B2 (ja) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
JP3612196B2 (ja) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 現像装置、現像方法および基板処理装置
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
US6207231B1 (en) 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
JP3917237B2 (ja) 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JP3737604B2 (ja) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
JPH113851A (ja) 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
SG71809A1 (en) 1997-07-03 2000-04-18 Tokyo Electron Ltd Solution treatment apparatus
SG71808A1 (en) 1997-07-04 2000-04-18 Tokyo Electron Ltd Centrifugal coating apparatus with detachable outer cup
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
JPH1133471A (ja) 1997-07-23 1999-02-09 Tokyo Electron Ltd 塗布装置
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (ja) 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (ja) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6354311B1 (en) 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3788855B2 (ja) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 基板処理ユニットおよびそれを用いた基板処理装置
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3988805B2 (ja) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 基板搬送方法及びその装置
US6174371B1 (en) 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (ja) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 基板処理装置
US6199568B1 (en) 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
US6352083B1 (en) 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
JP2002504744A (ja) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
JP3320648B2 (ja) 1997-12-04 2002-09-03 東京エレクトロン株式会社 レジスト膜の形成方法及びレジスト膜の形成装置
US6177133B1 (en) 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6190063B1 (en) 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (ja) 1998-01-19 2002-09-30 東京エレクトロン株式会社 塗布装置
JP3323797B2 (ja) 1998-01-21 2002-09-09 東京エレクトロン株式会社 疎水化処理装置
JP3246891B2 (ja) 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
JP3356676B2 (ja) 1998-02-04 2002-12-16 東京エレクトロン株式会社 現像処理方法及びその装置
US6291800B1 (en) 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6018616A (en) 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6132165A (en) 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (ja) 1998-03-09 1999-09-24 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US6359264B1 (en) 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
JPH11274024A (ja) 1998-03-18 1999-10-08 Tokyo Electron Ltd 処理液供給装置及び処理液供給方法
US6368776B1 (en) 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
US6635113B2 (en) 1998-05-19 2003-10-21 Tokyo Electron Limited Coating apparatus and coating method
JP3381776B2 (ja) 1998-05-19 2003-03-04 東京エレクトロン株式会社 処理装置および処理方法
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (ja) 1998-05-26 1999-12-10 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
JP3364155B2 (ja) 1998-06-05 2003-01-08 東京エレクトロン株式会社 塗布膜形成装置及びその方法
US6183147B1 (en) 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (ja) 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
JP3333135B2 (ja) 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP3461725B2 (ja) 1998-06-26 2003-10-27 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
KR100609766B1 (ko) 1998-07-29 2006-08-09 동경 엘렉트론 주식회사 기판처리방법 및 기판처리장치
US6361600B1 (en) 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (ja) 1998-08-05 2000-02-25 Tokyo Electron Ltd 基板処理方法
US6292250B1 (en) 1998-08-10 2001-09-18 Tokyo Electron Limited Substrate process apparatus
KR100537040B1 (ko) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 현상장치
JP3453069B2 (ja) 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6203969B1 (en) 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6689215B2 (en) 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (ja) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd 多関節型ロボット
JP3458063B2 (ja) 1998-11-20 2003-10-20 東京エレクトロン株式会社 塗布装置及び塗布方法
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
JP3800282B2 (ja) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 塗布液塗布方法
AU2041000A (en) 1998-12-02 2000-06-19 Kensington Laboratories, Inc. Specimen holding robotic arm end effector
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (ja) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 ラインプリンタ装置
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (ja) 1999-02-01 2003-10-14 東京エレクトロン株式会社 塗布、現像装置及び塗布現像処理における基板再生システム
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
US6654668B1 (en) 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
US6169274B1 (en) 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP3393082B2 (ja) 1999-04-02 2003-04-07 東京エレクトロン株式会社 現像方法および現像装置
KR100585448B1 (ko) 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 막 형성방법 및 막 형성장치
TW457550B (en) 1999-04-19 2001-10-01 Tokyo Electron Ltd Method for forming coating film and applicator
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP3587723B2 (ja) 1999-04-30 2004-11-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (ja) 1999-05-31 2005-02-02 東京エレクトロン株式会社 液処理装置、それに用いる処理液供給ノズル、および液処理方法
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6464789B1 (en) 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
US6475279B1 (en) 1999-07-19 2002-11-05 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
KR100597287B1 (ko) 1999-07-28 2006-07-04 동경 엘렉트론 주식회사 기판처리장치 및 그 방법
KR100629746B1 (ko) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 현상장치 및 그 방법
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (ja) 1999-08-19 2001-03-06 Tokyo Electron Ltd レジストパタ−ンの形成方法
KR100700764B1 (ko) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (ja) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd 熱処理装置および基板処理装置
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
TW487950B (en) 1999-10-25 2002-05-21 Tokyo Electron Ltd Substrate processing system and substrate processing method
US6364547B1 (en) 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
TW471015B (en) 1999-10-26 2002-01-01 Tokyo Electron Ltd Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (ko) 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR100583134B1 (ko) 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
US6461438B1 (en) 1999-11-18 2002-10-08 Tokyo Electron Limited Heat treatment unit, cooling unit and cooling treatment method
JP4090648B2 (ja) 1999-11-18 2008-05-28 東京エレクトロン株式会社 膜形成方法及び膜形成装置
US6709523B1 (en) 1999-11-18 2004-03-23 Tokyo Electron Limited Silylation treatment unit and method
JP3306398B2 (ja) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 基板搬送装置および搬送教示システム
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
US6605153B2 (en) 1999-12-17 2003-08-12 Tokyo Electron Limited Coating film forming apparatus
US6676757B2 (en) 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
KR100773165B1 (ko) 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (ja) 2000-02-07 2003-08-18 タツモ株式会社 基板搬送装置
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001230191A (ja) 2000-02-18 2001-08-24 Tokyo Electron Ltd 処理液供給方法及び処理液供給装置
JP3842512B2 (ja) 2000-02-24 2006-11-08 オムロン株式会社 流体加熱装置
JP4054159B2 (ja) 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP2001257144A (ja) 2000-03-09 2001-09-21 Tokyo Electron Ltd 基板の加熱処理装置
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (ja) 2000-03-27 2005-10-12 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
WO2001075944A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Dry silylation plasma etch process
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP2001291655A (ja) 2000-04-07 2001-10-19 Tokyo Electron Ltd 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム
JP3792986B2 (ja) 2000-04-11 2006-07-05 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3519669B2 (ja) 2000-04-25 2004-04-19 東京エレクトロン株式会社 現像処理方法及び現像処理装置
KR100660513B1 (ko) 2000-04-27 2006-12-22 신에쓰 가가꾸 고교 가부시끼가이샤 고분자 화합물, 화학 증폭 레지스트 재료 및 패턴 형성 방법
JP3545676B2 (ja) 2000-05-10 2004-07-21 東京エレクトロン株式会社 現像処理装置及び現像処理方法
JP3648129B2 (ja) 2000-05-10 2005-05-18 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (ja) 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP2001351848A (ja) 2000-06-07 2001-12-21 Tokyo Electron Ltd 基板処理システム及び基板処理方法
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (ja) 2000-06-16 2001-12-26 Tokyo Electron Ltd 基板処理装置
JP3585217B2 (ja) 2000-07-03 2004-11-04 東京エレクトロン株式会社 基板処理装置
JP3581303B2 (ja) 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
JP2002134402A (ja) 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP4004248B2 (ja) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 基板処理装置および基板検査方法
JP5259907B2 (ja) 2000-09-01 2013-08-07 クロッシング オートメーション インコーポレイテッド 加工ツール、加工物を位置合わせする方法、及び加工物を次々に加工する方法
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (ja) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
JP3587776B2 (ja) 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6616762B2 (en) 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
JP3616748B2 (ja) 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
JP3741604B2 (ja) 2000-11-27 2006-02-01 東京エレクトロン株式会社 熱処理装置および熱処理方法
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (ja) 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
JP2002184671A (ja) 2000-12-14 2002-06-28 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP3702175B2 (ja) 2000-12-19 2005-10-05 東京エレクトロン株式会社 熱処理装置及びその方法、並びにパターン形成方法
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
JP4124400B2 (ja) 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US6659661B2 (en) 2001-02-22 2003-12-09 Tokyo Electron Limited Substrate processing apparatus
US6692165B2 (en) 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
JP3713447B2 (ja) 2001-04-05 2005-11-09 東京エレクトロン株式会社 現像処理装置
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
JP3967618B2 (ja) 2001-04-17 2007-08-29 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
JP4435443B2 (ja) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 基板搬送装置および基板搬送方法
JP4025030B2 (ja) 2001-04-17 2007-12-19 東京エレクトロン株式会社 基板の処理装置及び搬送アーム
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
TW538472B (en) 2001-04-27 2003-06-21 Kobe Steel Ltd Method and system for processing substrate
JP4006191B2 (ja) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 光ファイバーの連結装置
JP3934362B2 (ja) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 素子支持装置
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (ko) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 도포막 형성방법 및 도포막 형성장치
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6683006B2 (en) 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
WO2003006215A2 (en) 2001-07-13 2003-01-23 Fsi International Robotic system control
US20030010449A1 (en) 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP3725051B2 (ja) 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 基板処理装置
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (ja) 2001-09-14 2006-11-15 東京エレクトロン株式会社 塗布膜形成装置
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (ja) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 基板処理装置のスケジュール作成方法及びそのプログラム
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (ja) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (ja) 2001-10-19 2005-11-24 東京エレクトロン株式会社 現像装置及び現像方法
JP4018958B2 (ja) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
JP3751246B2 (ja) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 薄膜形成装置および搬送方法
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP3910054B2 (ja) 2001-12-10 2007-04-25 東京エレクトロン株式会社 基板処理装置
JP2003257849A (ja) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd 基板の現像処理装置
US6678581B2 (en) 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
JP2003215002A (ja) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP3992601B2 (ja) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 薬液処理装置
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP4195227B2 (ja) 2002-02-22 2008-12-10 東京エレクトロン株式会社 被処理体の導入ポート構造
JP3811082B2 (ja) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 基板処理装置及び基板処理方法
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3688264B2 (ja) 2002-03-20 2005-08-24 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP3939178B2 (ja) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4274736B2 (ja) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 基板処理装置
JP4342147B2 (ja) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 基板処理装置
JP2003347186A (ja) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd 基板処理装置
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
JP4233285B2 (ja) 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 基板処理装置
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (ja) 2003-03-14 2008-02-27 株式会社豊電子工業 作業ロボット用ハンド装置
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
JP4356936B2 (ja) 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法

Also Published As

Publication number Publication date
US20070144439A1 (en) 2007-06-28
WO2008031031A3 (en) 2009-03-05
WO2008031031A2 (en) 2008-03-13
US7819079B2 (en) 2010-10-26

Similar Documents

Publication Publication Date Title
TW200822183A (en) Cartesian cluster tool configuration for lithography type processes
JP3202929B2 (ja) 処理システム
US8206076B2 (en) Substrate processing system
JP4414909B2 (ja) 塗布、現像装置
US8702370B2 (en) Substrate transfer method for performing processes including photolithography sequence
JP2007288029A (ja) 基板搬送処理装置
JP4233908B2 (ja) 基板処理システム
JP2008258208A (ja) 塗布、現像装置及びその方法並びに記憶媒体
JP4541966B2 (ja) 塗布処理方法及び塗布処理装置並びにコンピュータプログラム
JP4279102B2 (ja) 基板処理装置及び基板処理方法
JP4687682B2 (ja) 塗布、現像装置及びその方法並びに記憶媒体
JP2004342654A (ja) 基板処理装置
KR101087463B1 (ko) 기판 처리 시스템, 기판 반송 방법, 및 컴퓨터 판독 가능한 기억 매체
WO2005101485A1 (ja) 基板処理装置及び基板処理方法
US7599042B2 (en) Coating and developing apparatus, substrate processing method and computer-readable recording medium
JP3936900B2 (ja) 基板の処理システム
EP1737022B1 (en) Substrate processing system and substrate processing method
JP2004014966A (ja) 基板処理装置
JP2001168004A (ja) 基板処理装置
JP4606159B2 (ja) 基板処理装置、基板処理方法、コンピュータプログラム及び記憶媒体
JP2004087878A (ja) 基板処理装置の制御方法