WO2005101485A1 - 基板処理装置及び基板処理方法 - Google Patents

基板処理装置及び基板処理方法 Download PDF

Info

Publication number
WO2005101485A1
WO2005101485A1 PCT/JP2005/001484 JP2005001484W WO2005101485A1 WO 2005101485 A1 WO2005101485 A1 WO 2005101485A1 JP 2005001484 W JP2005001484 W JP 2005001484W WO 2005101485 A1 WO2005101485 A1 WO 2005101485A1
Authority
WO
WIPO (PCT)
Prior art keywords
transfer
substrate
module
cycle
modules
Prior art date
Application number
PCT/JP2005/001484
Other languages
English (en)
French (fr)
Inventor
Makio Higashi
Akira Miyata
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2005101485A1 publication Critical patent/WO2005101485A1/ja
Priority to US11/525,854 priority Critical patent/US7383093B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31002Computer controlled agv conveys workpieces between buffer and cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Definitions

  • the present invention relates to a substrate processing apparatus and a substrate processing method for applying a resist to a semiconductor wafer or a glass substrate (LCD substrate) for a liquid crystal display and performing a development process after exposure.
  • Japanese Patent Application Laid-Open No. 2002-217264 discloses a system in which an exposure apparatus is combined with a coating and developing apparatus for performing a photolithography process on a semiconductor device.
  • Figure 14 shows an overview of the conventional system.
  • the coating and developing apparatus 1 includes a carrier mounting section 1A, a processing block 1B, and an interface section 1C.
  • the exposure device 1D is connected to the coating and developing device 1 via an interface 1C.
  • a transfer arm 11 for transferring the wafer W to the processing block 1B is provided in the carrier mounting section 1A.
  • Main transfer arms 12-1 and 12-2 are provided inside the processing block 1B.
  • the main transfer arms 12-1 and 12-2 are provided with, for example, three arm holders that can move forward and backward, move up and down, and can rotate in the horizontal direction.
  • a heating unit, a shelf unit 13 and a liquid processing unit 14 are arranged around each of the main transfer arms 12-1 and 12-2.
  • the liquid processing unit 14 includes an antireflection film coating unit, a resist coating unit, and a developing unit.
  • the shelf unit 13 is formed by stacking cooling units, which are high-precision temperature control units, in multiple stages.
  • the shelf unit 13 includes, between the transfer arm 11 and the main transfer arm 12-1, between the main transfer arms 12-1 and 12-2, between the main transfer arm 12-2 and the interface section 1C.
  • a transfer unit for transferring a substrate to and from a transfer arm (not shown) is provided.
  • a path from the wafer C is unloaded to the exposure apparatus 1D until it is carried out by the carrier C is referred to as "outbound path", and the reverse path is referred to as "return path”.
  • return path Various processes for forming a resist film are performed on the wafer W on the outward pass, and a process for developing the exposed resist film on the return pass is performed.
  • the transfer route of the wafer W for performing the photolithography process Carrier C ⁇ Anti-reflective coating unit (liquid processing unit 14) ⁇ Cooling unit (shelf cutout 14) ⁇ Resist coating unit (liquid processing unit 14) ⁇ Heating unit ⁇ Cooling unit ⁇ Interface block 1C ⁇ Exposure unit 1D ⁇ interface block 1C ⁇ heating unit ⁇ cooling unit ⁇ developing unit (liquid processing unit 14) ⁇ cooling unit ⁇ carrier C.
  • the computer In order to carry out such a transfer of the wafer W, when a transfer recipe defining the order in which the wafer W is transferred is input, the computer creates a transfer schedule based on the transfer recipe. .
  • each unit or stage on which the wafer W is placed is referred to as a “module”.
  • the “transfer recipe” refers to an assignment table in which the transfer order of the wafer w is assigned to each module.
  • the “transport schedule” is, for example, a time table in which transport cycles (phases) are arranged in time series as shown in FIG. ⁇ Phase '' defines which wafer W is located in which module in order to transfer wafer W between modules along the transport path including the outward path and the return path from upstream to downstream.
  • the computer refers to this phase and is described in the phase!
  • the transfer arm 11, the main transfer arm 12-1, the 1-22, and the interface so that the positional relationship between the wafer W and the module is established.
  • a transfer arm (not shown) in block 1C is driven, one phase is executed, and then the next phase is executed.Thus, the phases are sequentially executed, so that the wafer W sequentially moves along the above-described transfer path. It will be.
  • One phase includes transfer by the first main transfer arm 12-1 and transfer by the second main transfer arm 12-2.
  • the main transfer arms 12-1 and 12-2 return to their original initial positions when the transfer of the wafer W to the modules within their area of responsibility in one phase is completed, and the next phase is started. Wait until.
  • the throughput of the exposure apparatus 1D has tended to improve, and accordingly, the coating and developing apparatus has been increasing.
  • the coating and developing apparatus has been increasing.
  • the throughput of the conventional coating and developing apparatus 1 is not sufficiently high, the improvement of the throughput of the entire system is hindered by the coating and developing apparatus 1.
  • An object of the present invention is to provide a substrate processing apparatus and a substrate processing method that can improve throughput.
  • the transfer order is specified! A group of modules for processing a plurality of substrates in parallel, and a plurality of substrate transport mechanisms for transporting the substrates to each module of the module group, respectively.
  • One transfer cycle is executed by sharing the operation of transferring the board to the next module, and after executing the one transfer cycle, the process proceeds to the next transfer cycle, and the next transfer cycle is performed. Executing the module, the module order is small in the module group, the module force is large in the order, and the substrate is sequentially conveyed toward the module.
  • An order is assigned to each of a plurality of substrates according to a predetermined transfer recipe, a transfer cycle is specified by associating the assigned order of the substrates with each of the modules, and the data of the specified transfer cycle is arranged in chronological order to set a transfer schedule.
  • the board transfer mechanism is written in the data of the transfer cycle, and controls the substrate transfer mechanism to transfer the substrate to a module corresponding to the board.
  • the transfer control unit (45) for executing the transfer schedule and the transfer schedule stored in the transfer schedule storage unit, and one of the plurality of substrate transfer mechanisms takes charge of itself in one transfer cycle. After the transfer is completed, while the one transfer cycle is being executed by another substrate transfer mechanism, the first substrate transfer mechanism is moved, and the first module for transferring the substrate in the next transfer cycle is moved to the first module.
  • the transfer control unit may be configured to, in the one transfer cycle, cause the one substrate transfer mechanism to sequentially transfer the substrates to a plurality of modules in the area covered by the one substrate transfer mechanism. Then, the substrate is sequentially transported to a plurality of modules in the coverage area, and the standby position control unit determines, during the one transport cycle, that the board to be transported first within the coverage area of the one substrate transport mechanism is to be transferred first.
  • the one substrate transfer mechanism is moved to a module, the one substrate transfer mechanism is made to wait until the next transfer cycle starts, and the transfer control unit performs the first substrate transfer in the next transfer cycle.
  • the mechanism sequentially transports the substrate again to a plurality of modules in its area of responsibility.
  • another substrate transport mechanism is provided between a carrier accommodating a plurality of substrates and a delivery unit, and the one substrate transport mechanism is a module of the module group. It is provided between each module and the delivery unit.
  • one substrate transfer mechanism is provided between the modules of the module group, and the other substrate transfer mechanism is also provided between the modules of the module group.
  • the substrate transport mechanism may also take out the first substrate with one module force of the module group and receive the second substrate with the next module force of the module group. To the first substrate.
  • the substrate transfer mechanism transfers the substrate force of the lot previously loaded into the modules in the transfer coverage area.
  • the module group includes a module for applying a resist to a substrate, a module for using a developer for the substrate, a module for heating the substrate, and a module for cooling the substrate.
  • a development process is performed on the substrate.
  • the substrate processing method of the present invention is characterized in that, when a plurality of substrates for which a transfer order is specified are transported to each module of a module group by a plurality of substrate transport mechanisms, the substrate transport method is used. Each mechanism performs one transfer cycle by sharing the operation of transferring a board from the previous module to one module in the next order, and after executing the one transfer cycle, moves to the next transfer cycle Then, the next transfer cycle is executed, whereby a substrate processing method in which a substrate is sequentially transferred from a module having a smaller order to a module having a larger order in the module group is described.
  • the one transfer cycle is executed by another substrate transfer mechanism.
  • one board transfer mechanism is positioned in front of the first module related to the transfer in charge of its own in the next transfer cycle with reference to the transfer schedule, and is on standby. Therefore, each transfer cycle can be executed quickly, and a high throughput can be obtained.
  • FIG. 1 is a schematic plan view showing a substrate processing apparatus (including a coating and developing apparatus) according to the present invention.
  • FIG. 2 is a schematic perspective view showing a coating and developing apparatus.
  • FIG. 3 is an exploded perspective view showing a main transport mechanism used in the coating and developing apparatus.
  • FIG. 4 is a side view showing a structure of a shelf unit in the coating and developing apparatus.
  • FIG. 5A is a longitudinal sectional view showing a heating unit (PEB) of the shelf units.
  • FIG. 5B is a plan view of the heating unit (PEB) in FIG. 5A.
  • FIG. 6 is a plan view showing a wafer transfer path in a coating and developing apparatus.
  • FIG. 7 is a control block diagram of a coating and developing apparatus.
  • FIG. 8 is a diagram showing an example of a transfer schedule.
  • FIG. 9 is a flowchart showing the operation of the main transport mechanism.
  • FIG. 10 is a schematic plan view showing a positional relationship between each transfer mechanism and a wafer in a state in the middle of a transfer cycle.
  • FIG. 11 is a schematic plan view showing a positional relationship between each transfer mechanism and a wafer in a state near the end of a transfer cycle.
  • FIG. 12 is a schematic plan view showing the positional relationship between each transfer mechanism and a wafer in a state in the middle of another transfer cycle.
  • FIG. 13 is a schematic plan view showing a positional relationship between each transfer mechanism and a wafer in a state near the end of another transfer cycle.
  • FIG. 14 is a schematic plan view showing a conventional coating and developing apparatus.
  • reference numeral B1 denotes a carrier mounting portion for carrying in and out a carrier C in which, for example, 13 semiconductor wafers W are hermetically stored.
  • the carrier mounting section B1 includes a mounting table 21 on which a plurality of carriers C can be mounted, an opening / closing section 22 provided on a front wall of the mounting table 21, and a transfer arm 23 (substrate transfer mechanism). ing.
  • the transfer arm 23 takes out the wafer W from the carrier C via the opening / closing section 22!
  • a processing block B2 which is surrounded by a housing 24, is connected to the rear side of the carrier mounting portion B1, and the heating / cooling system is sequentially connected to the processing block B2 from the near side to the back.
  • a main unit that can move up and down, move up and down, and rotate around a vertical axis to transfer wafers W between units including three shelf units Ul, U2, and U3, each of which includes multiple units.
  • the transport mechanisms 25-1, 25-2 are arranged alternately. That is, the shelf units Ul, U2, U3 and the main transport mechanism 25-1, 25-2 are the carrier mounting sections.
  • the wafer W is arranged in a line in front and back as viewed from the Bl side, and an opening for carrying a wafer (not shown) is formed at each connection portion. From the other end to the shelf unit U3 on the other end!
  • the main transport mechanisms 25-1 and 25-2 include a shelf unit Ul, U2, and U3 side disposed in the front-rear direction when viewed from the carrier receiver B1, and a liquid processing unit U4 and U5 on the right side. It is placed in a space surrounded by a partition wall 26 composed of one side portion on the side and a back portion forming one side on the left side.
  • FIG. 3 is an exploded view showing a part of the main transport mechanisms 25-1 and 25-2.
  • Reference numeral 101 denotes a column, and a column is actually provided on the right side in FIG. 3, and an elevating bar 102 is provided between the columns 101.
  • a base 103 is fixed to the elevating bar 102, and an arm mechanism 104 is attached to the base 103 so as to be rotatable (vertically rotatable) around a vertical axis.
  • the arm mechanism 104 has three independently movable arms 105-107, so that the main transport mechanism 25-1 (25-2) has a shelf unit Ul, U2 and a liquid processing unit U4 (shelf unit U2, The wafer W can be transferred between the units U3 and the liquid processing unit U5).
  • reference numerals 27 and 28 denote temperature and humidity control units provided with a temperature control device for the processing solution used in each unit and a duct for temperature and humidity control.
  • the liquid processing units U4 and U5 are provided with an anti-reflection film chemical solution, a resist solution, and a developing solution, and a storage portion 29 that forms a space for supplying a chemical solution.
  • BARC coating unit
  • COT resist coating unit
  • DEV developing unit
  • the coating unit of the anti-reflection film will be called the anti-reflection coating unit
  • the coating unit of the resist will be called the coating unit.
  • cooling unit (CPL1) adjusts the temperature of the wafer W processed by the anti-reflection film unit (BARC) to a predetermined temperature before applying the resist liquid.
  • BARC anti-reflection film unit
  • POST post-baking unit
  • CPL4 cooling unit Unit
  • the cooling unit (CPL1) adjusts the temperature of the wafer W processed by the anti-reflection film unit (BARC) to a predetermined temperature before applying the resist liquid.
  • BARC anti-reflection film unit
  • PAB heats the wafer after applying the resist solution.
  • the post-eta exposure baking unit (PEB) heats the exposed wafer W.
  • the cooling unit (CPL3) adjusts the temperature of the wafer W heated by the unit (PEB) to a predetermined temperature before the development processing.
  • the post baking unit (POST) heats the developed wafer W.
  • the cooling unit (CPL4) cools the wafer W heated by the unit (POST).
  • FIG. 4 shows an example of the layout of these units, and the heating unit (PEB)
  • the shelf cutouts U1 to U3 include, for example, transfer units (TRS1 to TRS3 and TRS5) having transfer stages for transferring the wafer W as shown in FIG.
  • the heating units (PAB) and (POST) are also provided with a heating plate for displacement, so that both powers of the main transfer mechanisms 25-1, 25-2 can be accessed! Puru.
  • each unit on which the wafer W is mounted has an opening for loading and unloading the wafer W, a transfer arm 23 for mounting the wafer W in the unit, and a main transfer mechanism 25 — Equipped with lifting pins for transferring wafers W to and from transfer mechanisms such as 1, 25-2.
  • Reference numeral 201 denotes a housing
  • 202 denotes a stage
  • 203 denotes a cooling window plate
  • 204 denotes a calorie heat plate
  • the cooling plate 203 is provided above the stage 202 so as to be movable in the horizontal direction, and has a slit 200 formed so as not to interfere with the elevating pins 209 and 210.
  • the shirts 207 and 208 are provided so as to open and close the entrances 205 and 206, respectively.
  • the elevating pins 209 and 210 are provided so as to be able to ascend and descend in sets of three.
  • the main transport mechanism 25-2 can be accessed through a loading / unloading port 205, and a transfer arm 31 described later in the interface unit # 3 can be accessed through the loading / unloading port 206 into the housing 201. That is, in this heating unit ( ⁇ ), when the transfer arm 31 enters through the loading / unloading port 206, the wafer W on the transfer arm 31 is cooled through the lifting pins 209. Delivered to plate 203. Then, the wafer W is transferred between the cooling plate 203 and the heating plate 204 by moving the cooling plate 203 and elevating the elevating pins 210. The heated wafer W is unloaded by the main transfer mechanism 25-2 via the loading / unloading port 205.
  • an exposure apparatus B 4 is connected to the inner side of the shelf unit U 3 in the processing block B 2 via an interface section B 3.
  • the interface section B3 is provided with a main transfer arm 31A and an auxiliary transfer arm 31B.
  • transfer arms 31A and 31B are collectively referred to as a transfer arm 31 for convenience of description, the transfer arm 31 is configured to be able to move up and down, rotate around a vertical axis, and move forward and backward. Te ru.
  • the interface section B3 includes a peripheral edge exposure device (WEE) for selectively exposing only the edge portion of the wafer W, a transfer unit (TRS4), and a high-precision temperature control unit having a cooling plate. (CPL2). These are provided in the shelf units U6 and U7, respectively, in the force diagram described in the drawings for describing the operation described later. In practice, a buffer cassette for temporarily accommodating a plurality of, for example, 25 wafers W is provided, but the description is omitted to avoid complicating the description.
  • WEE peripheral edge exposure device
  • TRS4 transfer unit
  • CPL2 high-precision temperature control unit having a cooling plate.
  • the transfer arm 23 transports the unprocessed wafer and W in the carrier C placed on the carrier receiver B1 to the transfer unit (TRS1), completes the development, and after the process is placed on the transfer unit (TRS6). And transports the wafer W to the carrier C.
  • the main transfer mechanism 25-1 transfers the wafer W on the transfer unit (TRS1) to the antireflection film unit (BARC), the cooling unit (CPL1), the coating unit (COT), and the transfer cut (TRS1). TRS2), and after the development process, the wafer W placed on the transfer unit (TRS5) is transferred in the order of the heating unit (POST), cooling unit (CPL4), and transfer unit (TRS6).
  • the other main transfer mechanism 25-2 transfers the wafer W placed on the transfer unit (TRS2) after the resist coating process to the heating unit (P AB) and the transfer unit (TRS3), and further performs exposure.
  • interface section B3 It has a role to transport the wafer W carried out and placed in the heating unit (PEB) in the order of the cooling unit (CPL3), the developing unit (DEV), and the delivery unit (TRS5).
  • the transfer arm 31 uses the wafer W before exposure mounted on the transfer unit (TRS3) for the peripheral exposure device (WEE), the high-precision temperature control unit (CPL2), and the exposure device.
  • WEE peripheral exposure device
  • CPL2 high-precision temperature control unit
  • PEB heating unit
  • these transfer steps are performed by the transfer arms 31A and 3IB.
  • the resist pattern forming apparatus performs drive control of the transfer arm 23, the main transport mechanisms 25-1, 25-2, and the transfer arms 31A and 31B, and controls other processing units.
  • the control unit 4 is provided.
  • FIG. 7 shows the configuration of the control unit 4.
  • the power consisting of a CPU (Central Processing Unit), programs and memories, etc. will be described here with some of the components being described as blocks. I do.
  • CPU Central Processing Unit
  • reference numeral 40 denotes a system bus.
  • a transfer recipe creation unit 41, a transfer recipe storage unit 42, a transfer schedule creation unit 43, a transfer schedule storage unit 44, a transfer control unit 45, and a standby position control unit 46 are connected to the system bus 40.
  • a transfer arm 23, main transport mechanisms 25-1, 25-2, and a transfer arm 31 are connected to the control section 4 via controllers 51-54, respectively.
  • the transfer recipe creation unit 41 creates a transfer recipe that associates the module with the transfer order of the wafer W when the operator specifies the transfer order of the wafer W for each module, and transfers the transfer recipe. It has a function of storing in the recipe storage unit 42.
  • the module is a portion where the wafer W is placed, and indicates the transfer unit TRS1 and the antireflection film unit BARC which is a processing unit for performing a predetermined process. Looking at the order of flow in the coating and developing units, focusing on one wafer and W, the cassette C starts, the transfer stage TRS 1 and the anti-reflection coating unit BARC inter-module. And finally returned to the original cassette C, for example.
  • the transfer schedule creation unit 43 has a function of creating a transfer schedule with reference to the transfer recipe and storing the transfer schedule in the transfer schedule storage unit 44.
  • the transfer schedule is created by assembling the transfer cycle data specifying the transfer cycle in chronological order by associating the order of wafer W with each module, and is represented, for example, as shown in Fig. 8. .
  • A1, A2 ??, Bl, B2 ?? represent wafers W, A1 is the first gen of lot A, A2 is the second wafer of lot A, and B1 is the second wafer of lot B. Indicate the wafer and the number of the wafer in which lot!
  • the transport system of the coating and developing apparatus is configured to perform tasks for each transport cycle (also referred to as a phase).
  • the transfer cycle defines the transfer operation of moving wafers one by one from the upstream side of the module group to the downstream module one by one and reaching the downstream end module. This specifies the number of the wafer to be placed.
  • the downstream module is the module where the first Ueno and W are located.
  • executing the transfer cycle 2 in FIG. 8 means executing a transfer operation for positioning the wafers Al and A2 in the transfer cut TRS1 and the antireflection film unit BARC, respectively.
  • a transfer schedule is one in which such transfer cycles are arranged in chronological order.
  • the first module is shown as the transfer unit TRS1 for convenience.
  • the transfer control unit 45 controls the transfer system, in this example, the transfer arm 23, the main transfer mechanisms 25-1, 25-2, and the transfer arm 31, while referring to the transfer schedule.
  • the standby position control unit 46 determines that each of the transfer arm 23, the main transfer mechanisms 25-1, 25-2, and the transfer arm 31 is called a substrate transfer mechanism. After completing the work (transport) in charge during the transfer cycle, the one substrate transfer mechanism refers to the transfer schedule while the one transfer cycle is being performed, and the one substrate transfer mechanism performs the next transfer cycle. It has a function to control it to move before the module that will perform the transport operation first.
  • the module in which one substrate transfer mechanism performs the transfer operation first in the next transfer cycle is, in principle, the area covered by the one substrate transfer mechanism. And the module on the most upstream side of the module in which the wafer W exists. If there are wafers W of different lots within the area of responsibility, the module where the wafers W loaded into the coating / developing apparatus are placed is the target. Become.
  • the lot means, for example, a wafer W for each carrier C.
  • the transfer control unit 45 sequentially executes the transfer cycle in the first order with reference to the transfer schedule shown in FIG. First, referring to the transfer cycle 1, the transfer arm 23 takes out the wafer A1 from the carrier C and transfers it to the transfer unit TRS1. This completes the transfer cycle 1.
  • the wafer A1 is taken out of the transfer unit TRS1 by one arm of the main transfer mechanism 25-1, then moved to the front of the anti-reflection film unit BARC and transferred here. Then, the next ueno and A2 are taken out from the carrier by the delivery arm 23 and delivered to the delivery unit TRS1.
  • the wafer A2 is taken out from the transfer unit TRS1 by one arm of the main transfer mechanism 25-1, then moved to the front of the anti-reflection film unit BARC, and moved by the other arm.
  • the wafer A1 is taken out from this, and the wafer A2 is transferred to the antireflection film unit BARC by the one arm.
  • the main transfer mechanism 25-1 moves in front of the cooling unit CPL1, moves the other arm forward, and carries the wafer A1 into the cooling unit CPL1.
  • each wafer flows between the modules.
  • the force wafer which is also schematically shown in FIG. 6, is transferred to the transfer unit TRS2, the main transfer mechanism 25-2 also participates in the transfer.
  • the main transfer mechanism 25-1 completes transfer from the coating unit COT to the transfer unit TRS2.
  • the transfer from the transfer unit TRS5 to the heating unit POST is also performed.
  • the feature of this embodiment is that in a system in which a plurality of substrate transfer mechanisms are provided as a transfer system and work in a transfer cycle is shared by the respective substrate transfer mechanisms.
  • one main transport mechanism 25-1 operates according to the transport flow shown in FIG. 9, and upon receiving a transport instruction in step S1, performs a transport operation in step S2. If the main transfer mechanism 25-1 transfers wafer A6 from the transfer unit TRS1 to the anti-reflection coating unit BARC, the transfer operation still remains, so "NO" in step S3, returning to step S1, and returning to step S1. Is carried out. As a result, the wafers are sequentially transferred from the upstream to the downstream modules of the module group.
  • step S4 in the next transfer cycle, which is the transfer cycle 8, the wafer is moved to the front of the module relating to the transfer of the last wafer of the earliest lot, ie, the antireflection film unit BARC, and waits.
  • the data of the transfer cycle 7 in which the transfer is completed the data is moved to the module where the last wafer of the first lot is placed and waits.
  • FIG. 11 shows this state.
  • the arm 105-107 faces the transfer port of the module, and if the arm is advanced, the arm is ready to enter the transfer port of the module.
  • the transfer cycle 7 the transfer of the wafer by the other main transfer mechanism 25-2 is performed, and the transfer cycle 7 ends when the wafer A1 is transferred to the transfer unit TRS3.
  • the transfer cycle 8 is started, and one main transfer mechanism 25-1 goes to pick up the wafer A6 in the anti-reflection film unit BARC, while the other main transfer mechanism 25-1. Since the transfer is carried out according to -2, the transfer is performed in front of the antireflection film unit BARC during this time, so that the transfer operation can be performed promptly.
  • the transfer operation of the one main transfer mechanism 25-1 ends with the operation force for taking out the wafer B4 from the cooling unit CPL1 and the transfer operation of the wafer B2 to the transfer unit TRS2 as shown in FIG. Subsequently, the transfer operation is continued by the other main transfer mechanism 25-2. During this time, the one main transfer mechanism 25-1 transfers the last wafer of the earliest lot in the transfer cycle 17, which is the next transfer cycle. Moves in front of the module involved in the transport of and waits. In this case, since the lot A is loaded into the apparatus earlier than the lot B, the earliest lot becomes the lot A, and the last wafer corresponds to the wafer A1 as shown in FIG.
  • the module related to the transfer of the last wafer of the earliest lot in the next transfer cycle is the transfer unit TRS5, and one main transfer mechanism 25-1 receives the transfer as shown in FIG. It will move and wait before the transfer unit TRS5.
  • the transfer cycle 17 starts, the wafer corresponding to the lot A is sequentially transferred from the upstream side to the next succeeding module, and the one main transfer mechanism 25-1 transfers the wafer to the next module.
  • the wafer A1 is taken out from the transfer unit TRS5 as its first transfer operation, and transferred to the heating unit POST.
  • this transfer operation corresponds to the last transfer operation of Lot A.
  • the transfer of the wafers of the lot B is performed in the order of the downstream power.
  • the main transport mechanism 25-1 corresponds to the substrate transport mechanism, and the standby control is performed. Focusing on the transport mechanism 25-2, this main transport mechanism 25-2 is equivalent to the substrate transport mechanism Then, the standby control is performed.
  • each processing unit is described as being one. Actually, as shown in FIGS. 2 and 4, a plurality of processing units of the same type are provided. In that case, the number of transport cycles simply increases by that number, and the explanation of the present invention does not hinder the explanation even if the simplified illustration is performed as shown in FIG.
  • the transport schedule is referred to and the first transport Because each module is set to wait in front of the module, when each transfer cycle is started, the wafer can be transferred simply by extending the arm. Therefore, the transfer cycle can be executed more quickly than in the case where the main transfer mechanisms 25-1, 25-2 are placed at the initial position and moved from there to the module, so that a high throughput can be obtained.
  • the present invention is not limited to a coating and developing apparatus.
  • a unit for coating a substrate with a chemical solution in which a precursor of an insulating film is dissolved may be applied to an insulating film forming apparatus incorporating a unit for performing curing, a unit for performing cooling, and the like.
  • the substrate is not limited to a wafer, but may be a flat panel such as a glass substrate for a liquid crystal display.

Description

明 細 書
基板処理装置及び基板処理方法
技術分野
[0001] 本発明は、半導体ウェハや液晶ディスプレイ用のガラス基板 (LCD基板)に対して レジストの塗布および露光後の現像処理を行うための基板処理装置及び基板処理 方法に関する。
背景技術
[0002] 特開 2002— 217264号公報には、半導体デバイスのフォトリソグラフィプロセスを実 施するための塗布現像装置に露光装置を組み合わせたシステムが知られて 、る。図 14に従来システムの概要を示す。塗布現像装置 1は、キャリア載置部 1A、処理プロ ック 1Bおよびインターフェイス部 1Cを備えている。露光装置 1Dはインターフェイス部 1Cを介して塗布現像装置 1と接続されている。キャリア載置部 1A内にはウェハ Wを 処理ブロック 1 Bに搬送する受け渡しアーム 11が設けられて 、る。処理ブロック 1 Bの 内部にはメイン搬送アーム 12-1, 12-2が設けられている。メイン搬送アーム 12-1, 12— 2は、進退及び昇降自在で、且つ水平方向に回転自在な例えば 3本のアームホ ルダを備えている。各メイン搬送アーム 12—1、 12— 2の周囲には、加熱ユニット、棚 ユニット 13、液処理ユニット 14が配置されている。液処理ユニット 14は、反射防止膜 の塗布ユニット、レジスト塗布ユニット及び現像ユニットを含んでいる。棚ユニット 13は 、高精度温調ユニットである冷却ユニットを多段に積み重ねてなる。
[0003] また、例えば棚ユニット 13には、受け渡しアーム 11とメイン搬送アーム 12— 1との間 、メイン搬送アーム 12— 1と 12— 2との間、メイン搬送アーム 12— 2とインターフェイス部 1C内の図示しない搬送アームとの間で夫々基板の受け渡しを行うための受け渡しュ ニットが設けられている。
[0004] ここで、ウェハ Wがキャリア C力 搬出されて露光装置 1Dに搬送されるまでの経路 を「往路」、逆の経路を「復路」と呼ぶことにする。ウェハ Wは往路においてレジスト膜 を形成するための種々の処理が行われ、復路において露光されたレジスト膜を現像 する処理が行われる。フォトリソグラフィプロセスを行うためのウェハ Wの搬送経路は、 キャリア C→反射防止膜の塗布ユニット (液処理ユニット 14)→冷却ユニット (棚ュ-ッ ト 14)→レジストの塗布ユニット(液処理ユニット 14)→加熱ユニット→冷却ユニット→ インターフェイスブロック 1C→露光装置 1D→インターフェイスブロック 1C→加熱ュ- ット→冷却ユニット→現像ユニット (液処理ユニット 14)→冷却ユニット→キャリア Cとな る。
[0005] このようなウェハ Wの搬送を実施するためには、ウェハ Wが搬送される順序を規定 した搬送レシピを入力すると、コンピュータはこの搬送レシピに基づ ヽて搬送スケジュ ールを作成する。
[0006] ここで、ウェハ Wが置かれる各ユニットやステージを「モジュール」と呼ぶことにする 。「搬送レシピ」とは、ウェハ wの搬送順序が各モジュールに割り当てられた割当て表 をいう。「搬送スケジュール」とは、例えば図 8に示すように搬送サイクル (フェーズ)を 時系列に配列したタイムテーブルをいう。「フェーズ」とは、往路と復路を含む搬送経 路の上流側から下流側に沿ってウェハ Wをモジュールの間で移し替えるために、ど のウェハ Wがどのモジュールに位置するかを規定したものをいう。
[0007] 従ってコンピュータはこのフェーズを参照してフェーズに記載されて!、るウェハ Wと モジュールとの位置関係となるように、受け渡しアーム 11、メイン搬送アーム 12— 1, 1 2—2及びインターフェイスブロック 1C内の図示しない搬送アームを駆動させ、一のフ エーズを実行した後、次のフェーズを実行するようにし、こうしてフェーズを順次実行 することによりウェハ Wが既述の搬送経路を順次移動することとなる。
[0008] このようなシステムはモジュールの数が多ぐ各モジュールにおける処理時間も異な るため、 、かにして高 、スループットを得るかと!/、うことが重要なポイントになってくる。 このため搬送の負荷を分散するために、処理ブロック 1Bにおいて 2つのメイン搬送ァ ーム 12— 1 , 12— 2が受け持ちのモジュールを分担して!/、る。
[0009] ところで、一のフェーズの中に、第 1のメイン搬送アーム 12— 1による搬送と第 2のメ イン搬送アーム 12— 2による搬送とが含まれる。メイン搬送アーム 12— 1, 12— 2は、一 のフェーズの中で自己の受け持ち範囲のモジュールへのウェハ Wの搬送が終了す ると、元のイニシャル位置に戻り、次のフェーズが開始されるまで待機する。
[0010] 近時、露光装置 1Dのスループットが向上する傾向にあり、これに伴い塗布現像装 置 1にお 、ても更にスループットを向上させることが需要家から要求されて 、る。しか し、従来の塗布現像装置 1はスループットが十分に高くないため、塗布現像装置 1〖こ よってシステム全体のスループットの向上が妨げられている。
発明の開示
[0011] 本発明の目的は、スループットを向上させることができる基板処理装置及び基板処 理方法を提供することにある。
[0012] 本発明の基板処理装置は、搬送の順番が指定されて!、る複数の基板を並行処理 するモジュール群と、前記モジュール群の各モジュールに基板をそれぞれ搬送する 複数の基板搬送機構と、を具備し、前記基板搬送機構の各々は、前のモジュールか ら 1つ順番が後のモジュールに基板を移す動作を分担して行うことにより一の搬送サ イタルを実行し、当該一の搬送サイクルを実行した後に次の搬送サイクルへ移行し、 当該次の搬送サイクルを実行し、これにより前記モジュール群のうち順番の小さ 、モ ジュール力も順番の大き 、モジュールに向けて基板が順次搬送されること、を具備す る基板処理装置において、
所定の搬送レシピに従って複数の基板にそれぞれ順番を割り当て、割り当てた基 板の順番と前記各モジュールとを対応づけて搬送サイクルを指定し、その指定した搬 送サイクルのデータを時系列に並べて搬送スケジュールを作成する搬送スケジユー ル作成部 (43)と、
前記搬送スケジュール作成部により作成された搬送スケジュールを記憶する搬送ス ケジュール記憶部 (44)と、
前記搬送スケジュールを参照し、前記搬送サイクルのデータに書き込まれて 、る基 板をその基板に対応するモジュールに搬送するように前記基板搬送機構を制御し、 これにより前記基板搬送機構に前記搬送サイクルを実行させる搬送制御部 (45)と、 前記搬送スケジュール記憶部に記憶された搬送スケジュールを参照し、前記複数 の基板搬送機構のうちの 1の基板搬送機構が一の搬送サイクルにおいて自己の受け 持つ搬送が終了した後、他の基板搬送機構により当該一の搬送サイクルが実行され ている間に、前記 1の基板搬送機構を移動させ、次の搬送サイクルで最初に基板を 搬送する先頭モジュールのところに前記 1の基板搬送機構を待機させる待機位置制 御部 (46)と、
を具備することを特徴とする。
[0013] 本発明において、前記搬送制御部は、前記一の搬送サイクルにおいて、前記 1の 基板搬送機構に、その受け持ち範囲の複数のモジュールに基板を順次搬送させた 後に、前記他の基板搬送機構に、その受け持ち範囲の複数のモジュールに基板を 順次搬送させ、前記待機位置制御部は、前記一の搬送サイクル中に、前記 1の基板 搬送機構の受け持ち範囲内で最初に基板を搬送すべき先頭モジュールのところに 前記 1の基板搬送機構を移動させ、次の搬送サイクルが開始するまで前記 1の基板 搬送機構を待機させ、前記搬送制御部は、前記次の搬送サイクルにおいて、前記 1 の基板搬送機構に、その受け持ち範囲の複数のモジュールに再び基板を順次搬送 させる。
[0014] また、本発明にお 、て、他の基板搬送機構は、複数の基板を収納したキャリアと受 け渡しユニットとの間に設けられ、前記 1の基板搬送機構は、前記モジュール群の各 モジュールと前記受け渡しユニットとの間に設けられている。
[0015] また、本発明において、 1の基板搬送機構はモジュール群のモジュール相互間に 設けられ、また、前記他の基板搬送機構も前記モジュール群のモジュール相互間に 設けられている。
[0016] また、基板搬送機構は、モジュール群のうちの 1のモジュール力も第 1の基板を取り 出し、前記モジュール群のうちの次のモジュール力も第 2の基板を受け取った後に、 前記次のモジュールに前記第 1の基板を受け渡す。
[0017] また、基板搬送機構は、搬送の受け持ち範囲のモジュールに互いに異なるロットの 複数の基板が含まれているときには、搬送の受け持ち範囲のモジュールに先に搬入 されたロットの基板力 搬送する。
[0018] さらに、モジュール群は、レジストを基板に塗布するモジュール、現像液を基板に用 いるモジュール、基板を加熱するモジュール、および基板を冷却するモジュールを含 み、レジストの塗布処理および露光後の現像処理が基板に対して行われる。
[0019] 本発明の基板処理方法は、搬送の順番が指定されている複数の基板を、複数の基 板搬送機構によってモジュール群の各モジュールに搬送する際に、前記基板搬送 機構の各々が前のモジュールから 1つ順番が後のモジュールに基板を移す動作を 分担して行うことにより一の搬送サイクルを実行し、当該一の搬送サイクルを実行した 後に次の搬送サイクルへ移行し、当該次の搬送サイクルを実行し、これにより前記モ ジュール群のうち順番の小さいモジュールから順番の大きいモジュールに向けて基 板が順次搬送される基板処理方法にぉ 、て、
(a) 1の基板搬送機構により、その受け持ち範囲のモジュール相互間で基板を順番 に搬送する工程と、
(b)前記 1の基板搬送機構カゝら前記モジュールを介して他の基板搬送機構に基板 を受け渡し、当該他の基板搬送機構により、その受け持ち範囲のモジュール相互間 で基板を順番に搬送する工程と、
(c)搬送スケジュールを参照し、前記複数の基板搬送機構のうちの 1の基板搬送機 構が一の搬送サイクルにおいて自己の受け持つ搬送が終了した後、他の基板搬送 機構により当該一の搬送サイクルが実行されている間に、前記 1の基板搬送機構を 移動させ、次の搬送サイクルで最初に基板を搬送する先頭モジュールのところに前 記 1の基板搬送機構を待機させる工程と、を有することを特徴とする。
[0020] 本発明は、例えばレジストの塗布、現像処理を行うにあたって一の基板搬送機構が 一の搬送サイクルにおいて自己の受け持つ搬送が終了した後、他の基板搬送機構 により当該一の搬送サイクルが実行されている間に、搬送スケジュールを参照して、 次の搬送サイクルにおいて自己の受け持つ搬送に係わる先頭のモジュールの前に 一の基板搬送機構を位置させて待機させている。このため、各搬送サイクルを速や かに実行することができ、高いスループットが得られる。 図面の簡単な説明
[0021] [図 1]図 1は、本発明に係る基板処理装置 (塗布現像装置を含む)を示す概略平面図 [図 2]図 2は、塗布現像装置を示す概略斜視図。
[図 3]図 3は、塗布現像装置に用いられるメイン搬送機構を示す分解斜視図。
[図 4]図 4は、塗布現像装置における棚ユニットの構造を示す側面図。
[図 5A]図 5Aは、棚ユニットのうちの加熱ユニット(PEB)を示す縦断面図。 [図 5B]図 5Bは、図 5Aの加熱ユニット(PEB)の平面図。
[図 6]図 6は、塗布現像装置内におけるウェハの搬送経路を示す平面図。
[図 7]図 7は、塗布現像装置の制御ブロック図。
[図 8]図 8は、搬送スケジュールの一例を示す図。
[図 9]図 9は、メイン搬送機構の動作を示すフローチャート。
[図 10]図 10は、搬送サイクルの途中の状態における各搬送機構とウェハとの位置関 係を示す平面模式図。
[図 11]図 11は、搬送サイクルの最後近くの状態における各搬送機構とウェハとの位 置関係を示す平面模式図。
[図 12]図 12は、別の搬送サイクルの途中の状態における各搬送機構とウェハとの位 置関係を示す平面模式図。
[図 13]図 13は、別の搬送サイクルの最後近くの状態における各搬送機構とウェハと の位置関係を示す平面模式図。
[図 14]図 14は、従来の塗布現像装置を示す概略平面図。
発明を実施するための最良の形態
[0022] 以下、添付の図面を参照して本発明に係る基板処理装置を塗布現像装置に適用 した実施の形態について説明する。
[0023] 図 1にて、符号 B1は半導体ウェハ Wが例えば 13枚密閉収納されたキャリア Cを搬 入出するためのキャリア載置部を示す。キャリア載置部 B1は、キャリア Cを複数個載 置可能な載置台 21と、この載置台 21の前方壁面に設けられた開閉部 22と、受け渡 しアーム 23 (基板搬送機構)とを備えている。受け渡しアーム 23は、開閉部 22を介し てキャリア Cからウェハ Wを取り出すようになって!/、る。
[0024] キャリア載置部 B1の奥側には筐体 24にて周囲を囲まれる処理ブロック B2が接続さ れており、この処理ブロック B2には手前側から奥に向かって順に加熱 ·冷却系のュ ニットを多段化した 3個の棚ユニット Ul, U2, U3と、後述するその他の各種ユニット を含む各ユニット間のウェハ Wの受け渡しを行う進退及び昇降自在且つ鉛直軸回り に回転自在なメイン搬送機構 25— 1, 25— 2とが交互に配列して設けられている。す なわち、棚ユニット Ul, U2, U3及びメイン搬送機構 25— 1, 25— 2はキャリア載置部 Bl側から見て前後一列に配列されており、各々の接続部位には図示しないウェハ 搬送用の開口部が形成されており、ウェハ Wは処理ブロック B2内を一端側の棚ュ- ット U1から他端側の棚ユニット U3まで自由に移動できるようになって!/、る。
[0025] メイン搬送機構 25— 1, 25— 2は、キャリア載置部 B1から見て前後方向に配置される 棚ユニット Ul, U2, U3側の一面部と、右側の液処理ユニット U4, U5側の一面部と 、左側の一面をなす背面部とで構成される区画壁 26により囲まれる空間内に置かれ ている。図 3はメイン搬送機構 25— 1, 25— 2の一部を分解して示す図である。符号 10 1は支柱であり、実際には図 3における右側にも支柱が設けられており、これら支柱 1 01の間に昇降バー 102が設けられている。
[0026] この昇降バー 102には基体 103が固定され、この基体 103にはアーム機構 104が 鉛直軸回りに回転自在( Θ回転自在)に取り付けられている。アーム機構 104は独立 して進退自在な 3本のアーム 105— 107を備えており、従ってメイン搬送機構 25— 1 ( 25-2)は棚ユニット Ul、 U2及び液処理ユニット U4 (棚ユニット U2、 U3及び液処理 ユニット U5)の各ユニットの間でウェハ Wを搬送することができる。図中 27, 28は各 ユニットで用いられる処理液の温度調節装置や温湿度調節用のダクト等を備えた温 湿度調節ユニットである。
[0027] 液処理ユニット U4, U5は、例えば図 2に示すように反射防止膜用の薬液、レジスト 液及び現像液と 、つた薬液供給用のスペースをなす収納部 29の上に、反射防止膜 の塗布ユニット(BARC)、レジストの塗布ユニット(COT)及び現像ユニット (DEV)を 複数段に積層した構成とされている。なお、用語を簡略ィ匕するために、反射防止膜 の塗布ユニットを反射防止膜ユニット、レジストの塗布ユニットを塗布ユニットと呼ぶこ とにする。
[0028] 棚ユニット Ul, U2, U3は、液処理ユニット U4, U5にて行われる処理の前処理及 び後処理を行うための各種ユニットが上下多段に積み重ねられている。上述の前処 理及び後処理を行うための各種ユニットの中には、冷却ユニット(CPL1)、プリべ一 キングユニット(PAB)、冷却ユニット(CPL3)、ポストべ一キングユニット(POST)、冷 却ユニット (CPL4)が含まれて 、る。冷却ユニット (CPL1)は、反射防止膜ユニット(B ARC)で処理されたウェハ Wをレジスト液の塗布前に所定温度に調整する。プリべ一 キングユニット(PAB)は、レジスト液の塗布後にウェハの加熱処理を行う。ポストエタ スポージャーべ一キングユニット(PEB)は、露光後のウェハ Wを加熱処理する。冷却 ユニット(CPL3)は、ユニット(PEB)で加熱されたウェハ Wを現像処理前に所定温度 に調整する。ポストべ一キングユニット(POST)は、現像処理後のウェハ Wを加熱処 理する。冷却ユニット(CPL4)は、ユニット(POST)で加熱されたウェハ Wを冷却す る。
[0029] 図 4はこれらユニットのレイアウトの一例を示しており、加熱ユニット(PEB)は例えば
5段設けられている。なお、図 4のレイアウトは便宜上のものであり、実際の装置では 各ユニットの処理時間などを考慮してユニットの設置数が決められる。また、棚ュ-ッ ト U1— U3は例えば図 4に示すようにウェハ Wの受け渡しを行うための受け渡しステ ージを有する受け渡しユニット (TRS1— TRS3及び TRS5)を備えて!/、る。また加熱 ユニット (PAB)、 (POST)はこの例では 、ずれも加熱プレートを備え、メイン搬送機 構 25— 1、 25— 2の双方力もアクセスできるように構成されて!ヽる。
[0030] ここでウェハ Wが載置される各ユニットは、ウェハ Wの搬入出を行うための開口部と 、ユニット内にウェハ Wを載置するために受け渡しアーム 23、及びメイン搬送機構 25 —1、 25— 2などの搬送機構との間でウェハ Wの受け渡しをするための昇降ピンを備 えている。
[0031] 次に、図 5A及び図 5Bを用いて加熱ユニット(PEB)の概要を説明する。
[0032] 符号 201は筐体、 202はステージ、 203は冷去口プレート、 204はカロ熱プレート、 205 , 206ίまウエノヽ Wの搬人出口、 207, 208ίまシャツタ、 209, 210ίま昇降ピンである。
[0033] 冷却プレート 203はステージ 202の上方にお!、て水平方向に移動可能に設けられ 、昇降ピン 209, 210と干渉しないようにスリット 200力形成されている。シャツタ 207, 208は搬入出口 205, 206を夫々開閉するように設けられている。昇降ピン 209, 21 0は各々 3本 1組で昇降可能に設けられている。
[0034] メイン搬送機構 25— 2は搬入出口 205を介して、またインターフェイスユニット Β3内 の後述の移載アーム 31は搬入出口 206を介して夫々筐体 201内にアクセスできるよ うになつている。即ちこの加熱ユニット(ΡΕΒ)においては、移載アーム 31が搬入出口 206を介して進入すると、昇降ピン 209を介して移載アーム 31上のウェハ Wが冷却 プレート 203に受け渡される。そして冷却プレート 203の移動と昇降ピン 210の昇降 により冷却プレート 203と加熱プレート 204との間でウェハ Wの受け渡しが行われる。 加熱処理がされたウェハ Wは搬入出口 205を介してメイン搬送機構 25— 2により搬出 される。
[0035] 図 1に示すように、処理ブロック B2における棚ユニット U3の奥側には、インターフエ イス部 B3を介して露光装置 B4が接続されている。インターフェイス部 B3には、主移 載アーム 31 A及び補助移載アーム 31Bが設けられて ヽる。これら移載アーム 31 A及 び 31Bを説明の便宜上まとめて移載アーム 31と呼ぶことにすると、この移載アーム 3 1は昇降自在で、かつ鉛直軸回りに回転自在でかつ進退自在に構成されて 、る。
[0036] また、インターフェイス部 B3には、ウェハ Wのエッジ部のみを選択的に露光するた めの周縁露光装置 (WEE)と、受け渡しユニット (TRS4)と、冷却プレートを有する高 精度温調ユニット (CPL2)とが設けられている。これらは後述の作用説明をするため の図において記載される力 図 1では夫々棚ユニット U6及び U7に設けられている。 なお実際には、複数例えば 25枚のウェハ Wを一時的に収容するバッファカセットが 設けられるが、説明の複雑ィ匕を避けるために記載を省略する。
[0037] ここでキャリア載置部 B1内の受け渡しアーム 23と、処理ブロック B2内のメイン搬送 機構 25— 1, 25— 2と、インターフェイス部 B3内の移載アーム 31A, 31Bとの働きを図 4及び図 6を参照して説明する。受け渡しアーム 23は、キャリア載置部 B1に載置され たキャリア C内の処理前のウエノ、 Wを受け渡しユニット (TRS1)に搬送し、現像を終 えて受け渡しユニット (TRS6)に置かれた処理後のウェハ Wを前記キャリア Cに搬送 する役割を有する。
[0038] 一方のメイン搬送機構 25— 1は、受け渡しユニット (TRS1)上のウェハ Wを反射防 止膜ユニット(BARC)、冷却ユニット(CPL1)、塗布ユニット(COT)、受け渡しュ-ッ ト (TRS2)の順で搬送し、更に現像処理を終えて受け渡しユニット (TRS5)に載置さ れたウェハ Wを加熱ユニット(POST)、冷却ユニット(CPL4)、受け渡しユニット(TR S6)の順で搬送する役割を有する。また他方のメイン搬送機構 25— 2は、レジストの 塗布処理を終えて受け渡しユニット (TRS2)に載置されたウェハ Wを加熱ユニット(P AB)、受け渡しユニット (TRS3)に搬送し、更に露光を終え、インターフェイス部 B3 力 搬出されて加熱ユニット(PEB)内に載置されたウェハ Wを冷却ユニット(CPL3) 、現像ユニット (DEV)、受け渡しユニット (TRS5)の順で搬送する役割を有する。
[0039] 移載アーム 31 (31A、 3 IB)は、受け渡しユニット (TRS3)に載置された露光前のゥ ェハ Wを周縁露光装置 (WEE)、高精度温調ユニット(CPL2)、露光装置 B4に対す る搬入ステージ 32に順次搬送すると共に、露光装置 B4側の搬出ステージ 33に載置 された露光後のウェハ Wを受け渡しユニット (TRS4)、加熱ユニット(PEB)に搬送す る役割を備えている。なお、詳しくはこれらの移載工程を移載アーム 31 A、 3 IBが分 担して行っている。
[0040] 上記のレジストパターン形成装置は、既述のように受け渡しアーム 23,メイン搬送 機構 25 - 1, 25— 2及び移載アーム 31 A, 31Bの駆動制御やその他各処理ユニット の制御を行う制御部 4を備えている。図 7はこの制御部 4の構成を示すものであり、実 際には CPU (中央処理ユニット)、プログラム及びメモリなどにより構成される力 ここ では構成要素の一部をブロック化して説明するものとする。
[0041] 図 7において符号 40はシステムバスである。このシステムバス 40に搬送レシピ作成 部 41、搬送レシピ記憶部 42、搬送スケジュール作成部 43、搬送スケジュール記憶 部 44、搬送制御部 45及び待機位置制御部 46が接続されている。更に制御部 4には 、コントローラ 51— 54を介して夫々受け渡しアーム 23、メイン搬送機構 25— 1、 25—2 及び移載アーム 31が接続されて!、る。
[0042] 搬送レシピ作成部 41は、オペレータが各モジュールに対してウェハ Wの搬送の順 番を指定したときに、モジュールとウェハ Wの搬送の順番とを対応付けた搬送レシピ を作成し、搬送レシピ記憶部 42に格納する機能を有する。モジュールとは、ウェハ W が載置される部位であり、受け渡しユニット TRS1や所定の処理を行う処理ユニットで ある反射防止膜ユニット BARCなどを指すものである。 1枚のウエノ、 Wに着目して塗 布、現像装置内を流れる順序を見ると、カセット C力 始まって受け渡しステージ TRS 1、反射防止膜ユニット BARCの順番で処理が行われる順番に各モジュール間を移 動し、最後に例えば元のカセット Cに戻される。このようにウェハ Wを流すにあたって 、オペレータはウェハ Wの種別に応じて最適な流し方つまりモジュールの順番を割り 当てているのである。 [0043] 搬送スケジュール作成部 43は、搬送レシピを参照して、搬送スケジュールを作成し 、搬送スケジュール記憶部 44に記憶する機能を有する。搬送スケジュールとは、ゥェ ハ Wの順番と各モジュールとを対応付けて搬送サイクルを指定した搬送サイクルの データを時系列に並べて作成されたものであり、例えば図 8に示すように表される。 A 1、 A2……、 Bl、 B2……はウェハ Wを表すものであり、 A1はロット Aの先頭のゥェ ノヽ、 A2はロット Aの 2番自のウェハ B1はロット Bの 2番目のウェハと 、つた具合にどの ロットの何番目のウェハであるかを示して!/、る。
[0044] そして、塗布、現像装置の搬送系は、搬送サイクル (フェーズとも言われる)毎に仕 事をこなすように構成されている。搬送サイクルとは、モジュール群の上流側からゥェ ハ Wを 1枚ずつ 1つ下流側のモジュールに移動し、下流端のモジュールに至るまで の搬送動作を規定したものであり、モジュールとそのモジュールに置かれるウェハの 番号とを規定したものである。下流端のモジュールとは、先頭のウエノ、 Wが位置する モジユーノレである。
[0045] 例えば、図 8の搬送サイクル 2を実行するとは、ウェハ Al、 A2を夫々受け渡しュ- ット TRS1及び反射防止膜ユニット BARCに位置させる搬送動作を実行することであ る。このような搬送サイクルが時系列に配列されたものが搬送スケジュールである。な お図 8では便宜上 1番目のモジュールを受け渡しユニット TRS1として記載してある。
[0046] 搬送制御部 45は、搬送スケジュールを参照しながら搬送系、この例では受け渡し アーム 23、メイン搬送機構 25— 1、 25— 2及び移載アーム 31を制御するものである。
[0047] 待機位置制御部 46は、受け渡しアーム 23、メイン搬送機構 25— 1、 25— 2及び移載 アーム 31の一つ一つを基板搬送機構と呼ぶとすると、一の基板搬送機構が一の搬 送サイクル中における受け持ちの仕事 (搬送)を終了した後に、当該一の搬送サイク ルが行われている間に搬送スケジュールを参照して当該一の基板搬送機構が次の 搬送サイクルの中で最初に搬送動作を行うことになるモジュールの前に移動するよう に制御する機能を有する。
[0048] そして、この制御規則において、一の基板搬送機構が次の搬送サイクルの中で最 初に搬送動作を行うことになるモジュールとは、原則として当該一の基板搬送機構の 受け持ち範囲であって、かつウェハ Wが存在するモジュールの中で最も上流側のモ ジユーノレと 、うことである力 その受け持ちの範囲の中に互いに異なるロットのウェハ Wが存在する場合には、先に塗布、現像装置内に搬入されたウェハ Wが置かれてい るモジュールが対象になる。なお、ロットとは、例えばキャリア C毎のウェハ Wを意味 する。
[0049] 次に、本実施の形態の作用説明を行う。今、キャリア載置部 B1に 2つのキャリアじが 搬入され、一方のキャリア C内のウェハ W群をロット Aとし、他方のキャリア C内のゥェ ハ群をロット Bと呼ぶことにする。またロット Aは 6枚のウェハを含み、ロット Bは 4枚のゥ ェハを含むものとする。概略的な説明をしておくと、搬送制御部 45は、図 8に示す搬 送スケジュールを参照し、搬送サイクルを 1番力 順次実行していく。先ず搬送サイク ル 1を参照し、受け渡しアーム 23によりキャリア Cからウェハ A1を取り出して受け渡し ユニット TRS1に搬送する。これで搬送サイクル 1が終了する。
[0050] 次 、で、搬送サイクル 2を参照し、メイン搬送機構 25— 1の一のアームによりウェハ A1を受け渡しユニット TRS1から取り出し、次いで反射防止膜ユニット BARCの前に 移動してここに移載し、その後受け渡しアーム 23により次のウエノ、 A2をキャリアじか ら取り出して受け渡しユニット TRS 1に受け渡す。
[0051] 次 、で、搬送サイクル 3を参照し、メイン搬送機構 25— 1の一のアームによりウェハ A2を受け渡しユニット TRS1から取り出し、次いで反射防止膜ユニット BARCの前に 移動して他のアームによりここからウェハ A1を取り出し、前記一のアームによりウェハ A2を反射防止膜ユニット BARCに移載する。そしてメイン搬送機構 25-1が冷却ュ ニット CPL1の前に移動し、前記他のアームを前進させてウェハ A1を冷却ユニット C PL1内に搬入する。こうして各ウェハがモジュール間を流れていくことになる。図 6に も模式的に示している力 ウェハが受け渡しユニット TRS2に受け渡された後は、メイ ン搬送機構 25— 2も搬送に加わることになる。また一般的な説明になるが、例えば同 じロットにある 25枚のウェハの先頭が受け渡しユニット TRS5まで流れてくると、メイン 搬送機構 25— 1は塗布ユニット COTから受け渡しユニット TRS2への搬送が終了した 後、同じ搬送サイクルの中で、メイン搬送機構 25— 2及び移載アーム 31の搬送動作 が終わるまで待機した後、受け渡しユニット TRS5から加熱ユニット POSTへの搬送も 行うことになる。 [0052] この実施の形態の特徴とするところは、このように搬送系として複数の基板搬送機 構が設けられていて、搬送サイクル中の仕事が各基板搬送機構に分担されているシ ステムにおいて、搬送制御部 45の個所でも説明した力 一の搬送サイクル中にて一 の基板搬送機構の仕事 (搬送動作)が終了したときに、例えば他の基板搬送機構が 搬送動作をして 、るときに搬送スケジュールを参照して次の搬送サイクルにお 、て自 己の搬送に係わる先頭のモジュールの前に待機し、こうすることで効率的な搬送を行 おうとするものである。
[0053] さらに具体的に説明する。いま、図 8に示す搬送サイクル 7が実施されているものと する。このとき一方のメイン搬送機構 25— 1は、図 9に示す搬送フローに従って動作し 、ステップ S1にて搬送指示を受けると、ステップ S 2により搬送動作を行う。メイン搬送 機構 25— 1が受け渡しユニット TRS1からウェハ A6を反射防止膜ユニット BARCに移 し替えたとすると、まだ搬送動作は残っているのでステップ S3では「NO」となり、ステ ップ S1に戻り、残りの搬送動作を行う。この結果モジュール群の上流側から順番にゥ ェハがーつ下流側のモジュールに移し替えられていく。
[0054] そして図 10に示すように一方のメイン搬送機構 25— 1が受け渡しユニット TRS2にゥ エノ、 A3を移載し終えると、この搬送動作は搬送サイクル 7に割り当てられたメイン搬 送機構 25— 1の最後の搬送動作であるため、ステップ S4に進む。ステップ S4では、 次の搬送サイクルである搬送サイクル 8にお!/、て最先のロットの末尾のウェハの搬送 に係わるモジュール、即ち反射防止膜ユニット BARCの前に移動して待機する。言 い換えれば搬送が終了した搬送サイクル 7のデータの中で最先のロットの末尾のゥェ ハが置かれているモジュールの前に移動して待機することになる。
[0055] 図 11はこの状態を示している。ここでモジュールの前に移動して待機するとは、モ ジュールの搬送口にアーム 105— 107 (図 3参照)が対向し、アームを前進させれば モジュールの搬送口内に進入できる体勢にある状態を 、う。
[0056] 一方搬送サイクル 7においては、他方のメイン搬送機構 25— 2によるウェハの移し替 えが行われ、ウェハ A1が受け渡しユニット TRS3に受け渡された時点で搬送サイク ル 7が終了する。次いで搬送サイクル 8が開始され、一方のメイン搬送機構 25— 1は、 反射防止膜ユニット BARC内のウェハ A6を取りに行くが、他方のメイン搬送機構 25 -2により搬送が行われて 、る間に当該反射防止膜ユニット BARCの前に移動して ヽ るため、速やかに搬送動作を行うことができる。
[0057] 次に搬送サイクル 16を例にとって説明する。
一方のメイン搬送機構 25— 1の搬送動作は冷却ユニット CPL1からウェハ B4を取り 出す動作力も始まって、図 12に示すようにウェハ B2を受け渡しユニット TRS2に受け 渡す動作で終了する。続いて他方のメイン搬送機構 25— 2により搬送動作が続けら れるが、この間に一方のメイン搬送機構 25— 1は、次の搬送サイクルである搬送サイ クル 17において最先のロットの末尾のウェハの搬送に係わるモジュールの前に移動 して待機する。この場合にはロット Bよりもロット Aの方が装置内への搬入が早いので 、最先のロットはロット Aになり、その末尾のウェハは図 8に示されるようにウェハ A1に 相当する(搬送サイクル 17の終了時のようにウェハ Al、 A2がメイン搬送機構 25— 1 のアクセス範囲にあれば、末尾のウェハは A2になる)。つまりこの場合には次の搬送 サイクルにおいて最先のロットの末尾のウェハの搬送に係わるモジュールとは、受け 渡しユニット TRS5であり、一方のメイン搬送機構 25— 1は、図 13に示すように受け渡 しユニット TRS5の前に移動して待機することになる。
[0058] そして、搬送サイクル 17が開始されると、ロット Aに相当するウェハが上流側から順 次一つ後のモジュールに移し替えられ、一方のメイン搬送機構 25— 1は、この搬送サ イタル 17では自己の最初の搬送動作としてウェハ A1を受け渡しユニット TRS5から 取り出し、加熱ユニット POSTに移し替える。なお、ロット Aのウェハ側力も見るとこの 移し替え動作はロット Aの最後の移し替え動作に相当する。次いで当該搬送サイクル 17中においてロット Bのウェハの移し替えが下流側のもの力 順に行われる。
[0059] 以上の説明では一方のメイン搬送機構 25— 1が次の搬送サイクルを先読みして移 動待機する例を示して ヽるが、他方のメイン搬送機構 25— 2につ ヽても全く同様であ り、例えば搬送サイクル 7内の受け持ちの搬送動作が終了すると、受け渡しユニット T RS2の前に移動して待機することになる。
[0060] 上述の実施の形態では、メイン搬送機構 25— 1に着目すれば、このメイン搬送機構 25— 1がーの基板搬送機構に相当し、待機制御が行われることになり、またメイン搬 送機構 25— 2に着目すれば、このメイン搬送機構 25— 2がーの基板搬送機構に相当 し、待機制御が行われることになる。
[0061] なお、図 8の搬送スケジュールでは、各処理ユニットが 1個であるとして記載してある 力 実際には図 2、図 4に示すように同種の処理ユニットが複数設けられている。その 場合には、搬送サイクルの数がその台数分増えるだけであり、図 8のように簡略ィ匕し ても本発明の説明には何ら支障はな 、。
[0062] 上述の実施の形態によれば、メイン搬送機構 25— 1、 25— 2がーの搬送サイクルに おいて自己の受け持つ搬送が終了した後、当該一の搬送サイクルが終了する前に、 例えば片方のメイン搬送機構 25— 1 (25— 2)あるいは受け渡しアーム 23の搬送が行 われている間に、搬送スケジュールを参照して、次の搬送サイクルにおいて自己の受 け持つ搬送に係わる先頭のモジュールの前にて待機するようにしているため、各搬 送サイクルが開始されたときに、アームを伸ばすだけでウェハの受け渡しを行うことが できる。従ってメイン搬送機構 25— 1、 25— 2をイニシャル位置に置いてここからモジュ ールの前に移動させることに比べて搬送サイクルを速やかに実行することができ、こ のため高 、スループットが得られる。
[0063] 本発明は、塗布、現像装置に限定されるものではなぐ例えば絶縁膜の前駆物質 を溶解した薬液を基板に塗布するユニット、その後塗布液をゲルイ匕するユニット、更 にベータ処理するユニット、キュアを行うユニット、冷却を行うユニットなどを^ |_み込ん だ絶縁膜形成装置などに適用してもよい。なお基板としてはウェハに限らず液晶ディ スプレイ用ガラス基板などのフラットパネルであってもよい。

Claims

請求の範囲
[1] 搬送の順番が指定されて!、る複数の基板を並行処理するモジュール群と、前記モ ジュール群の各モジュールに基板をそれぞれ搬送する複数の基板搬送機構と、を具 備し、
前記基板搬送機構の各々は、前のモジュールから 1つ順番が後のモジュールに基 板を移す動作を分担して行うことにより一の搬送サイクルを実行し、当該一の搬送サ イタルを実行した後に次の搬送サイクルへ移行し、当該次の搬送サイクルを実行し、 これにより前記モジュール群のうち順番の小さいモジュール力 順番の大きいモジュ ールに向けて基板が順次搬送されること、を具備する基板処理装置において、 所定の搬送レシピに従って複数の基板にそれぞれ順番を割り当て、割り当てた基 板の順番と前記各モジュールとを対応づけて搬送サイクルを指定し、その指定した搬 送サイクルのデータを時系列に並べて搬送スケジュールを作成する搬送スケジユー ル作成部と、
前記搬送スケジュール作成部により作成された搬送スケジュールを記憶する搬送ス ケジュール記憶部と、
前記搬送スケジュールを参照し、前記搬送サイクルのデータに書き込まれて 、る基 板をその基板に対応するモジュールに搬送するように前記基板搬送機構を制御し、 これにより前記基板搬送機構に前記搬送サイクルを実行させる搬送制御部と、 前記搬送スケジュール記憶部に記憶された搬送スケジュールを参照し、前記複数 の基板搬送機構のうちの 1の基板搬送機構が一の搬送サイクルにおいて自己の受け 持つ搬送が終了した後、他の基板搬送機構により当該一の搬送サイクルが実行され ている間に、前記 1の基板搬送機構を移動させ、次の搬送サイクルで最初に基板を 搬送する先頭モジュールのところに前記 1の基板搬送機構を待機させる待機位置制 御部と、
を具備することを特徴とする基板処理装置。
[2] 請求項 1の装置において、
前記搬送制御部は、
前記一の搬送サイクルにおいて、前記 1の基板搬送機構に、その受け持ち範囲の 複数のモジュールに基板を順次搬送させた後に、前記他の基板搬送機構に、その 受け持ち範囲の複数のモジュールに基板を順次搬送させ、
前記待機位置制御部は、
前記一の搬送サイクル中に、前記 1の基板搬送機構の受け持ち範囲内で最初に基 板を搬送すべき先頭モジュールのところに前記 1の基板搬送機構を移動させ、次の 搬送サイクルが開始するまで前記 1の基板搬送機構を待機させ、
前記搬送制御部は、
前記次の搬送サイクルにおいて、前記 1の基板搬送機構に、その受け持ち範囲の 複数のモジュールに再び基板を順次搬送させる。
[3] 請求項 1又は 2のいずれか 1の装置において、
前記他の基板搬送機構は、複数の基板を収納したキャリアと受け渡しユニットとの 間に設けられ、
前記 1の基板搬送機構は、前記モジュール群の各モジュールと前記受け渡しュ- ットとの間に設けられている。
[4] 請求項 1又は 2のいずれか 1の装置において、
前記 1の基板搬送機構は前記モジュール群のモジュール相互間に設けられ、また 、前記他の基板搬送機構も前記モジュール群のモジュール相互間に設けられて 、る
[5] 請求項 4の装置において、
前記基板搬送機構は、前記モジュール群のうちの 1のモジュール力も第 1の基板を 取り出し、前記モジュール群のうちの次のモジュール力 第 2の基板を受け取った後 に、前記次のモジュールに前記第 1の基板を受け渡す。
[6] 請求項 1一 5のいずれか 1の装置において、
前記基板搬送機構は、搬送の受け持ち範囲のモジュールに互いに異なるロットの 複数の基板が含まれているときには、搬送の受け持ち範囲のモジュールに先に搬入 されたロットの基板力 搬送する。
[7] 請求項 1一 6のいずれか 1の装置において、
前記モジュール群は、レジストを基板に塗布するモジュール、現像液を基板に塗布 するモジュール、基板を加熱するモジュール、および基板を冷却するモジュールを含 み、レジストの塗布処理および露光後の現像処理が基板に対して行われる。
[8] 搬送の順番が指定されて 、る複数の基板を、複数の基板搬送機構によってモジュ ール群の各モジュールに搬送する際に、前記基板搬送機構の各々が前のモジユー ルから 1つ順番が後のモジュールに基板を移す動作を分担して行うことにより一の搬 送サイクルを実行し、当該一の搬送サイクルを実行した後に次の搬送サイクルへ移 行し、当該次の搬送サイクルを実行し、これにより前記モジュール群のうち順番の小 さ 、モジュール力も順番の大き 、モジュールに向けて基板が順次搬送される基板処 理方法において、
(a) 1の基板搬送機構により、その受け持ち範囲のモジュール相互間で基板を順番 に搬送する工程と、
(b)前記 1の基板搬送機構カゝら前記モジュールを介して他の基板搬送機構に基板 を受け渡し、当該他の基板搬送機構により、その受け持ち範囲のモジュール相互間 で基板を順番に搬送する工程と、
(c)搬送スケジュールを参照し、前記複数の基板搬送機構のうちの 1の基板搬送機 構が一の搬送サイクルにおいて自己の受け持つ搬送が終了した後、他の基板搬送 機構により当該一の搬送サイクルが実行されている間に、前記 1の基板搬送機構を 移動させ、次の搬送サイクルで最初に基板を搬送する先頭モジュールのところに前 記 1の基板搬送機構を待機させる工程と、
を有することを特徴とする基板処理方法。
[9] 請求項 8の方法において、
前記工程 (c)の前記一の搬送サイクルにおいて、前記 1の基板搬送機構がその受 け持ち範囲の複数のモジュールに基板を順次搬送した後に、前記他の基板搬送機 構がその受け持ち範囲の複数のモジュールに基板を順次搬送し、
前記一の搬送サイクル中に、前記 1の基板搬送機構の受け持ち範囲内で最初に基 板を搬送すべき先頭モジュールのところに前記 1の基板搬送機構を移動させ、次の 搬送サイクルが開始するまで前記 1の基板搬送機構を待機させ、
前記次の搬送サイクルにおいて、前記 1の基板搬送機構が、その受け持ち範囲の 複数のモジュールに再び基板を順次搬送する。
[10] 請求項 8又は 9の!、ずれか 1の方法にお!、て、
前記基板搬送機構は、前記モジュール群のうちの 1のモジュール力も第 1の基板を 取り出し、前記モジュール群のうちの次のモジュール力 第 2の基板を受け取った後 に、前記次のモジュールに前記第 1の基板を受け渡す。
[11] 請求項 8— 10のいずれ力 1の方法において、
前記モジュール群は、レジストを基板に塗布するモジュール、現像液を基板に塗布 するモジュール、基板を加熱するモジュール、および基板を冷却するモジュールを含 み、レジストの塗布処理および露光後の現像処理が基板に対して行われる。
[12] 搬送の順番が指定されて 、る複数の基板を、複数の基板搬送機構によってモジュ ール群の各モジュールに搬送する際に、前記基板搬送機構の各々が前のモジユー ルから 1つ順番が後のモジュールに基板を移す動作を分担して行うことにより一の搬 送サイクルを実行し、当該一の搬送サイクルを実行した後に次の搬送サイクルへ移 行し、当該次の搬送サイクルを実行し、これにより前記モジュール群のうち順番の小 さ 、モジュール力 順番の大き 、モジュールに向けて基板が順次搬送される、コンビ ユータシステムによって実行されるプログラムであって、
(a) 1の基板搬送機構により、その受け持ち範囲のモジュール相互間で基板を順番 に搬送する手順と、
(b)前記 1の基板搬送機構カゝら前記モジュールを介して他の基板搬送機構に基板 を受け渡し、当該他の基板搬送機構により、その受け持ち範囲のモジュール相互間 で基板を順番に搬送する手順と、
(c)搬送スケジュールを参照し、前記複数の基板搬送機構のうちの 1の基板搬送機 構が一の搬送サイクルにおいて自己の受け持つ搬送が終了した後、他の基板搬送 機構により当該一の搬送サイクルが実行されている間に、前記 1の基板搬送機構を 移動させ、次の搬送サイクルで最初に基板を搬送する先頭モジュールのところに前 記 1の基板搬送機構を待機させる手順と、
を有することを特徴とするプログラム。
PCT/JP2005/001484 2004-03-31 2005-02-02 基板処理装置及び基板処理方法 WO2005101485A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/525,854 US7383093B2 (en) 2004-03-31 2006-09-25 Substrate processing apparatus and substrate processing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004-106133 2004-03-31
JP2004106133 2004-03-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/525,854 Continuation US7383093B2 (en) 2004-03-31 2006-09-25 Substrate processing apparatus and substrate processing method

Publications (1)

Publication Number Publication Date
WO2005101485A1 true WO2005101485A1 (ja) 2005-10-27

Family

ID=35150253

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/001484 WO2005101485A1 (ja) 2004-03-31 2005-02-02 基板処理装置及び基板処理方法

Country Status (3)

Country Link
US (1) US7383093B2 (ja)
KR (1) KR101018525B1 (ja)
WO (1) WO2005101485A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1965381B1 (en) * 2007-03-02 2010-08-04 Singulus Mastering B.V. Control Method for Integrated Mastering System
JP4894674B2 (ja) * 2007-08-08 2012-03-14 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法並びに記憶媒体
KR101005882B1 (ko) * 2008-10-14 2011-01-06 세메스 주식회사 반도체 제조 설비 및 이의 제어 방법
JP2010177673A (ja) * 2009-01-30 2010-08-12 Semes Co Ltd 基板処理設備及び基板処理方法
JP5181306B2 (ja) * 2009-01-30 2013-04-10 セメス株式会社 基板処理システム、露光前後処理ユニット及び基板処理方法
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5852908B2 (ja) * 2011-09-16 2016-02-03 株式会社Screenホールディングス 基板処理装置のためのスケジュール作成方法およびスケジュール作成プログラム
KR102180468B1 (ko) * 2019-07-08 2020-11-18 세메스 주식회사 반송 로봇의 운행 제어 방법 및 운행 제어 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335416A (ja) * 1997-05-30 1998-12-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2003324059A (ja) * 2002-05-01 2003-11-14 Tokyo Electron Ltd 基板処理方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0915507B1 (en) * 1996-06-07 2008-03-12 Tokyo Electron Limited Device for controlling treating station
JP3995478B2 (ja) * 2000-01-17 2007-10-24 株式会社荏原製作所 基板搬送制御装置及び基板搬送方法
US20020045967A1 (en) * 2000-10-17 2002-04-18 Masayuki Nakano Substrate processing system
JP3950299B2 (ja) 2001-01-15 2007-07-25 東京エレクトロン株式会社 基板処理装置及びその方法
US20040026036A1 (en) * 2001-02-23 2004-02-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
JP4076762B2 (ja) * 2001-11-29 2008-04-16 東京エレクトロン株式会社 半導体ウエハ処理装置
JP4170864B2 (ja) * 2003-02-03 2008-10-22 大日本スクリーン製造株式会社 基板処理装置および基板処理装置における基板搬送方法および基板処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335416A (ja) * 1997-05-30 1998-12-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2003324059A (ja) * 2002-05-01 2003-11-14 Tokyo Electron Ltd 基板処理方法

Also Published As

Publication number Publication date
KR20070009603A (ko) 2007-01-18
US20070016320A1 (en) 2007-01-18
KR101018525B1 (ko) 2011-03-03
US7383093B2 (en) 2008-06-03

Similar Documents

Publication Publication Date Title
JP4087328B2 (ja) 塗布、現像装置及び塗布、現像装置の運転方法
JP4464993B2 (ja) 基板の処理システム
KR100974141B1 (ko) 기판 처리 장치
JP4414909B2 (ja) 塗布、現像装置
JP4614455B2 (ja) 基板搬送処理装置
US7597492B2 (en) Coating and developing system, coating and developing method and storage medium
US7871211B2 (en) Coating and developing system, coating and developing method and storage medium
WO2005101485A1 (ja) 基板処理装置及び基板処理方法
JPH1092733A (ja) 処理システム
JPH1084029A (ja) 処理システム
KR101054196B1 (ko) 도포 처리 방법 및 도포 처리 장치와, 컴퓨터 판독 가능한 기록 매체
JP4401879B2 (ja) 基板の回収方法及び基板処理装置
JP4233908B2 (ja) 基板処理システム
JP4687682B2 (ja) 塗布、現像装置及びその方法並びに記憶媒体
KR20100129211A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2005294460A (ja) 塗布、現像装置
JP4080405B2 (ja) 基板処理装置
JP4018965B2 (ja) 基板処理装置
TWI489576B (zh) 基板處理裝置及基板處理方法
JP4606159B2 (ja) 基板処理装置、基板処理方法、コンピュータプログラム及び記憶媒体
JP2004014966A (ja) 基板処理装置
JP2004319768A (ja) 基板処理装置
JP2660285C (ja)

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 11525854

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020067020161

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWP Wipo information: published in national office

Ref document number: 1020067020161

Country of ref document: KR

Ref document number: 11525854

Country of ref document: US

122 Ep: pct application non-entry in european phase