KR20170092667A - 직접 배출 토로이드형 플라즈마 소스 - Google Patents

직접 배출 토로이드형 플라즈마 소스 Download PDF

Info

Publication number
KR20170092667A
KR20170092667A KR1020177018624A KR20177018624A KR20170092667A KR 20170092667 A KR20170092667 A KR 20170092667A KR 1020177018624 A KR1020177018624 A KR 1020177018624A KR 20177018624 A KR20177018624 A KR 20177018624A KR 20170092667 A KR20170092667 A KR 20170092667A
Authority
KR
South Korea
Prior art keywords
plasma
cavity
apertures
axis
generation block
Prior art date
Application number
KR1020177018624A
Other languages
English (en)
Other versions
KR102478872B1 (ko
Inventor
드미트리 루보미르스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170092667A publication Critical patent/KR20170092667A/ko
Application granted granted Critical
Publication of KR102478872B1 publication Critical patent/KR102478872B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E30/00Energy generation of nuclear origin
    • Y02E30/10Nuclear fusion reactors

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

플라즈마 생성물들을 공급하기 위한 장치는 내부에 토로이드형 플라즈마 공동을 정의하는 플라즈마 발생 블록을 포함한다. 플라즈마 공동은 토로이드 축에 대하여 실질적으로 대칭이고, 토로이드 축은 플라즈마 발생 블록의 제1 및 제2 축 면을 정의한다. 자기 요소는 토로이드 축에 대한 하나의 방위각 위치에서 플라즈마 발생 블록을 적어도 부분적으로 둘러싸고, 그에 의해 자기 요소 내의 자속은 플라즈마 공동 내에 대응하는 전기장을 유도하여, 하나 이상의 소스 가스로부터 플라즈마를 발생시키게 되고, 플라즈마는 플라즈마 생성물들을 형성한다. 플라즈마 발생 블록은 제1 축 면 상에서 플라즈마 발생 블록에 의해 정의되는 복수의 출력 애퍼쳐를 통해 플라즈마 생성물들을 공급한다.

Description

직접 배출 토로이드형 플라즈마 소스{DIRECT OUTLET TOROIDAL PLASMA SOURCE}
본 개시내용은 플라즈마 처리 장비의 분야에 광범위하게 적용된다. 더 구체적으로, 공간적으로 균일한 플라즈마 생성물들을 제공하기 위한 시스템들 및 방법들이 개시된다.
반도체 처리는 반도체 웨이퍼들을 에칭하거나 세정하거나 그 위에 재료를 퇴적하기 위해 플라즈마 처리를 종종 이용한다. 유리하게도, 그러한 프로세스들 전부는 처리되는 웨이퍼의 전체 표면에 걸쳐서 고도로 균일하다. 수년간 상당하게, 웨이퍼 크기들이 증가한 한편 피쳐 크기들은 감소했고, 그에 의해, 처리되는 웨이퍼마다 더 많은 집적 회로들이 수확될 수 있다. 전형적인 웨이퍼 직경들은 1970년대의 약 2 또는 3인치로부터 2010년대의 12인치 이상으로 증가했다. 같은 시기에 걸쳐, 상업용 집적 회로들의 전형적인 최소 피쳐 크기들은 약 5 미크론으로부터 약 0.015 미크론까지 감소했다. 웨이퍼들이 커지는 동안 더 작은 피쳐들을 처리하기 위해서는, 처리 균일성의 상당한 개선이 필요하다. 웨이퍼들 이외의 워크피스들의 플라즈마 처리 또한 개선된 처리 균일성으로부터 혜택을 받을 수 있다.
실시예에서, 플라즈마 생성물들을 공급하기 위한 장치는 내부에 토로이드형 플라즈마 공동(toroidal plasma cavity)을 정의하는 플라즈마 발생 블록을 포함한다. 플라즈마 공동은 토로이드 축에 대하여 실질적으로 대칭이고, 토로이드 축은 플라즈마 발생 블록의 제1 및 제2 축 면(axial side)을 정의한다. 자기 요소(magnetic element)는 토로이드 축에 대한 하나의 방위각 위치(azimuthal location)에서 플라즈마 발생 블록을 적어도 부분적으로 둘러싸고, 그에 의해, 자기 요소 내의 자속(magnetic flux)은 플라즈마 공동 내에 대응하는 전기장을 유도하여, 하나 이상의 소스 가스로부터 플라즈마를 발생시키게 되고, 플라즈마는 플라즈마 생성물들을 형성한다. 플라즈마 발생 블록은 제1 축 면 상에서 플라즈마 발생 블록에 의해 정의되는 복수의 출력 애퍼쳐를 통해 플라즈마 생성물들을 공급한다.
실시예에서, 플라즈마 생성물들을 공급하기 위한 장치는 플라즈마 공동을 정의하는 플라즈마 발생 용기(plasma generation vessel)를 포함한다. 플라즈마 공동은 토로이드 축에 대하여 실질적으로 대칭이다. 플라즈마 발생 용기는, (1) 플라즈마 발생 블록 - 플라즈마 발생 블록은 방사상 내측으로(radially inward), 방사상 외측으로(radially outward), 및 제2 축 면들 상에서 플라즈마 공동의 경계를 정하고, 하나 이상의 소스 가스를 플라즈마 공동 내에 도입하기 위한 하나 이상의 애퍼쳐를 정의함 -; 및 (2) 플라즈마 공동의 제1 축 면 상에 배치되는 평면 평판(planar plate)을 포함하고, 평면 평판은 플라즈마 공동 주위에 방위각으로 분산되는, 자신을 관통하는 복수의 애퍼쳐를 정의한다. 플라즈마 발생 블록의 방사상 내측 및 방사상 외측 에지들은 플라즈마 공동을 실질적으로 둘러싸는 플라즈마 발생 용기를 형성하기 위해 평면 평판과 접경(abut)한다. 장치는 제1 및 제2 유도 코일, 제1 및 제2 유도 코일 내에 전류를 제공하기 위한 전력 공급부, 및 플라즈마 발생 블록 주위에 적어도 부분적으로 연장되고 제1 및 제2 유도 코일 각각에 근접하여 배치된 제1 및 제2 자기 요소를 더 포함하고, 그에 의해, 전류들은 자기 요소들 내에서 자속들을 유도하고, 자기 요소들 내의 자속들은 하나 이상의 소스 가스로부터 플라즈마를 형성하기 위해 플라즈마 공동 내에 방위각 전기장을 발생시켜, 플라즈마 생성물들을 형성한다. 평면 평판을 관통하는 애퍼쳐들은 플라즈마 처리에서의 사용을 위해, 인접 영역으로의 플라즈마 생성물들에 대한 유체 연통을 제공한다.
실시예에서, 플라즈마 생성물들을 제공하기 위한 방법은 내부에 토로이드형 플라즈마 공동을 정의하는 플라즈마 발생 블록 내에 소스 가스 스트림을 도입하는 단계를 포함한다. 플라즈마 공동은 토로이드 축에 대하여 실질적으로 대칭이다. 플라즈마 발생 블록은 토로이드 축에 대해 플라즈마 발생 블록의 제1 축 면 상에만 복수의 출력 애퍼쳐를 정의한다. 출력 애퍼쳐들은 플라즈마 발생 블록 주위에 실질적으로 방위각으로 분산된다. 방법은 또한 소스 가스 스트림으로부터 플라즈마를 발생시키기 위해 플라즈마 공동 내에 전기장을 유도하는 단계 - 플라즈마는 플라즈마 생성물들을 형성함 - ; 및 플라즈마 발생 블록에 의해 정의되는 복수의 출력 애퍼쳐를 통해 플라즈마 생성물들을 전달하는 단계를 포함한다.
도 1은 실시예에 따라, 플라즈마 처리 시스템의 주요 요소들을 개략적으로 도시한다.
도 2는 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스의 선택된 요소들을 개략적으로 도시한다.
도 3은 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스의 선택된 요소들을 개략적으로 도시한다.
도 4는 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스의 선택된 요소들을 개략적으로 도시한다.
도 5는 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스의 선택된 요소들을 개략적으로 도시한다.
도 6은 파선 6 - 6에서 취해진, 도 5의 직접 배출 토로이드형 플라즈마 소스의 개략적인 컷어웨이 도면이다.
도 7은 파선 7 - 7에서 취해진, 도 5의 직접 배출 토로이드형 플라즈마 소스의 개략적인 컷어웨이 도면이다
도 8은 실시예에 따라, 평판에 인접하여 플라즈마 블록을 배치함으로써 정의되는 플라즈마 공동을 갖는 직접 배출 토로이드형 플라즈마 소스를 개략적으로 도시한다.
도 9는 실시예에 따라, 평판에 인접하여 플라즈마 블록을 배치함으로써 정의되는 플라즈마 공동을 갖는 다른 직접 배출 토로이드형 플라즈마 소스를 개략적으로 도시한다.
도 10은 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스를 개략적으로 도시하며, 내부의 플라즈마 공동에 소스 가스들을 공급하는 유입 가스 매니폴드를 보여준다.
도 11은 도 10의 라인 11-11을 따른 개략적인 컷어웨이 도면이다.
도 12는 실시예에 따라, 소스 가스들을 도입하기 위한 인라인 가스 주입 포트를 구비하는 직접 배출 토로이드형 플라즈마 소스의 플라즈마 블록을 개략적으로 도시한다.
도 13은 실시예에 따라, 소스 가스들을 도입하기 위한 복수의 인라인 가스 주입 포트를 구비하는 직접 배출 토로이드형 플라즈마 소스의 플라즈마 블록을 개략적으로 도시한다.
도 14는 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스의 플라즈마 블록을 개략적으로 도시하며, 플라즈마 블록의 하나의 축 면을 형성하는 평판의 표면 법선에 대한 복수의 각도에서 배출 애퍼쳐들이 정의될 수 있는 방식들을 보여준다.
도 15a는 실시예에 따라, 4개의 배출 애퍼쳐가 정의되는 직접 배출 토로이드형 플라즈마 소스들을 도시하는 개략적인 하부도이다.
도 15b는 실시예에 따라, 60개가 넘는 배출 애퍼쳐가 정의되는 직접 배출 토로이드형 플라즈마 소스들을 도시하는 개략적인 하부도이다
도 16은 실시예에 따라, 2개의 직접 배출 토로이드형 플라즈마 소스를 포함하는 플라즈마 웨이퍼 처리 시스템을 단면도로 개략적으로 도시한다.
도 17a는 2개의 직접 토로이드형 플라즈마 소스의 최하부 평판의 피쳐들을 볼 수 있는, 도 16의 플라즈마 처리 시스템의 최상부면의 상향의 개략적 평면도를 개략적으로 도시한다.
도 17b는 도 16의 플라즈마 처리 시스템의 확산기 평판의 상향의 개략적인 평면도를 개략적으로 도시한다.
도 18은 실시예에 따라, 2개의 직접 배출 토로이드형 플라즈마 소스를 포함하는 플라즈마 웨이퍼 처리 시스템을 단면도로 개략적으로 도시한다.
본 개시내용은 이하에서 설명되는 도면들과 함께 취해지는 아래의 상세한 설명을 참조하면 이해될 수 있고, 여기서 몇몇 도면들 전체에서 유사한 참조 번호들은 유사한 컴포넌트들을 지칭하기 위해 이용된다. 도시의 명확성을 위해, 도면들 내의 일부 요소들은 비례에 맞춰 그려지지 않을 수 있음에 주목해야 한다. 항목의 구체적인 사례들(instances)은 괄호 내의 숫자[예를 들어, 플라즈마 블록들(210(1), 210(2)) 등]를 이용하여 지칭될 수 있는 한편, 괄호가 없는 숫자들은 임의의 그러한 항목을 지칭한다[예를 들어, 플라즈마 블록들(210)]. 항목의 복수의 사례가 도시되는 경우들에서, 도시의 명확성을 위해, 사례들 중 일부만이 라벨링될 수 있다.
도 1은 실시예에 따라, 플라즈마 처리 시스템(100)의 주요 요소들을 개략적으로 도시한다. 시스템(100)은 단일 웨이퍼, 반도체 웨이퍼 플라즈마 처리 시스템으로서 도시되지만, 본 기술분야의 통상의 기술자에게는, 본 명세서의 기술들 및 원리들이 임의의 유형의 플라즈마 발생 시스템들(예를 들어, 반드시 웨이퍼들 또는 반도체들을 처리하는 것은 아닌 시스템들)에 적용될 수 있음이 분명할 것이다. 또한, 도 1은 시스템(100)의 선택된 주요 요소들만을 도시하는 단순화된 도면이고; 따라서, 실제 처리 시스템은 다르게 보일 것이고, 시스템(100)과 비교하여 추가의 요소들을 포함할 가능성이 높다는 것을 이해해야 한다.
처리 시스템(100)은 웨이퍼 인터페이스(115), 사용자 인터페이스(120), 플라즈마 처리 유닛(130), 제어기(140), 및 하나 이상의 전력 공급부(150)를 위한 하우징(110)을 포함한다. 처리 시스템(100)은 가스(들)(155), 전기 전력(170), 진공장치(160), 및 선택적으로는 다른 것들을 포함할 수 있는 다양한 유틸리티들에 의해 지원된다. 처리 시스템(100) 내의 내부 배관(internal plumbing) 및 전기 연결들은 도시의 명확성을 위해 보여지지 않는다.
처리 시스템(100)은 제1 위치에서 플라즈마를 발생시키고 플라즈마 및/또는 플라즈마 생성물들[예를 들어, 이온들, 분자 단편들(molecular fragments), 자유 라디칼들(free radicals), 에너지 공급된 종들(energized species), 및 그와 유사한 것]을 처리가 발생되는 제2 위치에 지향시키는 소위 간접 또는 원격 플라즈마 처리 시스템으로서 도시된다. 따라서, 도 1에서, 플라즈마 처리 유닛(130)은 프로세스 챔버(134)를 위해 플라즈마 및/또는 플라즈마 생성물들을 공급하는 원격 플라즈마 소스(132)를 포함한다. 프로세스 챔버(134)는 하나 이상의 웨이퍼 페디스털(135)을 포함하고, 웨이퍼 인터페이스(115)는 처리를 위해 워크피스(50)를 웨이퍼 페디스털 상에 배치한다. 워크피스(50)는 예를 들어 반도체 웨이퍼이지만, 플라즈마 처리에 종속될 임의의 다른 유형의 워크피스일 수 있다. 동작 시에, 가스(들)(155)는 플라즈마 소스(132)에 도입되고, 무선 주파수 발생기(RF Gen)(165)는 플라즈마 소스(132) 내에서 플라즈마를 점화하기 위해 전력을 공급한다. 플라즈마 및/또는 플라즈마 생성물들은 플라즈마 소스(132)로부터, 워크피스(50)가 처리되는 프로세스 챔버(134)로 나아간다. 전형적인 현재의 시스템들은 플라즈마 및/또는 플라즈마 생성물들을 균일하게 확산시키고 적용하려는 시도로, 플라즈마 및/또는 플라즈마 생성물들을 예를 들어 노즐(133) 및/또는 확산기 평판(137)을 통해 전달할 수 있다. 실제의 플라즈마 시스템은 다수의 다른 선택적 피쳐들 또는 서브시스템들을 제공할 수 있고, 플라즈마, 플라즈마 생성물들 및/또는 캐리어, 또는 추가의 처리 가스들은 그러한 피쳐들 또는 서브시스템들을 통해 플라즈마 소스(132)와 프로세스 챔버(134) 사이에서 유동 및/또는 혼합된다.
시스템(100)의 일부로서 도시된 요소들은 예시로서 나열된 것이며, 완전한 것은 아니다. 압력 및/또는 유동 제어기들; 가스 또는 플라즈마 매니폴드들 또는 분배 장치; 이온 억제 평판들; 전극들, 자기 코어들 및/또는 다른 전자기적 장치; 기계적, 압력, 온도, 화학적, 광학적 및/또는 전자적 센서들; 웨이퍼 또는 다른 워크피스 핸들링 메커니즘들; 관측(viewing) 및/또는 다른 액세스 포트들; 및 그와 유사한 것과 같은 다수의 다른 가능한 요소가 또한 포함될 수 있지만, 도시의 명확성을 위해 보여지지 않는다. 프로세스 챔버(134) 내의 조건들에 영향을 주는 다양한 제어 체계들이 가능하다. 예를 들어, 프로세스 챔버(134) 내의 압력을 모니터링하고, 측정된 압력이 원하는 압력의 소정 허용오차 내에 있을 때까지 모든 가스 유동들을 상향 또는 하향 조절함으로써, 압력이 유지될 수 있다. 온도는 가열기들 및 온도 센서들을 추가함으로써 제어될 수 있다. 광학 센서들은 플라즈마들의 방출 피크들을, 발생 시에 및/또는 플라즈마들이 워크피스들과 상호작용할 때 검출할 수 있다.
시스템(100) 내에 도시된 요소들의 내부 연결들 및 협동 또한 도시의 명확성을 위해 보여지지 않는다. RF 발생기(165) 및 가스들(155)에 더하여, 진공장치(vacuum)(160) 및/또는 범용 전기 전력(170)과 같은 다른 대표적인 유틸리티들이 시스템(100)과 연결될 수 있다. 시스템(100) 내에 도시된 요소들과 마찬가지로, 시스템(100)과 연결된 것으로서 도시된 유틸리티들은 완전한 것이 아니라 예시로서 의도되며; 가열 또는 냉각 유체, 가압된 공기, 네트워크 기능들, 폐기물 처분 시스템들(waste disposal systems), 및 그와 유사한 것과 같은 다른 유형의 유틸리티들도 시스템(100)과 연결될 수 있지만, 도시의 명확성을 위해 보여지지 않는다. 마찬가지로, 위의 설명은 플라즈마가 원격 플라즈마 소스(132) 내에서 점화되는 것으로 언급하지만, 아래에 논의되는 원리들은 워크피스 처리의 실제 위치에서 플라즈마를 생성하는 소위 "직접" 플라즈마 시스템들에 동등하게 적용가능하다.
도 1 및 본 개시내용의 다른 곳에서는 간접 플라즈마 처리 시스템이 도시되어 있지만, 본 기술분야의 통상의 기술자에게는, 본 명세서에 개시된 기술들, 장치들 및 방법들은 또한 예를 들어 플라즈마가 워크피스(들)의 위치에서 점화되는 직접 플라즈마 처리 시스템들에도 적용가능할 수 있다는 것 또한 분명할 것이다. 마찬가지로, 실시예들에서, 처리 시스템(100)의 컴포넌트들은, 예를 들어 (1) 복수의 프로세스 챔버를 갖는 단일 처리 시스템을 제공하고; (2) 단일 프로세스 챔버를 위해 복수의 원격 플라즈마 소스를 제공하고; (3) 단일 프로세스 챔버 내에 복수의 워크피스 고정장치(workpiece fixtures)[예를 들어, 웨이퍼 페디스털(135)]를 제공하고; (4) 플라즈마 생성물들을 복수의 프로세스 챔버에 공급하기 위해 단일 원격 플라즈마 소스를 이용하고; 및/또는 (5) 다양한 소스 가스들이 0회, 1회, 2회 또는 그 이상 활성화되고(예를 들어, 적어도 일시적으로 플라즈마의 일부로서 존재함), 프로세스 챔버에 들어가기 전에 또는 프로세스 챔버에 들어간 후에 다른 소스 가스들과 혼합될 수 있도록, 플라즈마 및 가스 소스들을 직렬/병렬 조합으로 제공하는 것 등을 위해 재조직, 재분산 및/또는 복제될 수 있다. 플라즈마의 일부가 아닌 가스들은 본 명세서에서 때로는 "비활성화된(un-activated)" 가스들이라고 지칭된다.
도 2는 실시예에 따라, 직접 배출 토로이드형 플라즈마 소스(direct outlet toroidal plasma source)(200)의 선택된 요소들을 개략적으로 도시한다. 플라즈마 소스(200)는 도 1의 원격 플라즈마 소스(132)의 예이다. 도 2 및 다른 곳들에 보여진 피쳐들을 설명하기 위해 본 명세서에서 이용될 유용한 좌표계는 토로이드 축(1)을 따르는 축 방향 위치들(axial positions), 토로이드 축(1)으로부터의 거리를 나타내는 반경 방향들(2), 및 토로이드 축(1)에 대한 회전 방향을 나타내는 방위각 방향들(azimuthal directions)(3)을 정의한다. 본 명세서에 개시되는 플라즈마 소스들은 플라즈마 소스의 중심(centroid)을 통과하는(반드시 플라즈마 소스의 물리적 피쳐를 통과하는 것은 아님) 토로이드 축을 정의하는 것으로 고려될 것이고, 플라즈마 소스의 플라즈마 발생 공동은 대체로 토로이드형이고, 토로이드 축에 대해 방사상 대칭이며, 토로이드 축에 수직한 평면 내에서 토로이드 축 주위에 연장된다. 토로이드 요소의 주요 둘레(major circumference)(21)는 예를 들어 아래에서 플라즈마 블록(210(1))에 대해 보여지는 바와 같이 토로이드 요소의 외측 경계에서 토로이드 축 주위에 방위각으로(azimuthally) 연장되는 것으로서 정의되고; 주요 둘레(21)는 도시의 명확성을 위해 도 2의 플라즈마 블록(210(1)) 주위 전체에 연장되어 보여지지는 않는다. 보조 둘레(minor circumference)는 예를 들어 도 2의 보조 둘레(22)로서 보여진 바와 같이, 토로이드 축(1)에 대한 단일의 방위각 위치에서 플라즈마 블록(210(1))과 같은 요소 주위에 연장된다.
플라즈마 소스(200)는 플라즈마 블록(210(1)), 자기 요소(220(1)), 및 유도 코일(230)을 포함한다. 자기 요소(220(1))는 플라즈마 블록(210(1)) 주위에 적어도 부분적으로 연장되고, 유도 코일(230)은 자기 요소(220(1)) 주위에 적어도 부분적으로 감긴다. 자기 요소(220(1))가 토로이드 형상으로 도시되어 있지만, 자기 요소들(220)이 반드시 토로이드형이거나 둥근 단면을 갖거나 플라즈마 블록(210) 주위에 완전하게 연장될 필요는 없다. 플라즈마 블록(210(1))은 배기될 수 있고, 플라즈마 소스 가스들이 플라즈마 블록(210(1)) 내에 도입될 수 있다. 플라즈마 블록(210(1)) 내에 플라즈마 소스 가스들이 있는 상태에서, 전류가 유도 코일(230)을 통과하여 자기 요소(220(1)) 내에 자속을 유도하고, 이것은 결국 플라즈마 블록(210(1)) 내에 전류를 유도하여 플라즈마를 점화한다.
따라서, 플라즈마 소스(200)는 유도 코일(230)을 통해 1차 전류가 흐르고 플라즈마 블록(210(1)) 내에 2차 전류가 흐르는 변압기와 유사한 것으로 볼 수 있다. 유리하게는, 플라즈마 소스(200)는 플라즈마 블록(210(1)) 내에서 점화된 플라즈마 및/또는 가스들 내로 2차 전류를 국한시키고, 따라서 유리하게도, 플라즈마 블록(210(1))은 완전한 방위각 회로(complete azimuthal circuit)를 정의하는 전도체로 구성되지 않는다. 일부 실시예들에서, 플라즈마 블록(210(1))은 유전체 재료로 제조되지만, 아래에 논의되는 바와 같이, 종종 알루미늄은 플라즈마 블록(210(1))의 적어도 일부분을 이루는 편리한 재료이다. 플라즈마 블록(210(1))이 알루미늄 또는 다른 실질적으로 전도성인 재료로 이루어질 때, 외부 장들(external fields)이 플라즈마 블록(210(1)) 내로 결합될 수 있고, 플라즈마 블록(210(1))의 보조 둘레 주위에서 연장되는 하나 이상의 유전체 브레이크들(dielectric breaks)(240)을 포함함으로써, 방위각 회로 경로가 차단될 수 있다.
플라즈마 블록(210(1))은 단일 축 면 상에서만 복수의 방위각 위치에서 복수의 출력 애퍼쳐를 정의하고, 플라즈마 생성물들은 플라즈마 처리에서의 이용을 위해 그 출력 애퍼쳐들을 통해 분산된다. 예를 들어, 도 2에서, 출력 애퍼쳐들은 보이지 않지만, 보여진 화살표들의 방향으로 플라즈마 생성물들을 분산시키기 위해 배출구들(250)과 연결된다. 플라즈마 블록(210(1))의 하나의 축 면 주위의 복수의 방위각 위치에 출력 애퍼쳐들을 제공함으로써, 플라즈마 소스(200)는 유리하게 배출구들(250)의 원형 분포를 제공하고, 그에 의해, 내부에 제공된 플라즈마 생성물들은 생성물들을 발생시킨 플라즈마로부터 등거리에 있게 된다. 이것은 플라즈마 생성물들의 원형 패턴을 프로세스 챔버에 직접 결합하는 것을 허용하고, 그에 의해 플라즈마 생성물들은 원형이면서 공간적으로 폭넓은 패턴으로 프로세스 챔버에 도달하게 되는 한편, 패턴의 모든 지점들은 플라즈마로부터 실질적으로 동등한 거리에 있게 된다.
본 명세서의 직접 배출 토로이드형 플라즈마 소스 실시예들의 하나의 이점은, 모든 지점들이 플라즈마로부터 실질적으로 등거리에 있는 넓은 원형의 대칭 패턴에 걸쳐서 플라즈마 생성물들을 제공한다는 데에 있다. 이것은 재결합 효과들(recombination effects)과 벽면 효과들(wall effects)에서의 차이를 최소화하는데, 그 효과들은 차이가 최소화되지 않는다면 상이한 위치들에서의 프로세스 결과들에 영향을 줄 것이다. 전형적인 유도 플라즈마 시스템들이 토로이드형 플라즈마 챔버를 이용하여 플라즈마 생성물들을 발생시킬 수 있긴 하지만, 그러한 시스템들은 전형적으로, 플라즈마로부터 워크피스 상의 다양한 위치들까지의 상이한 거리들을 제공하는 단일 포트 또는 노즐을 통해 플라즈마 생성물들을 분산시킨다.
본 명세서의 실시예들의 다른 이점은, 이온들이 높은 전기장들에 의해 가속되는 것으로 인한 내부 표면들에의 과도한 스퍼터링 손상 없이, 비교적 높은 압력들에서 플라즈마를 발생시키는 능력에 있다. 본 명세서의 실시예들은 예를 들어 0.5 Torr 이하 내지 100 Torr 이상의 압력 레짐들 내에서 작동될 수 있다. 다른 유형의 유도 결합된 플라즈마 소스들은 종종 플라즈마 블록들을 높은 전기장들에 노출시키고, 그러한 장들은 전형적으로 플라즈마 블록 가까이에 위치되는 유도 코일들로부터 발생된다. 그러한 장들을 경험하는 플라즈마 내의 이온들은 장들의 방향으로 가속되어, 종종 내부 플라즈마 블록 벽면들에 충돌하여 벽면들의 재료를 스퍼터링한다. 스퍼터링 손상은 장비 수명의 감소 및/또는 과도한 유지보수 요건들을 야기하여, 노동 및 재료 비용들과 툴 다운타임을 초래한다. 대조적으로, 본 명세서의 설계들은 토로이드형 플라즈마 공동의 방향을 따르는 것을 제외하고는 전기장에 대한 플라즈마 블록들의 노출을 최소화하고, 그에 의해, 자기 요소들에 의해 조향되는(steered) 자속은 플라즈마 블록 표면들의 스퍼터링을 야기할 전기장들을 도입하지 않고서 플라즈마 자체 내에서 2차 전류를 발생시키게 된다. 이것은 결국 본 명세서의 적어도 일부의 실시예들이 더 고가인 재료들과는 대조적으로 트리트먼트되지 않은 표면들을 갖는 알루미늄, 또는 특수하게 트리트먼트된 표면들을 갖는 알루미늄으로 주로 이루어진 플라즈마 블록들을 이용하는 것을 가능하게 한다. 트리트먼트되는 표면들은 선택사항으로서 남아있는다.
본 명세서의 자기 요소들(220)은 전형적으로 페라이트로 형성된다. 유도 코일들(230)은 전형적으로 구리로 형성되고, 선택적으로는 외피 전기 비저항(outer skin electrical resistivity)의 감소를 위해 은으로 도금된다. 동작 동안의 전기적 및 자기적 손실들에 의해 발생되는 열을 제거하기 위해, 자기 요소들(220) 및 유도 코일들(230) 둘 다와, 플라즈마 블록들(210)의 일부 영역들 또는 부분들은 본 명세서에 더 논의되는 바와 같이 냉각 가스들 또는 액체들을 위한 채널들을 포함할 수 있다. 위에서 언급된 바와 같이, 플라즈마 블록들(210)은 트리트먼트되지 않은 표면들을 갖는 알루미늄, 또는 양극화와 같은 표면 트리트먼트, 또는 알루미나, 알루미늄 질화물 또는 이트리아 코팅을 갖는 알루미늄으로 제조될 수 있다. 또한, 다른 재료 선택들이 가능하고, 이는 비용, 머시닝가능성(machinability), 전기 전도도, 열 팽창, 열 소산 특성들(heat dissipation characteristics), 및 의도된 가스들 및 플라즈마 생성물들과의 호환성을 고려하여 이루어질 수 있다.
도 3은 직접 배출 토로이드형 플라즈마 소스(300)의 선택된 요소들을 개략적으로 도시한다. 플라즈마 소스(300)는 도 2의 플라즈마 소스(200)와 다수의 동일한 요소를 공유하지만, 플라즈마 블록(210(2)), 및 각자의 유도 코일들(230)을 구비하는 2개의 자기 요소(220(1))를 포함한다. 토로이드 축(1), 반경 방향(2), 및 방위각 방향(3)은 도 3에 참조로 다시 도시되어 있다. 대칭적이고 효율적인 플라즈마 발생을 위해, 자기 요소들(220(1))은 플라즈마 블록(210(2))에 대해 서로 방위각으로 대향하여 위치된다. 또한, 플라즈마 소스(300)의 플라즈마 블록(210(2))은 4개의 유전체 브레이크(240)를 포함하고; 2개의 유전체 브레이크(240)는 자기 요소들(220(1)) 부근에 위치되는 한편, 다른 2개의 유전체 브레이트는 플라즈마 블록(210(2))의 둘레 주위에서 자기 요소들(220(1))로부터 90도 간격으로 위치된다.
도 4는 직접 배출 토로이드형 플라즈마 소스(400)의 선택된 요소들을 개략적으로 도시한다. 플라즈마 소스(400)는 플라즈마 소스(200 및 300)와 다수의 동일한 요소를 공유하지만, 토로이드형이 아니라 U 또는 말굽 형상인 2개의 자기 요소(220(2))를 포함한다. U 또는 말굽 형상의 자기 요소들의 사용은 아래에 더 설명되는 바와 같이, 직접 배출 토로이드형 플라즈마 소스들의 일부 구성들을 용이하게 하는 데에 도움이 된다.
도 5는 직접 배출 토로이드형 플라즈마 소스(500)의 선택된 요소들을 개략적으로 도시한다. 토로이드 축(1), 반경 방향(2), 및 방위각 방향(3)은 도시의 명확성을 위해 도 5에 다시 보여지지는 않지만, 도 2에 정의된 대로 유지된다. 플라즈마 소스(500)는 플라즈마 소스(200, 300 및 400)와 다수의 동일한 요소를 공유한다. 플라즈마 블록(210(3))은 유전체 브레이크들(240)과 함께 금속 섹션들(245)을 포함한다[도 5의 도시에서, 유전체 브레이크들 중 2개는 자기 요소들(220(3))에 의해 가려진다]. 플라즈마 블록(210(3))은 축 면(211(1))(라벨링은 되지만, 도 5의 도시에서는 가려져 있음) 상에서 평평하다. 플라즈마 블록(210(3))은 섹션들(245) 내에서 유입 애퍼쳐들(260)을 정의한다. 플라즈마 블록(210(3))은 도시된 바와 같이 축 면(211(2)) 상에서 배출 애퍼쳐들(270)을 정의한다. 도 5에 보여진 유입 애퍼쳐들(260) 및 배출 애퍼쳐들(270)의 위치들 및 개수들은 예시일 뿐이고; 도시의 명확성을 위해, 그러한 애퍼쳐들의 모든 사례가 보여지거나 라벨링되지는 않는다. 실제로, 유입 애퍼쳐들(260)은 플라즈마 블록(210(3)) 내로의 균일한 소스 가스 도입을 제공하도록 위치될 수 있지만, 다르게는, 플라즈마 소스(500)의 다른 컴포넌트들과의 편리한 통합을 위해 배열될 수 있다. 배출 애퍼쳐들(270)은 전형적으로 도 5에 도시된 것보다 개수가 더 많고, 인접한 프로세스 챔버에 균일한 플라즈마 생성물 분산을 제공하도록 배열되며, 섹션들(245) 또는 유전체 브레이크들(240)(도 6 및 도 7 참조) 내에 정의될 수 있다. 파선들 6 - 6 및 7 - 7은 도 6 및 도 7에 각각 도시된 컷어웨이 도면들이 취해지는 평면들을 나타낸다.
도 6 및 도 7은 도 5의 파선 6 - 6 및 파선 7 - 7에서 각각 취해진, 직접 배출 토로이드형 플라즈마 소스(500)의 개략적인 컷어웨이 도면이다. 도 6은 유전체 브레이크들(240)[도 5의 도면에서는 자기 요소들(220(3)) 아래에 가려짐] 중 하나를 통해 취해진 컷어웨이를 보여준다. 토로이드 축(1) 및 반경 방향(2)은 참조를 위해 도 6 및 도 7에 다시 보여지고; 도 6 및 도 7의 평면들 안으로의, 또는 그러한 평면들 밖으로의 방위각 방향 호들(azimuthal direction arcs)은 토로이드 축(1)의 좌측으로부터 우측으로 부호가 변경된다. 일부 배출 애퍼쳐들(270)은 도시된 바와 같이 플라즈마 블록(210(3))의 축 면(211(2)) 상에서 유전체 브레이크(240)에 의해 정의된다. 내부에 형성된 플라즈마 공동(280) 및 플라즈마(299)가 또한 도시된다. 도 7은 금속 섹션들(245) 중 하나를 통해 취해지는 컷어웨이를 보여준다. 일부 배출 애퍼쳐들(270)은 도시된 바와 같이 플라즈마 블록(210(3))의 축 면(211(2)) 상에서 유전체 브레이크(240)에 의해 정의된다. 내부에 형성된 플라즈마 공동(280) 및 플라즈마(299)가 또한 도시된다.
도 6 및 도 7은 또한 자기 요소들(220(3))을 통한 냉각 튜브들(275)을 보여준다. 냉각 튜브들(275)은 자기 요소들(220(3))에 의해 소산되는 열을 제거하기 위한 가스들 또는 액체들을 위한 유체 연결들을 제공할 수 있고; 본 명세서에 설명된 자기 요소들 중 임의의 것에 대해 냉각 채널들을 제공하는 피쳐가 고려되지만, 많은 경우들에서 도시의 명확성을 위해 보여지지 않는다. 마찬가지로, 냉각 튜브들은 유도 코일들(230) 및/또는 다양한 다른 플라즈마 소스 컴포넌트들 내에 제공될 수 있고; 일부 그러한 배열들은 본 명세서에 보여지고 설명되지만, 다른 배열들은 도시의 명확성을 위해 보여지지 않고 설명되지 않는다.
도 8은 직접 배출 토로이드형 플라즈마 소스(600)의 일부분을 개략적으로 도시하며, 여기서 플라즈마 공동(280)은 평판(610)에 인접하여 플라즈마 블록(210(4))을 배치함으로써 정의된다. 토로이드 축의 방향(10), 및 반경 방향(2)은 도 8에 참조로 다시 보여진다. 실제 토로이드 축은 도 8의 도시 밖에서 플라즈마 공동(280)의 중심을 통과하고; 방위각 방향 호들은 도 8의 평면 안 및 밖에 있다. 제조가능성(manufacturability) 및 유지보수(maintenance)를 목적으로, 쉽게 머시닝가능하고 상호교환가능한 컴포넌트들에 의해 정의되는 플라즈마 공동을 제공하는 것이 유리할 수 있다. 따라서, 플라즈마 소스(600)는 내부의 배출 애퍼쳐들(270)을 정의하는 평판(610)에 대해 플라즈마 블록(210(4))을 밀봉하는 능력과 함께, 비교적 단순한 형상으로서 플라즈마 블록(210(4))을 제공함으로써 플라즈마 공동(280)을 정의한다. 평판(610)에 대해 플라즈마 블록(210(4))을 밀봉하는 능력은 하나 이상의 o 링(620)을 수용하는 평판(610) 내의 홈들(615)에 의해 제공된다. 플라즈마 공동(280)이 배기되면, 외부 대기압은 플라즈마 블록(210(4))을 o 링들(620) 쪽으로 밀어서 밀봉을 형성한다. 도 8에 도시된 실시예에서, 평판(610)은 유전체 재료로 형성된다. 유전체 브레이크들(240)뿐만 아니라 평판(610)을 위한 적합한 재료는 세라믹, 특히 알루미늄 질화물 또는 알루미늄 산화물, 또는 용융된 석영을 포함한다. 유전체 재료의 평판(610)을 형성하는 것은, 플라즈마 블록(210(4))의 유전체 브레이크들(240)이 플라즈마(299)가 형성될 수 있도록 방위각 전류들을 차단하는 것을 허용하고; 즉 평판(610)이 금속으로 형성되었고 플라즈마 블록(210(4))에 전기 결합된다면, 자기 요소들에 의해 유도되는 전기 전류들은 그와 같이 형성된 방위각 회로 주위를 단순히 레이스(race)할 것이고, 그에 의해 플라즈마 공동(280) 내로의 전기장들의 결합을 감소시킨다. 유전체 브레이크들(240)은 본 명세서의 플라즈마 블록들(210)의 보조 둘레 주위에 완전하게 연장되고; 방위각 방향에서, 유전체 브레이크들(240)은 약 1/4 인치 내지 1 인치와 같이, 플라즈마 블록(210)의 인접 금속 세그먼트들의 전기 아킹을 방지하기에 충분한 폭을 가질 필요가 있다.
도 9는 평판(710)에 인접하여 플라즈마 블록(210(5))을 배치함으로써 정의된 플라즈마 공동(280)을 갖는 직접 배출 토로이드형 플라즈마 소스(700)를 개략적으로 도시한다. 토로이드 축의 방향(10), 및 반경 방향(2)은 도 9에 참조로 다시 도시되어 있고; 방위각 방향 호들은 도 9의 평면 안 및 밖에 있다. 평판(710)은 전도체로 형성되고, 플라즈마 블록(210(5))에 접촉하는 표면에 유전체 배리어(720)를 포함한다. 따라서, 유전체 배리어(720)는 전도체 형성 평판(710)에 대한 플라즈마 블록(210(5))의 단락(shorting)에 저항하여, 그러한 배리어가 없었다면 형성되었을 방위각 전류들을 차단한다. 따라서, 플라즈마 소스(700)는 내부의 배출 애퍼쳐들(270)을 정의하는 평판(710)에 대해 플라즈마 블록(210(5))을 밀봉하는 능력과 함께, 비교적 단순한 형상으로서 플라즈마 블록(210(5))을 제공함으로써 플라즈마 공동(280)을 정의한다. 평판(710)에 대해 플라즈마 블록(210(4))을 밀봉하는 능력은 하나 이상의 o 링(620)을 수용하는 플라즈마 블록(210(5)) 내의 홈들(715)에 의해 제공된다. 플라즈마 공동(280)이 배기되면, 외부 대기압은 평판(710)을 o 링들(620) 쪽으로 밀어서 밀봉을 형성한다. 도 9에 도시된 설계는 플라즈마 블록(210(5)) 및 평판(710)이 상이한 전위들로 유지되는 것을 가능하게 하고; 이것은 출력 애퍼쳐들(270)을 통해 처리 위치를 향해 방출되는 플라즈마 생성물들 내에서의 이온들에 대한 라디칼들의 비율의 제어를 허용한다. 도 9는 평판(710)의 가스 또는 액체 냉각을 제공하는 냉각 튜브들(775)을 또한 보여준다. 냉각 튜브들(775)은 본 명세서에서 플라즈마 블록들, 플라즈마 소스들 또는 플라즈마 챔버들의 최상부 및 최하부 평판들, 또는 플라즈마 챔버들의 측벽들과 같은 다른 컴포넌트들 내에 제공될 수 있다.
도 10은 내부의 플라즈마 공동에 소스 가스들을 공급하는 유입 가스 매니폴드(810)를 보여주는, 직접 배출 토로이드형 플라즈마 소스(800)를 개략적으로 도시한다. 반경 방향(2) 및 방위각 방향(3)은 도 10에 참조로 보여지고; 토로이드 축(1)은 도 10의 평판 밖으로 연장된다. 도 10에 도시된 상부도는 플라즈마 블록(210(6))의 대향 측들 상에 자기 요소들(220(3))을 보여주며, 양쪽에 한 쌍의 가스 매니폴드(810)가 있다. 가스 매니폴드들(810) 각각은 하나 이상의 유입구(820)를 통해 소스 가스들을 수용하고; 단 하나의 유입구(820)만이 도 10에 도시되어 있지만, 유입구들(820)의 개수 및 위치가 달라질 수 있음이 이해된다. 이하에서 논의되는 바와 같이, 가스 매니폴드(810)는 내부의 애퍼쳐들을 통해 플라즈마 블록(210(6)) 내로 소스 가스들을 분산시킨다. 파선 11 - 11은 도 11에 더 상세하게 도시되는 플라즈마 소스(800)의 단면 평면을 나타낸다.
도 11은 도 10의 파선 11 - 11을 따른 개략적인 컷어웨이 도면으로, 직접 배출 토로이드형 플라즈마 소스(800)를 도시한다. 토로이드 축(1) 및 반경 방향(2)은 참조를 위해 도 11에 다시 보여지고; 도 11의 평면들 안 또는 밖에 있는 방위각 방향 호들은 토로이드 축(1)의 좌측으로부터 우측으로 부호가 변경된다. 유입 가스 스트림은 유입 애퍼쳐들(820)을 통해 가스 매니폴드들(810)에 도입된 다음, 플라즈마 블록(210(6))에 의해 정의된 애퍼쳐들(830)을 통해 플라즈마 공동(280) 내로 나아간다. 유입 애퍼쳐들(820)은 도 11의 도시에서 수직한 것으로[예를 들어, 토로이드 축(1)에 평행한 것으로] 보여지지만, 플라즈마 공동(280) 내에서의 혼합 또는 다른 효과들을 촉진하기 위해 다른 각도들에서 정의될 수 있다(또한, 도 12 및 도 13을 참조). 가스 매니폴드(810)는 작은 가스 용적만을 포함하도록 구성될 수 있고, 그에 의해 (예를 들어, 업스트림 밸브들 또는 다른 가스 관리 장비에 의해 도입되는) 소스 가스 스트림 내의 변화들은 급속하게 플라즈마 공동(280) 내로 전달된다. 가스 매니폴드(810)는 플라즈마 처리에 영향을 주는 인자들의 이해를 용이하게 하기 위해, 내부의 압력에 관한 정보를 제공하는 압력 센서를 포함할 수 있다. 가스 매니폴드(810)를 위한 재료 선택사항들은 연관된 플라즈마 블록(210)을 위한 것과 동일하고; 예를 들어, 트리트먼트된 표면을 갖거나 갖지 않는 알루미늄과 같은 금속들, 또는 알루미나, 알루미늄 질화물과 같은 유전체들, 및 다른 세라믹들도 가능한 선택사항들이다. 가스 매니폴드(810) 및 그에 연관된 플라즈마 블록(210) 둘 다가 금속으로 이루어질 때, 위에서 논의된 바와 같이, 방위각 전기 회로를 완성하는 것을 방지하기 위해, 플라즈마 블록(210)으로부터 가스 매니폴드(810)를 분리하고/하거나 가스 매니폴드(810) 내에 유전체 브레이크들을 제공하는 것이 바람직할 수 있다.
도 12는 소스 가스들을 도입하기 위한 인라인 가스 유입 애퍼쳐(850)를 구비하는 직접 배출 토로이드형 플라즈마 소스의 플라즈마 블록(210(7))을 개략적으로 도시한다. 토로이드 축(1), 반경 방향(2), 및 방위각 방향(3)은 도 12에 참조로 다시 도시되어 있다. 보여지는 바와 같이, 인라인 가스 유입 애퍼쳐(850)는 플라즈마 공동(280)과 실질적으로 방위각으로 정렬된다. 가스 유입 애퍼쳐(850)를 통해 소스 가스 스트림을 도입하면, 주입되는 가스에 방위각 속도가 부여되고, 그에 의해 플라즈마 블록(210(7)) 내에서의 완전한 혼합이 촉진된다. 실시예들에서, 인라인 가스 유입 애퍼쳐(850)는 도 12에 도시된 바와 같이 정확하게 방위각으로 정렬될 수 있지만, 다른 실시예들에서, 인라인 가스 유입 애퍼쳐는 부분적으로만 정렬될 수 있어서, 예를 들면 인라인 가스 유입 애퍼쳐가 플라즈마 공동(280)과 교차하는 각도를 정의한다. 토로이드 축에 대한 논-제로 방위각 성분을 갖는 임의의 각도로 인라인 가스 유입 애퍼쳐(850)를 통과하는 소스 가스는, 가스가 플라즈마 공동(280) 내에 도입될 때 가스 내에 적어도 소정의 방위각 속도를 발생시킬 것이다.
도 13은 복수의 인라인 가스 유입 애퍼쳐(850)를 구비하는 직접 배출 토로이드형 플라즈마 소스의 플라즈마 블록(210(8))을 개략적으로 도시한다. 토로이드 축(1), 반경 방향(2), 및 방위각 방향(3)은 도 13에 참조로 다시 보여진다. 임의의 개수의 인라인 가스 유입 애퍼쳐(850)가 이용될 수 있으며, 인라인 가스 유입 애퍼쳐들(850)은 도 10 및 도 11에 도시된 바와 같이, 다른 각도들에서의 가스 유입 애퍼쳐들과 함께 및/또는 가스 매니폴드(810)의 변형들과 함께 이용될 수 있다.
도 14는 직접 배출 토로이드형 플라즈마 소스(900)의 플라즈마 블록(210(9))을 개략적으로 도시하며, 배출 애퍼쳐들(270)이 플라즈마 블록의 하나의 축 면을 형성하는 평판(910)의 표면 법선에 대한 복수의 각도(920)에서 정의될 수 있는 방식들을 보여준다. 토로이드 축의 방향(10), 및 반경 방향(2)은 도 14에 참조로 다시 보여지고; 방위각 방향 호들은 도 14의 평면 안 및 밖에 있다. 배출 애퍼쳐들(270)을 복수의 각도로 형성하면, 균일한 처리를 용이하게 하기 위해 플라즈마 생성물들을 인접 플라즈마 처리 장치 내에 넓게 분산시키는 데에 도움을 줄 수 있다.
도 15a는 4개의 배출 애퍼쳐(270)가 정의되는 직접 배출 토로이드형 플라즈마 소스(1000)의 개략적인 하부도이다. 도 15의 도시는 직접 배출 토로이드형 플라즈마 소스(1000)의 최하부 평판을 개략적으로 도시하며, 평판의 다른 면 상에서의 플라즈마 블록의 대략적인 경계들은 파선들에 의해 제시된다. 플라즈마 소스(1000)는 단 4개의 배출 애퍼쳐(270)만으로도, 단 하나의 애퍼쳐로부터 출력을 추출하는 종래 기술의 원격 플라즈마 소스들에 비교하여 상당히 더 균일한 처리를 제공할 수 있다. 토로이드 축(1)은 도 15a 및 도 15b의 평판들 내로 연장되고; 반경 방향(2) 및 방위각 방향(3)은 도 15a에는 참조로 보여지고 있지만, 도 15b에서는 도시의 명확성을 위해 생략되어 있다. 도 15b는 60개가 넘는 배출 애퍼쳐(270)가 정의되는 직접 배출 토로이드형 플라즈마 소스(1100)의 개략적인 하부도의 도시이다. 도 15b의 도시는 직접 배출 토로이드형 플라즈마 소스(1100)의 최하부 평판을 개략적으로 도시하며, 평판의 다른 면 상에서의 플라즈마 블록의 대략적인 경계들은 파선에 의해 제시된다. 대응하는 플라즈마 블록의 기하형상들 및 재료들, 자기 요소들 및 유도 코일들의 개수 및 배치는 플라즈마 블록 내에서 균일한 플라즈마 분산을 생성하도록 최적화될 수 있어, 그에 의해 배출 애퍼쳐들(270)을 통해 추출되는 플라즈마 생성물들이 플라즈마 소스(1100)에 걸쳐 공간적으로 균일하게 된다. 실시예들에서, 직접 토로이드형 플라즈마 소스는 수백 또는 수천 개의 배출 애퍼쳐(270)를 포함할 수 있다.
도 16은 2개의 직접 배출 토로이드형 플라즈마 소스(1201 및 1202)를 포함하는 플라즈마 웨이퍼 처리 시스템(1200)을 단면도로 개략적으로 도시한다. 처리 시스템(1200)의 대표적인 컴포넌트들만이 라벨링되어 있으며, 도 16은 도시의 명확성을 위해 비례에 맞춰 그려지지 않는다. 토로이드 축(1) 및 반경 방향(2)은 참조를 위해 도 16에 다시 보여지고; 도 16의 평면들 안 또는 밖에 있는 방위각 방향 호들은 토로이드 축(1)의 좌측으로부터 우측으로 부호가 변경된다. 플라즈마 웨이퍼 처리 시스템은 토로이드 축(1)에 대해 방사상 대칭인 프로세스 챔버(1234)를 정의하며; 따라서 플라즈마 소스(1201)는 외측 플라즈마 소스로서 고려될 수 있는 한편, 플라즈마 소스(1202)는 내측 플라즈마 소스로서 고려될 수 있고, 플라즈마 소스들(1201 및 1202)의 토로이드 축들, 및 플라즈마 챔버(1234)의 대칭 축은 모두 일치한다. 다시, 도 16은 웨이퍼 처리에 관련된 실시예이지만, 다른 실시예들이 다른 워크피스들의 처리를 위해 동일한 원리들을 이용할 수 있음이 이해된다.
플라즈마 웨이퍼 처리 시스템(1200)은 플라즈마 생성물들을 발생시키기 위해 플라즈마 소스들(1201 및 1202)을 이용하며, 플라즈마 생성물들이 플라즈마의 위치로부터 처리 중인 워크피스(50)로 이동할 때, 플라즈마 생성물들과 비활성화된 가스들의 선택적인 혼합을 위해 구성된다. 플라즈마 웨이퍼 처리 시스템(1200)은 프로세스 챔버(1234)를 정의하며, 프로세스 챔버 내에서, 도시된 바와 같이 페디스털(1235)이 워크피스(50)를 처리 위치에 위치시킨다. 챔버(1234)의 최상부면(1232)의 정상에서, 플라즈마 소스(1201)는 외측 토로이드 형상을 형성하고, 플라즈마 소스(1202)는 내측 토로이드 형상을 형성한다. 플라즈마 소스들(1201 및 1202)은 도 10 및 도 11에 도시된 바와 같이 가스 매니폴드(810)를 이용하거나 이용하지 않고서, 도 5 및 도 11에 각각 도시된 바와 같이 유입 애퍼쳐들(260 또는 830)로부터 소스 가스들을 수용할 수 있고; 그러한 구조물들은 도 16의 도시에는 보여지지 않는다. 플라즈마 소스들(1201 및 1202) 각각은 각자의 플라즈마 블록(210(10) 또는 210(11))을 포함하고, 내부의 소스 가스들로부터 플라즈마를 발생시키기 위해 유도 코일들(230) 및 자기 요소들(220(3))을 이용한다. 플라즈마 소스들(1201 및 1202)은 플라즈마 생성물들을 프로세스 챔버(1234)를 향해 분산시키기 위한 애퍼쳐들(270)을 정의하는 공통의 최하부 평판(1210)을 공유한다.
최하부 평판(1210) 내의 애퍼쳐들(270)은 (예를 들어, 토로이드 축의 방향으로) 균일한 축 방향을 제공하고, 플라즈마 생성물들은 생성물들이 발생한 플라즈마로부터 처리 중인 워크피스(50)까지 분산되기 위한 짧은 경로들을 제공한다. 2개의 플라즈마 소스(1201 및 1202)를 이용하고, 플라즈마 소스(1201)가 외측 토로이드를 정의하고 플라즈마 소스(1202)가 내측 토로이드를 정의하면, 워크피스(50)의 처리의 중심-대-에지 균일성을 최적화하는 데에 상당한 자유도를 제공한다. 프로세스 레시피들은 플라즈마 소스들(1201 및 1202)에 특정한 프로세스 파라미터들을 변경하고, 시스템(1200) 내에서 처리되는 웨이퍼들의 테스트 및/또는 생성에 대한 효과들을 측정함으로써 최적화될 수 있다. 플라즈마 소스들(1201 및/또는 1202)에 제공되는 전체적인 가스 유동들 및 RF 에너지는, 처리되는 각각의 워크피스(50)에 걸쳐서 효과들이 균일할 때까지 조절될 수 있다. 실시예들에서, 플라즈마 소스들(1201 및 1202)은 반응성 가스들의 비율을 서로 다르게 할 수 있고/있거나 서로 완전히 다른 소스 가스들을 이용할 수 있다.
처리 시스템(1200)은 또한 플라즈마 생성물들과 혼합될 비활성화된 가스들을 공급하기 위해, 최하부 평판(1210) 및 최상부면(1232)을 통과하는 가스 유입구들(1270)을 제공한다(또한, 도 17a 참조). 도 16 및 도 17a에 도시된 애퍼쳐들(270) 및/또는 가스 유입구들(1270)의 개수 및 분포는 대표적인 것일 뿐이고, 실시예들에 따라 달라질 수 있다.
실시예들에서, 최하부 평판(1210)은 부품들의 용이한 조립 및 상호교환성을 위해, 도시된 바와 같이 챔버(1234)의 최상부면(1232)으로부터 분리된다. 즉, 플라즈마 소스들(1201 및 1202)은 최하부 평판(1210)과 조립될 수 있고, 단일 유닛으로서 최상부면(1232)으로부터 제거 또는 설치될 수 있다. 그러나, 실시예들에서, 최하부 평판(1210) 및 최상부면(1232)의 피쳐들은 단일 평판 내에 결합될 수 있다.
도 16은 플라즈마 생성물들이 프로세스 챔버(1234) 내로 진입할 애퍼쳐들(1247)을 정의하는 선택적인 확산기 평판(1237)을 또한 보여준다. 또한, 확산기 평판(1237)은 플라즈마 생성물들과 혼합될 수 있는 비활성 가스들을 안내하기 위한 하나 이상의 가스 통로(1239)를 포함할 수 있다. 예를 들어, 도 16에 도시된 바와 같이, 가스 통로(1239)는 확산기 평판(1237)의 챔버를 향하는 면 내에 정의되는 출력 가스 애퍼쳐들(1241)과 연결된다(또한, 도 17b를 참조). 도 16 및 도 17b에 도시된 애퍼쳐들(1247) 및/또는 출력 가스 애퍼쳐들(1241)의 개수 및 분포는 대표적인 것일 뿐이고, 실시예들에 따라 달라질 수 있다.
도 17a는 도 16의 플라즈마 처리 시스템(1200)의 최상부면(1232)의 상향의(upward facing) 개략적 평면도를 개략적으로 도시하며, 직접 토로이드형 플라즈마 소스(1201 및 1202)의 최하부 평판(1210)의 피쳐들을 볼 수 있다. 최상부면(1232) 및 최하부 평판(1210)의 대표적인 컴포넌트들만이 라벨링되어 있으며, 도 17a는 도시의 명확성을 위해 비례에 맞춰 그려지지 않는다. 토로이드 축, 반경 및 방위각 방향들은 도 17a 및 도 17b에 도시되지 않지만, 다른 도면들 내에 도시된 것들로부터 결정될 수 있다. 다수의 애퍼쳐(270)는 플라즈마 생성물들이 생성된 플라즈마로부터 처리 중인 워크피스까지, 플라즈마 소스들(1201 및 1202)로부터의 플라즈마 생성물들을 위한 길이가 매우 짧고 균일한 경로들을 제공하여, 챔버(1234)에 걸친 균일한 처리를 촉진한다. 예를 들어, 실시예들에서, 플라즈마 소스들(1201 및/또는 1202) 내의 플라즈마로부터 워크피스(50) 상의 임의의 위치까지의 경로들은 4인치 미만일 수 있다. 애퍼쳐들(270) 사이에 배치된(interspersed with) 가스 유입구들(1270)을 제공하면, 비활성화된 가스들과 플라즈마 생성물들의 혼합이 가능해진다. 일부 실시예들은 플라즈마 생성물들과 비활성화된 가스들이 도 17a에 도시된 구조물들로부터 나올 때, 즉 확산기(1237)를 포함하지 않는 처리 시스템들(1200) 내에서, 플라즈마 생성물들과 비활성화된 가스들을 이용하여 웨이퍼들을 유리하게 처리한다. 다른 실시예들은 확산기 평판(1237)에 의해 제공되는 추가 가스 및 플라즈마 생성물의 혼합으로부터 혜택을 받을 수 있다.
도 17b는 확산기(1237)의 상향의 개략적인 평면도를 개략적으로 도시한다. 확산기 평판(1237)의 대표적인 피쳐들만이 라벨링되어 있으며, 도 17b는 도시의 명확성을 위해 비례에 맞춰 그려지지 않는다. 애퍼쳐들(1247)[개방된 원들(open circles)로서 도시되어 있음]은 확산기 평판(1237) 전체를 관통하여 연장되는 한편, 배출 가스 애퍼쳐들(1241)(점들로서 도시되어 있음)은 확산기 평판(1237)의 최하부면 내로만 연장되고, 여기서 배출 가스 애퍼쳐들은 가스 통로(1239)로부터 비활성화된 가스를 공급받는다. 확산기(1237)는 비활성화된 가스들에 대한 플라즈마 생성물들의 비율의 추가의 혼합 및 미세한 제어를 용이하게 하고, 이것은 일부 플라즈마 프로세스들에 대해서는 유리할 수 있지만, 다른 실시예들에 대해서는 불필요할 수 있다.
도 18은 2개의 직접 배출 토로이드형 플라즈마 소스(1301 및 1302)를 포함하는 플라즈마 웨이퍼 처리 시스템(1300)을 단면도로 개략적으로 도시한다. 다시, 도 18은 웨이퍼 처리에 관련된 실시예이지만, 다른 실시예들이 다른 워크피스들의 처리를 위해 동일한 원리들을 이용할 수 있음이 이해된다. 처리 시스템(1300)의 다수의 피쳐는 앞에서 설명된 시스템들과 실질적으로 유사한 것으로서 인식될 것이고, 다시 설명되지 않는다. 토로이드 축(1) 및 반경 방향(2)은 참조를 위해 도 18에 다시 보여지고; 도 18의 평면들 안 또는 밖에 있는 방위각 방향 호들은 토로이드 축(1)의 좌측으로부터 우측으로 부호가 변경된다. 플라즈마 웨이퍼 처리 시스템은 토로이드 축(1)에 대해 방사상 대칭인 프로세스 챔버(1334)를 정의하며; 따라서 플라즈마 소스(1301)는 외측 플라즈마 소스로서 고려될 수 있는 한편, 플라즈마 소스(1302)는 내측 플라즈마 소스로서 고려될 수 있고, 플라즈마 소스들(1301 및 1302)의 토로이드 축들, 및 플라즈마 챔버(1334)의 대칭 축은 모두 일치한다. 도 18은 최하부 평판들에 의해 경계가 정해지는 것이 아니라, 아래의 공간들과 개방 유체 연통(open fluid communication)하는 플라즈마 블록들(210(12) 및 210(13))의 추가 피쳐들을 도시한다. 도 18은 도메인 분리기(1360)를 또한 도시한다.
플라즈마 소스들(1201 및 1202)의 각각의 위치들과 마찬가지로, 플라즈마 소스들(1301 및 1302)은 프로세스 챔버(1334)의 정상에 내측 및 외측 토로이드 형상을 정의한다. 플라즈마 소스들(1301 및 1302)은 도 10 및 도 11에 도시된 바와 같이 가스 매니폴드(810)를 이용하거나 이용하지 않고서, 도 5 및 도 11에 각각 도시된 바와 같이 유입 애퍼쳐들(260 또는 830)로부터 소스 가스들을 수용할 수 있고; 그러한 구조물들은 도 18의 도시에는 보여지지 않는다. 프로세스 챔버(1334) 내에서, 페디스털(1335)은 처리를 위해 워크피스(50)를 위치시킨다. 플라즈마 블록들(210(12) 및/또는 210(13))은 제1 축 면 상에서 실질적으로 방위각으로 연속적인 개구들(1370)을 형성하는데, 즉 플라즈마 소스들(1301 및 1302)은 앞에서 논의된 플라즈마 소스들(1201, 1202) 및 다른 것들과 마찬가지로, 제1 축 면 상에서 실질적으로 경계가 정해지지 않는다. 개구들(1370)은 최상부 평판(1332)을 통해 방위각 방향으로 상당히 연장된다는 점에서 실질적으로 방위각으로 연속적일 수 있지만, 이러한 맥락에서, "실질적으로 방위각으로 연속"은 최상부 평판(1332)의 방사상 내측 부분들을 위한 기계적 지지를 제공하기 위한 개구들(1370)에의 차단을 배제하지 않으며; 어느 한 플라즈마 소스의 주요 둘레의 적어도 약 75%에 대응하는 개구들은 실질적으로 방위각으로 연속적인 것으로 고려될 것이다. 또한, 플라즈마 블록들(210(12) 및 210(13)) 둘 다가 실질적으로 방위각으로 연속적인 개구들을 정의하는 것이 중대하지는 않고; 실시예들에서 플라즈마 블록들(210(12) 및 210(13)) 중 하나가 실질적으로 방위각으로 연속적인 개구를 정의하는 한편, 다른 것은 그렇지 않다. 따라서, 플라즈마 소스들(1301 및 1302)의 플라즈마 공동들은 프로세스 챔버(1334)의 상측 부분 내에 형성된 공간들(1355, 1357)과 유체 연통하고, 그에 의해 플라즈마 생성물들은 플라즈마 블록들(210(12) 및 210(13))로부터 개구들(1370)을 통해 공간들(1355 및 1357) 내로 나아갈 것이다. 공간들(1355, 1357)로부터, 플라즈마 생성물들은 확산기 평판(1337)을 통해 프로세스 챔버(1334) 내로 나아간다.
물론, 플라즈마 웨이퍼 처리 시스템(1300)은 플라즈마 소스들(1301 및 1302)에 소스 가스들을 공급하기 위한 설비들(예를 들어, 도 10 - 도 13과 관련하여 설명된 것과 같은 개별 가스 유입 애퍼쳐들 및/또는 가스 매니폴드들), 및 도 16, 도 17a 및 도 17b에 도시된 가스 유입구들(1270) 및/또는 가스 통로들(1239)과 같이, 플라즈마 생성물들에 추가의 가스들을 첨가하기 위한 설비들을 포함할 수 있다.
플라즈마 블록들(210(12) 및 210(13))의 개방 설계는, 각각의 플라즈마 블록 아래의 공간들(1355, 1357) 각각에서의 압력이 각각의 플라즈마 블록들에의 입력 가스 유동에 의해 실질적으로 결정될 것임을 의미한다. 따라서, 원한다면, 도메인 분리기(1360)를 이용함으로써 공간들(1355 및 1357)의 분리가 유지될 수 있다. 도메인 분리기(1360)는 최상부 평판(1332) 및 확산기 평판(1337) 둘 다를 자신의 완전한 둘레 주위에 접촉시키는 원형 피쳐이고; 도 18의 단면도는 단면 평면을 통과하는 도메인 분리기(1360)의 2개의 부분만을 보여준다. 도메인 분리기(1360)는 전형적으로 유전체 재료로 형성되고, 프로세스 챔버(1334)의 중심 영역과 에지 영역에 공급되는 플라즈마 생성물들 사이의 분리를 시행한다. 실시예들에서, 이러한 분리는 프로세스 챔버(1334) 내에서 중심 및 에지 처리 효과들을 별도로 제어하고, 그에 따라, 워크피스(50)에서 중심-대-에지 처리 균일성을 최적화하기 위해 이용될 수 있다.
[예를 들어, 플라즈마 소스들(1302 및 1301)에 의해 가장 큰 영향을 받는 영역들에 각각 대략적으로 대응하는] 프로세스 챔버의 중심 영역 및 에지 영역에서의 프로세스 효과들이 최상의 처리 균일성을 위해 조절될 수 있도록, 플라즈마 소스들(1301 및 1302)에 소스 가스들을 공급하기 위한 설비들이 독립적으로 제어가능할 수 있다. 플라즈마 소스들(1301 및 1302)에 대한 소스 가스들의 독립적인 제어가능성은 도메인 분리기(1360)가 존재하는지의 여부에 무관하게 유리할 수 있다.
앞의 설명들로부터, 플라즈마 소스들의 축 면들로부터 프로세스 챔버까지의 짧은 이동 경로들을 따라 플라즈마 생성물들을 추출함으로써, 프로세스 챔버에의 플라즈마 생성물들의 균일한 분산을 제공하기 위해, 1개, 2개 또는 그 이상의 토로이드형 플라즈마 소스가 이용될 수 있음이 분명할 것이다. 복수의 토로이드형 플라즈마 소스는 플라즈마 생성물들의 프로세스 챔버까지의 최단 이동 경로들 및 최상의 균일성을 위해 배열된 각각의 플라즈마 블록들, 플라즈마 블록들의 유전체 브레이크들, 자기 요소들, 유도 코일들, 냉각 장치, 출력 애퍼쳐들, 유입 가스 매니폴드들, 및 다른 관련 요소들과 같은 요소들과 함께 배치될 수 있다.
수 개의 실시예가 설명되었지만, 본 기술분야의 통상의 기술자라면, 본 발명의 사상으로부터 벗어나지 않고서 다양한 수정물들, 대안적인 구성들 및 등가물들이 이용될 수 있다고 인식할 것이다. 부가적으로, 본 발명을 불필요하게 모호하게 하는 것을 회피하기 위해, 다수의 잘 알려진 프로세스 및 요소는 설명되지 않았다. 따라서, 상술한 설명은 본 발명의 범위를 제한하는 것으로 간주되어서는 안 된다.
값들의 범위가 제공되는 경우, 맥락이 명백하게 다르게 지시하지 않는 한, 그 범위의 상한과 하한 사이에서 하한의 단위의 10분의 1까지의 각각의 중간 값이 또한 구체적으로 개시된다는 점이 이해된다. 언급된 범위 내의 임의의 기술된 값 또는 중간 값과 그 언급된 범위 내의 임의의 다른 기술된 값 또는 중간 값 사이의 각각의 작은 범위가 포괄된다. 이러한 더 작은 범위들의 상한 및 하한은 독립적으로 범위 내에 포함되거나 배제될 수 있고, 더 작은 범위 내에 이러한 상한과 하한 중 어느 하나 또는 둘 다가 포함되는, 또는 둘 다가 포함되지 않는 각각의 범위는 언급된 범위 내의 임의의 구체적으로 배제된 한계를 조건으로 하여 본 발명 내에 또한 포괄된다. 언급된 범위가 상한 및 하한 중 하나 또는 둘 다를 포함하는 경우, 이러한 포함된 한계들 중 하나 또는 둘 다를 배제하는 범위도 포함된다.
본 명세서 및 첨부된 청구항들에서 이용될 때, 단수 형태("a", "an" 및 "the")는 맥락상 명백하게 달리 나타나지 않는 한, 복수의 지시대상도 포함한다. 따라서, 예를 들어 "프로세스"에 대한 언급은 복수의 그러한 프로세스를 포함하고, "전극"에 대한 언급은 본 기술분야의 숙련된 자들에게 알려진 하나 이상의 전극 및 그들의 등가물들을 지칭하는 등이다. 또한, 본 명세서 및 이하의 청구항들에서 사용될 때의 용어 "포함한다"("comprise," "comprising," "include," "including," 및 "includes")는 언급된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 명시하도록 의도되지만, 그들은 하나 이상의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (17)

  1. 플라즈마 생성물들을 공급하기 위한 장치로서,
    내부에 토로이드형 플라즈마 공동(toroidal plasma cavity)을 정의하는 플라즈마 발생 블록 - 상기 플라즈마 공동의 형상은 토로이드 축에 대하여 실질적으로 대칭이고, 상기 토로이드 축은 상기 플라즈마 발생 블록의 제1 및 제2 축 면(axial side)을 정의함 - ; 및
    상기 토로이드 축에 대한 하나의 방위각 위치(azimuthal location)에서 상기 플라즈마 발생 블록을 적어도 부분적으로 둘러싸는 자기 요소 - 그에 의해, 상기 자기 요소 내의 자속은 상기 플라즈마 공동 내에 대응하는 전기장을 유도하여, 하나 이상의 소스 가스로부터 플라즈마를 발생시키고, 상기 플라즈마는 플라즈마 생성물들을 형성함 -
    를 포함하고, 상기 플라즈마 발생 블록은 상기 제1 축 면 상에서 상기 플라즈마 발생 블록에 의해 정의되는 복수의 출력 애퍼쳐를 통해 상기 플라즈마 생성물들을 공급하는, 플라즈마 생성물들을 공급하기 위한 장치.
  2. 제1항에 있어서, 상기 플라즈마 발생 블록은 상기 복수의 애퍼쳐로서 적어도 4개의 애퍼쳐를 정의하고, 상기 4개의 애퍼쳐는 방위각으로(azimuthally) 대략 90도 간격으로 이격되는, 플라즈마 생성물들을 공급하기 위한 장치.
  3. 제1항에 있어서, 상기 플라즈마 발생 블록은 상기 복수의 애퍼쳐로서 적어도 100개의 애퍼쳐를 정의하는, 플라즈마 생성물들을 공급하기 위한 장치.
  4. 제1항에 있어서, 상기 플라즈마 발생 블록은 상기 복수의 애퍼쳐로서 적어도 1000개의 애퍼쳐를 정의하는, 플라즈마 생성물들을 공급하기 위한 장치.
  5. 제1항에 있어서, 상기 복수의 출력 애퍼쳐는 상기 토로이드 축에 대해 복수의 각도를 정의하는, 플라즈마 생성물들을 공급하기 위한 장치.
  6. 제1항에 있어서, 상기 플라즈마 발생 블록은 상기 하나 이상의 소스 가스를 상기 플라즈마 공동에 공급하기 위한 하나 이상의 유입 애퍼쳐를 정의하는, 플라즈마 생성물들을 공급하기 위한 장치.
  7. 제6항에 있어서, 상기 플라즈마 발생 블록의 상기 제2 축 면에 인접하게 배치된 유입 가스 매니폴드를 더 포함하고, 상기 하나 이상의 유입 애퍼쳐는 상기 하나 이상의 소스 가스를 상기 유입 가스 매니폴드로부터 상기 플라즈마 공동에 공급하기 위해, 상기 제2 축 면 상에서 상기 플라즈마 발생 블록에 의해 정의되는 복수의 애퍼쳐를 포함하는, 플라즈마 생성물들을 공급하기 위한 장치.
  8. 제1항에 있어서, 상기 하나 이상의 유입 애퍼쳐 중 적어도 하나는 상기 토로이드 축에 대하여 논-제로 방위각 각도를 정의하는, 플라즈마 생성물들을 공급하기 위한 장치.
  9. 제1항에 있어서, 상기 플라즈마 발생 블록은 알루미늄을 포함하는, 플라즈마 생성물들을 공급하기 위한 장치.
  10. 제9항에 있어서, 상기 플라즈마 발생 블록은 상기 전기장에 의해 유도되는 방위각 전류(azimuthal current)를 차단하는 적어도 하나의 유전체 브레이크(dielectric break)를 포함하는, 플라즈마 생성물들을 공급하기 위한 장치.
  11. 제10항에 있어서, 상기 유전체 브레이크는 상기 플라즈마 발생 블록 주위에 방위각으로 실질적으로 대칭적으로 분산되는 복수의 유전체 브레이크를 포함하는, 플라즈마 생성물들을 공급하기 위한 장치.
  12. 제1항에 있어서, 상기 플라즈마 발생 블록은,
    방사상 내측으로, 방사상 외측으로, 및 제2 축 면들 상에서 상기 플라즈마 발생 공동의 경계를 정하는 제1 부재; 및
    방사상 내측 에지 및 방사상 외측 에지 상에서 상기 제1 부재와 접촉하는, 상기 제1 축 면 상의 평판 - 상기 복수의 출력 애퍼쳐는 상기 평판에 의해 정의됨 -
    을 포함하는, 플라즈마 생성물들을 공급하기 위한 장치.
  13. 제1항에 있어서, 상기 플라즈마 발생 블록은,
    제2 축 면 상에서 상기 플라즈마 공동의 경계를 정하는 방사상 내측 표면, 방사상 외측 표면, 및 축 표면을 포함하는 제1 부재; 및
    에지들 상에서 상기 방사상 내측 표면 및 방사상 외측 표면에 접촉하는, 상기 제1 축 면 상의 평판 - 상기 복수의 애퍼쳐는 상기 평판을 통해 정의됨 -
    을 포함하는, 플라즈마 생성물들을 공급하기 위한 장치.
  14. 제1항에 있어서, 상기 유입 가스 매니폴드는 상기 하나 이상의 소스 가스를 상기 플라즈마 발생 공동의 제2 축 면 상의 복수의 포트를 통해 공급하는, 플라즈마 생성물들을 공급하기 위한 장치.
  15. 제1항에 있어서, 상기 유입 가스 매니폴드는 상기 플라즈마 발생 공동의 중심 축에 실질적으로 접하는(tangent) 각도에서, 상기 하나 이상의 소스 가스를 상기 플라즈마 발생 공동 내에 주입하는(inject) 하나 이상의 포트를 통해, 상기 하나 이상의 소스 가스를 공급하는, 플라즈마 생성물들을 공급하기 위한 장치.
  16. 플라즈마 생성물들을 공급하기 위한 장치로서,
    플라즈마 공동을 정의하는 플라즈마 발생 용기(plasma generation vessel) - 상기 플라즈마 공동은 토로이드 축에 대하여 실질적으로 대칭이고, 상기 플라즈마 발생 용기는,
    방사상 내측으로, 방사상 외측으로, 및 제2 축 면들 상에서 상기 플라즈마 공동의 경계를 정하고, 하나 이상의 소스 가스를 상기 플라즈마 공동 내에 도입하기 위한 하나 이상의 애퍼쳐를 정의하는 플라즈마 발생 블록,
    상기 플라즈마 공동의 제1 축 면 상에 배치된 평면 평판(planar plate) - 상기 평면 평판은 상기 플라즈마 공동 주위에 방위각으로 분산되는, 상기 평면 평판을 관통하는 복수의 애퍼쳐를 정의함 -
    을 포함하고, 상기 플라즈마 발생 블록의 방사상 내측 에지 및 방사상 외측 에지는 상기 플라즈마 공동을 실질적으로 둘러싸는 상기 플라즈마 발생 용기를 형성하기 위해 상기 평면 평판과 접경(abut)함 - ;
    제1 및 제2 유도 코일;
    상기 제1 및 제2 유도 코일 내에 전류를 제공하기 위한 전력 공급부; 및
    상기 플라즈마 발생 블록 주위에 적어도 부분적으로 연장되고 상기 제1 및 제2 유도 코일 각각에 근접하여 배치된 제1 및 제2 자기 요소 - 그에 의해, 상기 전류들은 상기 자기 요소들 내에서 자속들을 유도하고, 상기 자기 요소들 내의 상기 자속들은 상기 플라즈마 공동 내에 방위각 전기장을 생성하여, 상기 하나 이상의 소스 가스로부터 플라즈마를 형성하여, 플라즈마 생성물들을 형성함 -
    를 포함하고,
    그에 의해, 상기 평면 평판을 관통하는 애퍼쳐들은 플라즈마 처리에서의 사용을 위해, 인접 영역으로의 상기 플라즈마 생성물들에 대한 유체 연통을 제공하는, 플라즈마 생성물들을 공급하기 위한 장치.
  17. 플라즈마 생성물들을 제공하는 방법으로서,
    내부에 토로이드형 플라즈마 공동을 정의하는 플라즈마 발생 블록 내에 소스 가스 스트림을 도입하는 단계
    - 상기 플라즈마 공동은 토로이드 축에 대하여 실질적으로 대칭이고, 상기 플라즈마 발생 블록은 상기 토로이드 축에 대해 제1 축 면 상에만 복수의 출력 애퍼쳐를 정의하고,
    상기 출력 애퍼쳐들은 상기 플라즈마 발생 블록 주위에 실질적으로 방위각으로 분산됨 - ; 및
    상기 소스 가스 스트림으로부터 플라즈마를 발생시키기 위해 상기 플라즈마 공동 내에 전기장을 유도하는 단계 - 상기 플라즈마는 상기 플라즈마 생성물들을 형성함 - ; 및
    상기 플라즈마 발생 블록에 의해 정의되는 상기 복수의 출력 애퍼쳐를 통해 상기 플라즈마 생성물들을 전달하는 단계
    를 포함하는, 방법.
KR1020177018624A 2014-12-09 2015-11-18 직접 배출 토로이드형 플라즈마 소스 KR102478872B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/565,046 US10573496B2 (en) 2014-12-09 2014-12-09 Direct outlet toroidal plasma source
US14/565,046 2014-12-09
PCT/US2015/061246 WO2016094044A1 (en) 2014-12-09 2015-11-18 Direct outlet toroidal plasma source

Publications (2)

Publication Number Publication Date
KR20170092667A true KR20170092667A (ko) 2017-08-11
KR102478872B1 KR102478872B1 (ko) 2022-12-19

Family

ID=56094935

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177018624A KR102478872B1 (ko) 2014-12-09 2015-11-18 직접 배출 토로이드형 플라즈마 소스

Country Status (6)

Country Link
US (1) US10573496B2 (ko)
JP (1) JP6754765B2 (ko)
KR (1) KR102478872B1 (ko)
CN (1) CN107004562B (ko)
TW (1) TWI701703B (ko)
WO (1) WO2016094044A1 (ko)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR101930241B1 (ko) 2015-03-13 2018-12-18 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 소스 디바이스 및 방법들
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6548991B2 (ja) * 2015-08-28 2019-07-24 株式会社ダイヘン プラズマ生成装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
GB201813451D0 (en) 2018-08-17 2018-10-03 Spts Technologies Ltd Plasma apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11261522B2 (en) * 2018-10-18 2022-03-01 Diamond Foundry Inc. Axisymmetric material deposition from plasma assisted by angled gas flow
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20240170261A1 (en) * 2022-11-21 2024-05-23 Applied Materials, Inc. Plasma generator for edge uniformity

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001597A1 (fr) * 2000-06-27 2002-01-03 Ebara Corporation Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection
WO2002037527A1 (fr) * 2000-11-02 2002-05-10 Ebara Corporation Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil
JP2003503839A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション 可変振幅高周波エンベロープに応答するコイルを有するプラズマプロセッサ
KR20040111725A (ko) * 2002-06-05 2004-12-31 어플라이드 머티어리얼스, 인코포레이티드 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
KR20090038009A (ko) * 2006-06-30 2009-04-17 노르디코 테크니컬 서비시즈 리미티드 이온빔 가속 장치

Family Cites Families (1846)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4381441A (en) 1980-10-30 1983-04-26 Western Electric Company, Inc. Methods of and apparatus for trimming film resistors
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
JPS6245119A (ja) 1985-08-23 1987-02-27 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
KR910006164B1 (ko) 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2598019B2 (ja) 1987-06-01 1997-04-09 富士通株式会社 感光体の製造方法
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
DE69116058T2 (de) 1990-09-27 1996-08-22 At & T Corp Verfahren zur Herstellung integrierter Schaltungen
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5217559A (en) 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3501524B2 (ja) 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3411678B2 (ja) 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (ja) 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
CN1053764C (zh) 1994-12-09 2000-06-21 中国科学院微电子中心 束致变蚀方法
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
TW323387B (ko) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
DE69623651T2 (de) 1995-12-27 2003-04-24 Lam Res Corp Verfahren zur füllung von gräben auf einer halbleiterscheibe
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US5953591A (en) 1995-12-28 1999-09-14 Nippon Sanso Corporation Process for laser detection of gas and contaminants in a wafer transport gas tunnel
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
CA2250410C (en) 1996-03-25 2003-06-10 S. George Lesinski Attaching an implantable hearing aid microactuator
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1068094A (ja) 1996-06-13 1998-03-10 Samsung Electron Co Ltd 遷移金属薄膜用蝕刻ガス混合物およびこれを用いた遷移金属薄膜の蝕刻方法
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5868897A (en) 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5982100A (en) 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
JP3814813B2 (ja) * 1997-09-01 2006-08-30 株式会社エフオーアイ プラズマ発生装置
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6177222B1 (en) 1998-03-12 2001-01-23 Xerox Corporation Coated photographic papers
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2976965B2 (ja) 1998-04-02 1999-11-10 日新電機株式会社 成膜方法及び成膜装置
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6077386A (en) 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
WO2000005747A2 (en) 1998-06-30 2000-02-03 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
EP1198610A4 (en) 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6242360B1 (en) 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
EP1077480B1 (en) 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
US6465350B1 (en) 1999-11-29 2002-10-15 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
US6573194B2 (en) 1999-11-29 2003-06-03 Texas Instruments Incorporated Method of growing surface aluminum nitride on aluminum films with low energy barrier
AU2905901A (en) 1999-11-30 2001-06-12 Regents Of The University Of California, The Method for producing fluorinated diamond-like carbon films
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3659101B2 (ja) 1999-12-13 2005-06-15 富士ゼロックス株式会社 窒化物半導体素子及びその製造方法
JP4695238B2 (ja) 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
KR100385133B1 (ko) 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
WO2001046492A1 (en) 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
JP2001313282A (ja) 2000-04-28 2001-11-09 Nec Corp ドライエッチング方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6531069B1 (en) 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6427623B2 (en) 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6736987B1 (en) 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
TW512645B (en) 2000-07-25 2002-12-01 Ibiden Co Ltd Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clamp holder, and substrate for wafer prober
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
JP2002115068A (ja) 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4657473B2 (ja) 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
KR100423953B1 (ko) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020170678A1 (en) 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2004533123A (ja) 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド 銅接続用の障壁エンハンスメント工程
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
KR20040018558A (ko) 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US7199328B2 (en) 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (ko) 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6462372B1 (en) 2001-10-09 2002-10-08 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
AU2002354103A1 (en) 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
AU2002353145A1 (en) 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6770565B2 (en) 2002-01-08 2004-08-03 Applied Materials Inc. System for planarizing metal conductive layers
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (ja) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3773189B2 (ja) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6673200B1 (en) 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
EP1536462A4 (en) 2002-06-14 2010-04-07 Sekisui Chemical Co Ltd METHOD AND DEVICE FOR PRODUCING AN OXIDE FILM
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
KR20050019903A (ko) 2002-07-19 2005-03-03 브리스톨-마이어스 스큅 컴퍼니 아자인돌 키나아제 억제제
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8679307B2 (en) 2002-08-02 2014-03-25 E.A. Fischione Instruments, Inc. Method and apparatus for preparing specimens for microscopy
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW587139B (en) 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
TW561068B (en) 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
KR100964398B1 (ko) 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
EP1596427A4 (en) 2003-02-19 2009-06-10 Panasonic Corp PROCESS FOR INTRODUCING CONTAMINATION
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR100752800B1 (ko) 2003-03-12 2007-08-29 동경 엘렉트론 주식회사 반도체처리용의 기판유지구조 및 플라즈마 처리장치
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP2004296467A (ja) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
JP4272654B2 (ja) 2003-04-11 2009-06-03 Hoya株式会社 クロム系薄膜のエッチング方法及びフォトマスクの製造方法
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP5404984B2 (ja) 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US20040237897A1 (en) 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
KR100853388B1 (ko) 2003-06-27 2008-08-21 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 기판 처리 방법
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
CN100495655C (zh) 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
KR100518594B1 (ko) 2003-09-09 2005-10-04 삼성전자주식회사 로컬 sonos형 비휘발성 메모리 소자 및 그 제조방법
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR20030083663A (ko) 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4393844B2 (ja) 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
KR100546401B1 (ko) 2003-12-17 2006-01-26 삼성전자주식회사 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US7361605B2 (en) 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005076336A1 (ja) 2004-02-09 2005-08-18 Tadahiro Ohmi 半導体装置の製造方法および絶縁膜のエッチング方法
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
DE102004009074B3 (de) 2004-02-23 2005-07-07 Thyssenkrupp Automotive Ag Gebauter Mehrfachnocken
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050266691A1 (en) 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7691686B2 (en) 2004-05-21 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (ko) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7220687B2 (en) 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
WO2006005067A2 (en) 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
FR2873045B1 (fr) * 2004-07-13 2007-01-19 Air Liquide Traitement d'effluents gazeux par plasma a pression atmospherique
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
JP4579611B2 (ja) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
WO2006020424A2 (en) 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
JP4718141B2 (ja) 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP4467453B2 (ja) 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
JP2008519416A (ja) * 2004-11-08 2008-06-05 エム ケー エス インストルメンツ インコーポレーテッド 金属含有ガスを処理するための方法および装置
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
JP2006193822A (ja) 2004-12-16 2006-07-27 Sharp Corp めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
JP4191137B2 (ja) 2004-12-24 2008-12-03 東京エレクトロン株式会社 基板処理装置のクリーニング方法
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
US20060183270A1 (en) 2005-02-14 2006-08-17 Tessera, Inc. Tools and methods for forming conductive bumps on microelectronic elements
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
WO2006102180A2 (en) 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
CN101010448B (zh) 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7857947B2 (en) 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
JP4823628B2 (ja) 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100712727B1 (ko) 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
KR100678696B1 (ko) 2006-02-08 2007-02-06 주식회사 뉴파워 프라즈마 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
CN101378850A (zh) 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
AU2007227602A1 (en) 2006-03-16 2007-09-27 Novartis Ag Heterocyclic organic compounds for the treatment of in particular melanoma
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US7628574B2 (en) 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7743731B2 (en) 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5119609B2 (ja) 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
GB0615343D0 (en) 2006-08-02 2006-09-13 Point 35 Microstructures Ltd Improved etch process
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100761757B1 (ko) 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100843236B1 (ko) 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
JP2010521820A (ja) 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
JP4833890B2 (ja) 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP4418027B2 (ja) 2007-03-28 2010-02-17 キヤノンアネルバ株式会社 真空処理装置
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
CN101657565A (zh) 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP2008305871A (ja) 2007-06-05 2008-12-18 Spansion Llc 半導体装置およびその製造方法
KR20080111627A (ko) 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
US20090000641A1 (en) 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
JP4438008B2 (ja) 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
JP5583011B2 (ja) 2007-07-19 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 照明装置データを送信するための方法、システム及び装置
JP5077659B2 (ja) 2007-07-20 2012-11-21 ニチアス株式会社 触媒コンバーター及び触媒コンバーター用保持材
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP4160104B1 (ja) 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
WO2009025392A2 (en) 2007-08-21 2009-02-26 Panasonic Corporation Plasma processing device and method of monitoring plasma discharge state in plasma processing device
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
CN101868850B (zh) 2007-08-31 2012-11-07 东京毅力科创株式会社 半导体装置的制造方法
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088522A (ja) 2007-09-28 2009-04-23 Hynix Semiconductor Inc 半導体装置のリセスゲート製造方法
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
CN101842877B (zh) 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
WO2009057838A1 (en) 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5150217B2 (ja) 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8592318B2 (en) 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP5172617B2 (ja) 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
EP2227831A2 (de) 2007-12-04 2010-09-15 Parabel Ag Mehrschichtiges solarelement
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
WO2009086013A2 (en) 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4974873B2 (ja) 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
WO2009084194A1 (en) 2007-12-28 2009-07-09 Tokyo Electron Limited Etching method for metal film and metal oxide film, and manufacturing method for semiconductor device
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
US20110308453A1 (en) 2008-01-31 2011-12-22 Applied Materials, Inc. Closed loop mocvd deposition control
JP5250279B2 (ja) 2008-02-23 2013-07-31 東京エレクトロン株式会社 プローブ装置
KR101259484B1 (ko) 2008-02-26 2013-05-06 쿄세라 코포레이션 웨이퍼 지지 부재와 그 제조 방법, 및 이것을 사용한 정전 척
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5188849B2 (ja) 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JP5352103B2 (ja) 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US8022377B2 (en) 2008-04-22 2011-09-20 Applied Materials, Inc. Method and apparatus for excimer curing
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US8409355B2 (en) 2008-04-24 2013-04-02 Applied Materials, Inc. Low profile process kit
US8318605B2 (en) 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US8333842B2 (en) 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
KR100999182B1 (ko) * 2008-05-20 2010-12-08 주식회사 뉴파워 프라즈마 내장 변압기를 갖는 플라즈마 반응기
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
KR101006848B1 (ko) 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7754601B2 (en) 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
CN101932750B (zh) 2008-06-27 2014-05-07 三菱重工业株式会社 真空处理装置及真空处理装置的运转方法
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US20100006032A1 (en) 2008-07-11 2010-01-14 Applied Materials, Inc. Chamber components for cvd applications
CN102089867B (zh) 2008-07-11 2013-11-27 东京毅力科创株式会社 等离子体处理装置
JP4473344B2 (ja) 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
CN102160167B (zh) 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
US7972968B2 (en) 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8702902B2 (en) 2008-08-20 2014-04-22 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
JP2010047818A (ja) 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
KR20110074912A (ko) 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5358165B2 (ja) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101795658B1 (ko) 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
KR101527195B1 (ko) 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP2010180458A (ja) 2009-02-06 2010-08-19 Kit:Kk アルミニウム表面の酸化層形成方法及び半導体装置の製造方法
US8992723B2 (en) 2009-02-13 2015-03-31 Applied Material, Inc. RF bus and RF return bus for plasma chamber electrode
KR101566922B1 (ko) 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
EP2409313A1 (de) 2009-03-17 2012-01-25 Roth & Rau AG Substratbearbeitungsanlage und substratbearbeitungsverfahren
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
KR101534357B1 (ko) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 기판 지지 장치 및 기판 지지 방법
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
JP5710591B2 (ja) 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101095119B1 (ko) 2009-08-19 2011-12-16 삼성전기주식회사 다이 패키지 및 그 제조방법
EP2471065A4 (en) 2009-08-26 2013-01-30 Veeco Instr Inc SYSTEM FOR PRODUCING A PATTERN ON MAGNETIC RECORDING MEDIA
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
KR101200139B1 (ko) 2009-09-02 2012-11-13 세키스이가가쿠 고교가부시키가이샤 실리콘 함유막의 에칭 방법
WO2012118987A1 (en) 2011-03-02 2012-09-07 Game Changers, Llc Air cushion transport
WO2011028349A2 (en) 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
WO2011031860A1 (en) 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20120102653A (ko) 2009-10-30 2012-09-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작방법
CN102598131B (zh) 2009-11-04 2016-04-13 应用材料公司 用于图案化的磁盘媒体应用的等离子体离子注入工艺
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8716780B2 (en) 2009-11-06 2014-05-06 Rambus Inc. Three-dimensional memory array stacking structure
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20110127156A1 (en) * 2009-11-30 2011-06-02 Applied Materials, Inc. Chamber for processing hard disk drive substrates
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
WO2011070945A1 (ja) 2009-12-11 2011-06-16 株式会社アルバック 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
WO2011080876A1 (ja) 2009-12-28 2011-07-07 パナソニック株式会社 プラズマドーピング装置
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
WO2011099650A1 (en) 2010-02-15 2011-08-18 Daikin Industries, Ltd. Water and oil resistant agent for paper and paper treatment process
JP5476152B2 (ja) 2010-02-16 2014-04-23 積水化学工業株式会社 窒化シリコンのエッチング方法及び装置
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101214758B1 (ko) 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8772749B2 (en) 2010-03-16 2014-07-08 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers
KR20130055582A (ko) 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8496756B2 (en) 2010-04-30 2013-07-30 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8562742B2 (en) 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP2011258768A (ja) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
JP5463224B2 (ja) 2010-07-09 2014-04-09 日本発條株式会社 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8222125B2 (en) 2010-08-12 2012-07-17 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
TW201213594A (en) 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8993434B2 (en) 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
KR101209003B1 (ko) 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
JP6006643B2 (ja) 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
WO2012118951A2 (en) 2011-03-01 2012-09-07 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
WO2012128783A1 (en) 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
TWI525743B (zh) 2011-03-23 2016-03-11 住友大阪水泥股份有限公司 靜電夾持裝置
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US9196463B2 (en) 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US20120258607A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8815720B2 (en) 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8663389B2 (en) 2011-05-21 2014-03-04 Andrew Peter Clarke Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor
JP5563522B2 (ja) * 2011-05-23 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5774778B2 (ja) 2011-06-09 2015-09-09 コリア ベーシック サイエンス インスティテュート プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
CN102867748B (zh) 2011-07-06 2015-09-23 中国科学院微电子研究所 一种晶体管及其制作方法和包括该晶体管的半导体芯片
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP2013033965A (ja) 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9947559B2 (en) 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US20130105085A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP6010406B2 (ja) 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
CN104040699B (zh) 2012-02-08 2018-06-26 岩谷产业株式会社 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8747610B2 (en) 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
KR20190124348A (ko) 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
KR101917815B1 (ko) 2012-05-31 2018-11-13 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101989514B1 (ko) 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
WO2014035933A1 (en) 2012-08-28 2014-03-06 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
KR102137617B1 (ko) 2012-10-19 2020-07-24 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6173684B2 (ja) 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
JP5962773B2 (ja) 2012-12-28 2016-08-03 ニュー パワー プラズマ カンパニー リミテッド プラズマ反応器及びこれを用いたプラズマ点火方法
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
WO2014116392A1 (en) 2013-01-25 2014-07-31 Applied Materials, Inc. Electrostatic chuck with concentric cooling base
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
KR102021988B1 (ko) 2013-03-12 2019-09-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
TWI591211B (zh) 2013-03-13 2017-07-11 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9411237B2 (en) 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014145263A1 (en) 2013-03-15 2014-09-18 Dr. Py Institute, Llc Single-use needle assembly and method
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US20140263173A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
JP5386046B1 (ja) 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10010912B2 (en) 2013-06-14 2018-07-03 Applied Materials, Inc. Particle reduction via throttle gate valve purge
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9779952B2 (en) 2013-08-27 2017-10-03 Tokyo Electron Limited Method for laterally trimming a hardmask
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP6488284B2 (ja) 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
WO2015069428A1 (en) 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
KR102132361B1 (ko) 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
KR102237700B1 (ko) 2013-11-27 2021-04-08 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
KR102102787B1 (ko) * 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
KR102128465B1 (ko) 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
US9945033B2 (en) 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9209031B2 (en) 2014-03-07 2015-12-08 Sandisk Technologies Inc. Metal replacement process for low resistance source contacts in 3D NAND
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9177853B1 (en) 2014-05-14 2015-11-03 Sandisk Technologies Inc. Barrier layer stack for bit line air gap formation
CN104392963B (zh) 2014-05-16 2017-07-11 中国科学院微电子研究所 三维半导体器件制造方法
US9520485B2 (en) 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
KR102248205B1 (ko) 2014-06-25 2021-05-04 삼성전자주식회사 수직 채널 및 에어 갭을 갖는 반도체 소자
KR20160002543A (ko) 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160043099A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Wordline 3d flash memory air gap
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US20160099173A1 (en) 2014-10-03 2016-04-07 Applied Materials, Inc. Methods for etching a barrier layer for an interconnection structure for semiconductor applications
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US9202708B1 (en) 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10134750B2 (en) 2014-12-30 2018-11-20 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing the same
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP2016134530A (ja) 2015-01-20 2016-07-25 株式会社東芝 加工制御装置、加工制御プログラムおよび加工制御方法
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
WO2016135849A1 (ja) 2015-02-24 2016-09-01 株式会社 東芝 半導体記憶装置及びその製造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6184441B2 (ja) 2015-06-01 2017-08-23 キヤノンアネルバ株式会社 イオンビームエッチング装置、およびイオンビーム発生装置
JP6295439B2 (ja) 2015-06-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
CN107533978B (zh) 2015-06-04 2021-01-08 东芝存储器株式会社 半导体存储装置及其制造方法
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10147736B2 (en) 2015-09-03 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170133202A1 (en) 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
TWI692799B (zh) 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP6907217B2 (ja) 2016-01-20 2021-07-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
KR102649369B1 (ko) 2016-04-11 2024-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9812462B1 (en) 2016-06-07 2017-11-07 Sandisk Technologies Llc Memory hole size variation in a 3D stacked memory
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180025900A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Alkali metal and alkali earth metal reduction
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
WO2018052477A2 (en) 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR102633031B1 (ko) 2016-11-04 2024-02-05 에스케이하이닉스 주식회사 반도체 메모리 소자
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10141328B2 (en) 2016-12-15 2018-11-27 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
US10692880B2 (en) 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US9779956B1 (en) 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503839A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション 可変振幅高周波エンベロープに応答するコイルを有するプラズマプロセッサ
WO2002001597A1 (fr) * 2000-06-27 2002-01-03 Ebara Corporation Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection
WO2002037527A1 (fr) * 2000-11-02 2002-05-10 Ebara Corporation Appareil a faisceau electronique et procede de production de dispositif utilisant cet appareil
KR20040111725A (ko) * 2002-06-05 2004-12-31 어플라이드 머티어리얼스, 인코포레이티드 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
KR20090038009A (ko) * 2006-06-30 2009-04-17 노르디코 테크니컬 서비시즈 리미티드 이온빔 가속 장치

Also Published As

Publication number Publication date
US10573496B2 (en) 2020-02-25
KR102478872B1 (ko) 2022-12-19
CN107004562A (zh) 2017-08-01
WO2016094044A1 (en) 2016-06-16
CN107004562B (zh) 2021-05-04
US20160163512A1 (en) 2016-06-09
JP6754765B2 (ja) 2020-09-16
TWI701703B (zh) 2020-08-11
TW201633361A (zh) 2016-09-16
JP2017537445A (ja) 2017-12-14

Similar Documents

Publication Publication Date Title
KR102478872B1 (ko) 직접 배출 토로이드형 플라즈마 소스
KR102468600B1 (ko) 직접 배출 토로이드형 플라즈마 소스를 구비하는 플라즈마 처리 시스템
JP7385621B2 (ja) イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
KR102467659B1 (ko) 유통 소스를 구비하는 챔버
US20200144027A1 (en) Inductive plasma source with metallic shower head using b-field concentrator
TWI804472B (zh) 電漿屏、電漿處理腔室和處理基板的方法
US7363876B2 (en) Multi-core transformer plasma source
US8633423B2 (en) Methods and apparatus for controlling substrate temperature in a process chamber
KR100797206B1 (ko) 대영역 플라즈마 소스에서의 균일하게 가스를 분배하기위한 장치 및 그 방법
TWI534891B (zh) Reaction chamber and plasma processing device
KR102263478B1 (ko) 다수의 가스 주입 구역을 갖는 플라즈마 스트립 도구
US20150083042A1 (en) Rotatable substrate support having radio frequency applicator
KR102667049B1 (ko) 플라즈마 가열된 윈도우의 멀티-존 (multi-zone) 냉각
KR20130136962A (ko) 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치
KR101496841B1 (ko) 혼합형 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant