KR20040111725A - 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 - Google Patents

이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 Download PDF

Info

Publication number
KR20040111725A
KR20040111725A KR10-2004-7019828A KR20047019828A KR20040111725A KR 20040111725 A KR20040111725 A KR 20040111725A KR 20047019828 A KR20047019828 A KR 20047019828A KR 20040111725 A KR20040111725 A KR 20040111725A
Authority
KR
South Korea
Prior art keywords
plasma
tube
plasma reactor
reactor
enclosure
Prior art date
Application number
KR10-2004-7019828A
Other languages
English (en)
Other versions
KR101011580B1 (ko
Inventor
케네쓰 에스. 콜린스
히로지 하나와
얀 예
카틱 라마스와미
앤드류 뉴옌
마이클 에스. 바네스
흉 탄 뉴옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040111725A publication Critical patent/KR20040111725A/ko
Application granted granted Critical
Publication of KR101011580B1 publication Critical patent/KR101011580B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

챔버 내의 측벽 및 제품 지지대를 포함하는 엔클로저(enclosure)에 의해 형성되는 진공 챔버를 포함하며, 상기 지지대 상부의 처리 영역을 한정하는 플라즈마 반응기가 개시된다. 챔버는 상기 처리 영역의 마주하는 양면 근처에 적어도 하나의 제 1 포트 쌍을 가지며, 제 1 외부 재진입 튜브는 각각의 단부가 상기 포트 쌍들에 접속된다. 반응기는 또한 처리 가스 주입 장치(가스 분배 플레이트 등) 및 튜브 내의 처리 가스에 플라즈마 소스 전력을 인가하기 위한 재진입 튜브에 결합되어 제 1 튜브를 통해 상기 처리 영역에 재진입 토로이드형 플라즈마 전류를 발생시키는 RF 전력 어플리케이터를 포함한다. 자석은 처리 영역에서 플라즈마 이온 밀도의 방사상 분포를 제어하며, 상기 자석은 처리 영역을 교차하는 극편(pole piece) 축을 형성하는 가늘고 긴 극편을 갖는다.

Description

이온 분포의 자기 제어에 의해 외부적으로 여기된 토로이드형 플라즈마 소스{EXTERNALLY EXCITED TORROIDAL PLASMA SOURCE WITH MAGNETIC CONTROL OF ION DISTRIBUTION}
마이크로 전자 회로에 있어서의 고밀도화 및 소형화 경향은 이러한 디바이스들의 플라즈마 프로세싱을 보다 어렵게 하고 있다. 예를 들어, 콘택 홀의 지름은 감소된 반면, 홀 깊이는 증가되었다. 실리콘 웨이퍼 상의 유전막의 플라즈마 강화 에칭시, 예를 들어 포토레지스트에 대한 유전체 재료(예를 들어 실리콘 이산화물)의 에칭 선택도는 홀을 형성하는 포토레지스트 마스크를 심하게 교란시키지 않으면서 에칭 프로세스에 의해 지름이 깊이의 10 내지 15배인 콘택 홀을 에칭하기에 충분해야 한다. 이 작업은 보다 미세한 포토리소그래피를 위한 단파장 광으로의 최근의 경향이 보다 얇은 포토레지스트 층을 요구하기 때문에 보다 어려워져, 유전체-대-포토레지스트 에칭 선택도는 훨씬 더 커야 한다. 이러한 요구 조건은 용량 결합 플라즈마를 이용하는 유전체 에칭 프로세스와 같이 비교적 낮은 에칭율을 갖는프로세스를 이용하여 보다 쉽게 충족된다. 용량 결합 플라즈마의 플라즈마 밀도는 유도 결합 플라즈마보다 비교적 낮고, 용량 결합 플라즈마 에칭 프로세스는 양호한 유전체-대-포토레지스트 에칭 선택도를 나타낸다. 용량 결합 프로세스가 갖는 문제점은 이 프로세스가 느리고 이에 따라 생산성이 비교적 낮다는 점이다. 이러한 에칭 프로세스에서 일어나는 다른 문제점은 불균일한 플라즈마 분포이다.
생산성 또는 에칭율을 향상시키기 위해 보다 높은 밀도의 플라즈마가 사용되었다. 통상적으로, 고밀도 플라즈마는 유도 결합 플라즈마이다. 그러나, 프로세스 전구체 가스는 이러한 고밀도 플라즈마에서 보다 빠르게 분해되는 경향이 있어, 포토레지스트에 대한 에칭 선택도를 감소시키는 종인 높은 플라즈마 함량의 자유 플루오르를 형성한다. 이러한 경향을 감소시키기 위해, C4F8또는 C4F6등의 플루오르-카본 처리 가스가 사용되어 플라즈마에서 플루오르 함유 에천트 종 및 포토레지스트 등의 비-산화물 함유 표면 상에 축적되는 경향이 있는 하나 이상의 중합체 종으로 분해된다. 이는 에칭 선택도를 증가시키는 경향이 있다. 산소 함유 유전체 재료 내의 산소는 유전체 상의 중합체의 열분해를 촉진시키므로 중합체가 제거되어, 유전체 재료가 에칭될 수 있게 하는 한편, 비-산소 함유 재료(예를 들어 포토레지스트)는 계속해서 중합체에 의해 커버되고 이에 따라 에천트로부터 보호된다. 보다 진보된 디바이스 설계를 가능하게 하기 위한 콘택 개구부 깊이의 증가 및 포토레지스트 두께의 감소는 유전체 에칭 동안 고밀도 플라즈마 처리가 포토레지스트 층을 보다 손상시키게 하는 문제점이 있다. 플라즈마 밀도가 증가되어 에칭율을향상시킴에 따라, 보다 많은 중합체-리치 플라즈마가 사용되어 포토레지스트 등의 비-산소 함유 재료를 보호해야 하므로, 산소 함유 유전체 표면으로부터의 중합체 제거 속도는 특히 좁은 콘택 개구부의 바닥과 같은 작은 제한된 영역에서 감지할 수 있을 정도로 느리다. 그 결과, 포토레지스트는 적절히 보호될 수도 있지만, 콘택 개구부가 특정 깊이에 이르면 중합체 축적에 의해 에칭 처리가 차단될 가능성이 증가된다. 통상적으로, 에칭 정지 깊이는 콘택 개구부의 필요 깊이보다 작아 디바이스가 고장난다. 콘택 개구부는 중간 절연 실리콘 이산화물층을 통해 상부 폴리실리콘 또는 금속 도전층과 하부 폴리실리콘 도전체 또는 금속층 사이에 접속을 제공할 수도 있다. 예를 들어 상부 및 하부 폴리실리콘 또는 금속층 사이의 간격보다 에칭 정지 깊이가 작은 경우에 디바이스 고장이 발생한다. 혹은, 종횡비가 10:1 또는 15:1인 콘택 개구부를 갖는 등의 보다 진보된 디바이스 설계에 대한 실제 또는 확실한 어플리케이션에 대해 너무 좁아지게 되는 에칭 정지 없이 고밀도 플라즈마를 달성하기 위한 프로세스 윈도우의 가능성이 일어난다.
본 발명에서는 용량 결합 반응기의 선택도를 가지며 유도 결합 플라즈마 반응기(고밀도 플라즈마를 갖는)의 에칭율을 갖는 반응기가 바람직하다. 하나의 반응기에서 두 종류의 반응기의 이점을 실현하는 것은 어려웠다.
고밀도 유도 결합 플라즈마 반응기, 특히 웨이퍼 또는 제품에 대향하는 오버헤드 코일 안테나를 갖는 종류의 반응기가 갖는 하나의 문제점은 코일 안테나에 인가되는 전력이 증가되어 에칭율을 향상시킴에 따라 웨이퍼-대-천장 갭은 웨이퍼 상부의 플라즈마 영역에 전력이 잘 흡수되도록 충분히 커져야 한다는 점이다. 이는강한 RF 필드로 인한 웨이퍼 상의 디바이스 손상의 위험을 피한다. 더욱이, 오버헤드 코일 안테나에 인가되는 고레벨의 RF 전력에 대해, 웨이퍼-대-천장 간격은 비교적 커야 하고, 따라서 작은 갭의 이득이 실현될 수 없다.
천장이 유도 결합 반응기의 RF 필드에 대한 반도체 윈도우 또는 용량 결합 반응기의 도전성 전극이라면, 작은 웨이퍼-대-천장 갭의 한 가지 이득은 천장이 비교적 작은 갭 간격(예를 들어 1 또는 2 인치 정도)에서 웨이퍼의 평면에 걸쳐 제공할 수 있는 개선된 전위 또는 접지 기준이다.
따라서, 유도 결합 반응기의 이온 밀도 및 에칭율을 갖는 용량 결합 반응기의 선택도를 가질 뿐만 아니라, 예를 들어 플라즈마 시드(sheath) 두께 등의 기본적인 제한 이외에 웨이퍼-대-천장 갭 길이에 대한 종래의 제한이 없는 반응기를 갖는 것이 바람직하다. 또한, 인가된 RF 플라즈마 소스 전력을 반드시 증가시키지 않고도 이온 밀도 및 에칭율이 개선될 수 있는 유도 결합 반응기의 에칭율 및 용량 결합 반응기의 선택도를 갖는 반응기를 갖는 것이 바람직하다.
상기 필요 및 요구는 상기 참조한 본 출원의 토로이드형(torroidal) 플라즈마 소스에 의해 충족되었다. 본 출원의 토로이드형 플라즈마 소스는 도 1-43을 참조로 하기에 설명된다. 매우 간단하게, 챔버의 대향하는 면들의 포트 사이로 연장하는 적어도 하나의 외부 재진입(reentrant) 튜브, 및 외부 튜브의 일부를 둘러싸는 RF 구동 자기 코어 등의 RF 전력 어플리케이터를 갖는 플라즈마 반응기 챔버를 포함한다. RF 플라즈마 전류 흐름은 튜브를 통해 포트 사이의 공간으로 순환한다. 이 공간은 처리될 제품 또는 반도체 웨이퍼의 표면 위에 있는 처리 영역이며, 제품표면은 일반적으로 RF 플라즈마 전류 흐름에 평행하다.
이러한 토로이드형 소스의 한 가지 한계는 제품 또는 웨이퍼 중심의 플라즈마 이온 밀도가 나머지 영역보다 낮은 경향이 있다는 점이다. 웨이퍼 중심의 플라즈마 이온 밀도를 향상시키는 방법이 필요하다. 이를 향상시키를 하나의 방법은 중심 근처에 플라즈마를 가두는 첨단형 필드를 발생시키는 오버헤드 솔레노이드 또는 코일을 사용하는 것이다. 그러나, 이러한 솔레노이드 또는 코일은 낮은 플라즈마 이온 밀도를 갖는 소형의 중심 영역에 비해 반드시 상당한 반경을 갖는다. 따라서, 이러한 오버헤드 코일 또는 솔레노이드는 중심으로부터의 상당한 반경 거리에서 플라즈마에 자기 압력을 가하는 경향이 있고, 따라서 그 중심에 영향을 적게 또는 거의 갖지 않는 경향이 있어, 개선점을 제공하지 않는다. 따라서, 중심 주위의 작은 반경 영역 내에 영향이 있는 웨이퍼 중심 상의 플라즈마 밀도를 향상시키는 방법이 필요하다.
토로이드형 플라즈마 소스가 갖는 다른 문제점은 외부 튜브에 접속된 튜브들의 각각의 중심에 인접한 웨이퍼 에지 근처에 높은 플라즈마 이온 밀도 존("핫 스팟(hot spots)")이 나타나는 경향이 있다는 점이다. 이에 따라, 침해하지 않으면서 이러한 핫 스팟을 없애는 방법, 즉 핫 스팟을 없애는 동시에 플라즈마 이온 분포에 있어서 다른 불균일성을 형성하지 않는 방법이 필요하다.
토로이드형 플라즈마 소스가 갖는 다른 문제점은 재진입 튜브에 유도된 재순환 플라즈마 전류의 적어도 일부가 웨이퍼 또는 제품 평면 아래의 펌핑 고리를 통한 전환에 의해 처리 영역 주위를 지날 수 있다는 점이다. 이러한 전환은 웨이퍼상의 플라즈마 이온 밀도를 감소시키고, 따라서 펌핑 고리를 통한 플라즈마 전류의 전환이 방지될 수 있다면 프로세스 성능이 크게 향상될 수 있다.
또 다른 문제점은 외부 재진입 튜브의 일부를 둘러싸는 자기 코어는 비교적 고레벨의 RF 전력(예를 들어 수 킬로와트)이 인가될 때 금이 가거나 부서지는 경향이 있다는 점이다. 이 문제점은 자속의 플린지(fringing)으로 인한 코어의 불균일한 가열로부터 일어난다. 따라서, 코어에서 자속의 균일한 분배를 제공하는 방법이 필요하다.
본 발명은 마이크로 전자 회로, 평판 디스플레이 등과 같은 아이템의 제조시 제품의 프로세싱에 사용되는 플라즈마 반응기, 특히 이를 위한 플라즈마 소스에 관한 것이다.
도 1은 오버헤드 토로이드형 플라즈마 전류 경로를 유지하는 제 1 경우를 나타낸다.
도 2는 도 1의 경우에 대응하는 경우의 측면도이다.
도 3은 웨이퍼-대-천장 갭 간격의 변화에 따른 플라즈마 내 자유 플루오르 농도의 반응을 설명하는 그래프이다.
도 4는 제품에 인가되는 RF 바이어스 전력의 변화에 따른 플라즈마 내 자유 플루오르 농도의 반응을 설명하는 그래프이다.
도 5는 코일 안테나에 인가되는 RF 소스 전력의 변화에 따른 플라즈마 내 자유 플루오르 농도의 반응을 설명하는 그래프이다.
도 6은 반응기 챔버 압력의 변화에 따른 플라즈마 내 자유 플루오르 농도의 반응을 설명하는 그래프이다.
도 7은 아르곤 등의 불활성 희석 가스의 부분 압력 변화에 따른 플라즈마 내 자유 플루오르 농도의 반응을 설명하는 그래프이다.
도 8은 유도 결합 반응기 및 본 발명의 실시예에 따른 반응기에 대한 소스 전력의 함수로서 처리 가스의 분해도를 설명하는 그래프이다.
도 9는 도 1의 경우의 변형을 나타낸다.
도 10 및 도 11은 폐쇄형 자기 코어가 사용되는 도 1의 경우의 변형을 나타낸다.
도 12는 토로이드형 플라즈마 전류 경로가 반응기 챔버 아래를 통과하는 본 발명의 다른 경우를 나타낸다.
도 13은 폐쇄형 자기 코어 말단부에 감겨진 코일에 플라즈마 소스 전력이 인가되는 도 10의 경우의 변형을 나타낸다.
도 14는 2개의 평행한 토로이드형 플라즈마 전류를 이루는 경우를 나타낸다.
도 15는 개별적으로 제어되는 다수의 평행한 토로이드형 플라즈마 전류를 이루는 경우를 나타낸다.
도 16은 평행한 토로이드형 플라즈마 전류가 천장보다는 수직 측벽을 통해 플라즈마 챔버를 출입하는 도 15의 경우의 변형을 나타낸다.
도 17a는 제품 표면을 가로지르는 한 쌍의 상호 직교 토로이드형 플라즈마 전류를 유지하는 경우를 나타낸다.
도 17b는 도 17a의 경우에 있어서 다수의 방사형 시준판의 사용을 나타낸다.
도 18 및 도 19는 토로이드형 플라즈마 전류가 대형 웨이퍼 처리에 적합한 넓은 경로에 걸쳐 연장하는 폭이 넓은 벨트인 본 발명의 경우를 나타낸다.
도 20은 토로이드형 플라즈마 전류 경로의 외부 단면이 압축된 도 18의 경우의 변형을 나타낸다.
도 21은 웨이퍼 표면에 걸친 이온 밀도 분포를 조절하기 위해 축의 위치가 조정될 수 있는 원통형 자기 코어를 사용하는 도 18의 경우의 변형을 나타낸다.
도 22는 한 쌍의 원통형 자기 코어의 양단에 한 쌍의 와인딩이 감겨져 있는 도 21의 변형을 나타낸다.
도 23은 코어의 양단에 하나의 공통 와인딩이 감겨져 있는 도 22의 변형을 나타낸다.
도 24 및 도 25는 대형 웨이퍼 처리에 적합한 넓은 벨트인 한 쌍의 상호 직교 토로이드형 플라즈마 전류를 유지하는 경우를 나타낸다.
도 26은 자기 코어가 사용되어 유도 결합을 강화시키는 도 25의 경우의 변형을 나타낸다.
도 27은 직교하는 플라즈마 벨트가 수평 천장보다는 수직 측벽을 통해 반응기 챔버를 출입하는 도 24의 경우의 변형을 나타낸다.
도 28a는 회전 토로이드형 플라즈마 전류를 발생시키는 도 24의 경우의 실시예를 나타낸다.
도 28b는 자기 코어를 포함하는 도 28a의 경우의 변형을 나타낸다.
도 29는 연속하는 원형 플리넘(plenum)이 제공되어 토로이드형 플라즈마 전류를 둘러싸는 본 발명의 바람직한 경우를 나타낸다.
도 30은 도 29에 대응하는 상부 단면도이다.
도 31a 및 도 31b는 도 30에 대응하는 전면도 및 측면도이다.
도 32는 120도 간격으로 대향하는 연속한 플리넘 아래에 3개의 개별적으로 구동되는 RF 코일을 사용하는 경우(29)의 변형을 나타낸다.
도 33은 3개의 RF 코일이 120도 위상으로 구동되어 방위각에 의해 회전하는 플라즈마를 제공하는 도 32의 경우의 변형을 나타낸다.
도 34는 마주하는 단부들이 대칭적으로 분배된 각도로 플리넘 아래에 수평으로 연장하는 각각의 자기 코어의 수직 외부 단부에 RF 드라이브 코일이 감겨지는 도 33의 경우의 변형을 나타낸다.
도 35는 도 20의 경우와 같이 상호 횡단하는 중공(hollow) 도관이 좁혀지는 도 17의 경우의 변형이다.
도 36은 도 24의 경우의 변형이지만, 각각의 RF 전원에 대한 접속을 위해 둘레에 각각의 와인딩(3630, 3640)을 갖는 한 쌍의 자기 코어(3610, 3620)을 사용한다.
도 37은 도 35에 대응하는 경우지만, 챔버에 대해 총 6개의 재진입 포트를 갖는 2개의 재진입 도관 대신 3개의 도관을 갖는다.
도 38은 도 38에 대응하는 경우지만, 챔버에 대해 총 6개의 재진입 포트를 갖는 2개의 재진입 도관 대신 3개의 도관을 갖는다.
도 39는 외부 도관이 공통 플리넘(3910)에 함께 연결되는 도 35에 대응하는 경우이다.
도 40은 외부 도관이 공통 플리넘(4010)에 함께 연결되는 도 36에 대응하는 경우이다.
도 41은 외부 도관이 공통 플리넘(4110)에 함께 연결되는 도 37에 대응하는 경우이다.
도 42는 외부 도관이 공통 플리넘(4210)에 함께 연결되는 도 38에 대응하는 경우이다.
도 43은 외부 도관이 공통 플리넘(4310)에 함께 연결되는 도 17에 대응하는 경우이다.
도 44는 도 1과 유사하며 플라즈마 이온 밀도 균일성을 제어하는 극편을 갖는 반응기의 경우를 나타낸다.
도 45는 극편이 천장 표면 근처에서 감소된 지름을 가지며 천장은 듀얼 존 가스 분포 플레이트인 도 44와 유사한 반응기를 나타낸다.
도 46, 도 47 및 도 48은 극편의 각종 형태를 나타낸다.
도 49는 가스 분포 플레이트의 일 실시예를 나타낸다.
도 50은 도 49의 가스 주입 오리피스의 상세도이다.
도 51은 극편이 발진할 수 있는 자계를 나타내는 그래프이다.
도 52는 반경의 함수로서 자계 크기의 그래프이다.
도 53 및 도 54는 처리 가스 흐름을 제어하는 여러 방법을 나타낸다.
도 55a 및 도 55b는 토로이드형 플라즈마 경로에서 스플리터의 사용을 나타낸다.
도 56a, 도 56b 및 도 56c는 토로이드형 플라즈마 전류가 수직으로 챔버에 유입하는 경우의 스플리터의 사용을 나타낸다.
도 57 및 도 58은 스플리터의 각종 형태를 나타낸다.
도 59a 및 도 59b는 토로이드형 플라즈마 전류가 방사형으로 챔버에 유입하는 경우의 스플리터의 사용을 나타낸다.
도 60, 도 61, 도 62 및 도 63은 토로이드형 플라즈마 전류가 챔버의 코너에서 수직으로 유입되는 경우의 스플리터의 사용을 나타낸다.
도 64는 스플리터가 어떻체 처리 영역 높이의 일부만으로 연장하는지를 나타낸다.
도 65a, 도 65b 및 도 66은 소정의 챔버 지름을 갖는 챔버 내부에서 토로이드형 플라즈마 전류의 유효 방사 경로 길이를 증가시키기 위한 스플리터 설계를 나타낸다.
도 67은 도 1의 토로이드형 플라즈마 전류 소스를 갖는 MERIE형 자석의 사용을 나타낸다.
도 68 및 도 69는 처리 영역에 대해 토로이드형 플라즈마 전류를 보다 양호하게 한정하기 위한 핀의 사용을 나타낸다.
도 70, 도 71 및 도 72는 분포 인덕턴스를 갖는 RF 전력 어플리케이터를 나타낸다.
도 72는 도 70, 도 71a 및 도 71b에 대응하는 분포 인덕턴스를 나타낸다.
도 73은 도 72의 분포 인덕턴스의 원형 배치를 나타낸다.
도 74는 도 71a 및 도 71b에 대응하는 배치에서 분포 인덕턴스 및 커패시턴스를 나타낸다.
도 75 및 도 76은 도 71a 및 도 71b의 자기 코어를 이용한 유도 결합 RF 전력의 각종 형태를 나타내는 개략도이다.
도 77은 도 44의 종결 섹션 및 토로이드형 튜브를 전기적으로 절연시기키 위한 절연층의 사용을 나타낸다.
도 78은 균일성 제어 자석 또는 자극이 어떻게 웨이퍼 지지대 아래에 배치되는지를 나타낸다.
챔버 내의 측벽 및 제품 지지대를 포함하는 엔클로저(enclosure)에 의해 형성되는 진공 챔버를 포함하며, 상기 지지대 상부의 처리 영역을 한정하는 플라즈마 반응기가 개시된다. 챔버는 상기 처리 영역의 마주하는 양면 근처에 적어도 하나의 제 1 포트 쌍을 가지며, 제 1 외부 재진입 튜브는 각각의 단부가 상기 포트 쌍들에 접속된다. 반응기는 또한 처리 가스 주입 장치(가스 분배 플레이트 등) 및 튜브 내의 처리 가스에 플라즈마 소스 전력을 인가하기 위한 재진입 튜브에 결합되어 제 1 튜브를 통해 상기 처리 영역에 재진입 토로이드형 플라즈마 전류를 발생시키는 RF 전력 어플리케이터를 포함한다. 자석은 처리 영역에서 플라즈마 이온 밀도의 방사상 분포를 제어하며, 상기 자석은 처리 영역을 교차하는 극편(pole piece) 축을 형성하는 가늘고 긴 극편을 갖는다.
극편은 영구 자석이 될 수 있는 한편, 극편은 대신 자화 가능 재료가 될 수 있으며, 또한 자석은 가늘고 긴 극편 주위에 감겨져 코일을 형성하는 전기 도전체를 포함함으로써 코일을 통한 전류 흐름이 자계를 발생시킨다.
챔버 엔클로저는 제품 지지대 위에서 상기 지지대와 면하는 천장을 포함할 수 있고, 극편 축은 천장 위 외부에 있고 적어도 부분적으로 천장 안으로 연장하며 상기 챔버 바깥에서 종결한다. 처리 영역은 지지대 및 천장의 평행면들 사이에 형성되며, 극편 축은 일반적으로 제품 지지대에 수직이다. 이 경우, 천장은 가스 분배 플레이트를 포함할 수 있다.
반응기는 또한 상기 처리 영역의 마주하는 면들 근처의 제 2 포트 쌍, 각각의 단부가 상기 제 2 포트 쌍에 접속되며 상기 제 1 외부 재진입 튜브의 일부 위에 있는 제 2 외부 재진입 튜브, 및 상기 제 2 튜브 내의 처리 가스에 플라즈마 소스 전력을 인가하여 제 2 튜브를 통해 상기 처리 영역에 제 2 재진입 토로이드형 플라즈마 전류를 발생시키는 제 2 재진입 튜브를 포함할 수 있다. 제 1 및 제 2 튜브는 직각 등의 각도로 서로 교차할 수 있다.
플라즈마 반응기 챔버의 개요:
도 1을 참조하면, 원통형 측벽(105) 및 천장(110)으로 둘러싸인 플라즈마 반응기 챔버(100)는 반도체 웨이퍼 또는 제품(120)을 지지하기 위한 웨이퍼 지지대(115)를 수용한다. 처리 가스 공급기(125)는 측벽(105)에 걸쳐 연장하는 가스 입구 노즐(130a-130d)을 통해 챔버(100)에 처리 가스를 공급한다. 진공 펌프(135)는 챔버(100) 내의 압력을 제어하며, 통상적으로 압력을 0.5 밀리토르(mT) 미만으로 유지한다. 반토로이드형(half-torroidal) 중공 튜브 엔클로저 및 도관(150)은 반원 안에서 천장(110) 상부로 연장한다. 도관(150)은 천장(110)으로부터 외부적으로 바깥으로 연장하지만 반응기의 일부이며 챔버 벽을 형성한다. 내부적으로 도관(150)은 반응기 내에서 어떤 곳에 존재하더라도 동일한 배기를 공유한다. 사실, 진공 펌프(135)는 도 1에 나타낸 바와 같이 챔버의 주요부 바닥에 결합되는 대신에 도관(150)에 결합될 수도 있다. 도관(150)은 반응기 천장(110)의 제 1 개구부(155) 둘레에 밀폐된 하나의 개방 단부(150a) 및 반응기 천장(110)의 제 2 개구부(160) 둘레에 밀폐된 다른 단부(150b)를 갖는다. 2개의 개구부 또는 포트(150, 160)는 일반적으로 웨이퍼 지지대(115)의 마주하는 측면에 위치한다. 중공 도관(150)은 한 개구부에서 챔버의 주요부를 빠져 나가고 다른 개구부에서 다시 유입하는 유로를 제공하도록 재진입한다. 본 명세서에서, 도관(150)은 반토로이드형으로 설명될 수도 있으며, 도관은 속이 텅 비어 있고, 플라즈마가 흐르는 밀폐된 경로의 일부를 제공하며, 전체 경로는 웨이퍼 지지대(115) 위에 있는 전체 처리 영역에 걸쳐 흐름으로써 종결된다. 토로이드형이란 용어의 사용에도 불구하고, 경로 또는 도관(150)의 단면형은 물론 경로의 궤도 또한 원형 또는 원형이 아닐 수도 있고, 사각형, 정사각형 또는 등각형이나 등각이 아닌 다른 형태가 될 수도 있다.
외부 도관(150)은 시트 금속과 같은 비교적 얇은 도전체로 형성될 수 있지만, 챔버 내에서 진공 상태를 견디기에는 충분히 강하다. 중공 도관(150)의 시트 금속에서의 와전류를 억제하기 위해서(그리고 그것에 의해서 도관(150) 내부로의 RF 유도 결합을 용이하게 하는), 절연 갭(152)은 2개의 튜브형 단면들로 분리하도록 중공 도관(150)을 가로질러서 그리고 중공 도관을 통해서 연장된다. 갭(152)은시트 금속 스킨 대신에 세라믹과 같은 절연 재료로 된 링(154)에 의해 채워져서, 갭은 팽팽한 진공 상태에 있다. 제 2 절연 갭(153)이 제공되어서, 도관(150)의 한 단면이 전기적으로 부유(floating)한다. 바이어스 RF 발생기(162)는 RF 바이어스 전력을 임피던스 정합 엘리먼트(164)를 통해서 웨이퍼 지지대(115) 및 웨이퍼(120)에 인가한다.
선택적으로, 중공 도관(150)은 알루미늄 또는 알루미늄 합금과 같은 가공된 금속으로 형성될 수 있다. 유체 냉각 또는 가열을 위한 통로들은 중공 도관의 벽들에 통합될 수 있다.
선택적으로, 중공 도관(150)은 도전성 시트 금속 대신에 비도전성 재료로 형성될 수 있다. 비도전성 재료는, 예를 들면 세라믹일 수 있다. 상기 선택적인 경우에, 갭(152 또는 153)이 요구되지 않는다.
중공 도관(150)의 한쪽 면 상에 배치되고, 반토로이드형 튜브의 대칭축에 평행한 축 주위로 감싼 와인딩 또는 코일(165)과 같은 안테나(170)는 임피던스 정합 엘리먼트(175)를 통해서 RF 전력 소스(180)에 접속된다. 안테나(170)는 중공 도관(150)의 반대편 면 상에 배치되고, 1차 와인딩(165)과 동일한 방향으로 감싼 2차 와인딩(185)을 추가로 포함할 수 있어서, 양쪽 와인딩들로부터의 자기장이 구조적으로 더해진다.
챔버(100)로부터의 처리 가스들이 중공 도관(150)을 채운다. 또한, 별도의 처리 가스 공급기(190)가 가스 인입구(195)를 통해서 중공 도관(150)에 직접 처리 가스들을 공급한다. 외부 중공 도관(150)의 RF 필드는 플라즈마를 생성하기 위해서 튜브의 가스들을 이온화한다. 원형 코일 안테나(170)에 의해 유도된 RF 필드는 튜브(150)에 형성된 플라즈마가 웨이퍼(120)와 실링(110) 사이의 영역을 통해 도달해서 반토로이드형 중공 도관(150)을 포함하는 토로이드형 통로를 완성하도록 한다. 여기에 사용된 것처럼, 토로이드형이란 용어는 폐쇄된 솔리드 성질의 통로를 의미하지만, 단면 형태 또는 궤도를 의미하거나 제한하지 않고, 그 중 하나는 원형 또는 비원형 또는 사각형 또는 다른 것일 수 있다. 플라즈마는 폐쇄된 플라즈마 회로로 생각될 수 있는 완전한 토로이드형 경로 또는 영역을 통해 순환한다. 토로이드형 영역은 웨이퍼(120) 직경에 대해 연장되고, 소정의 경우에, 전체 웨이퍼 표면을 덮을 수 있도록 웨이퍼 평면에 충분한 폭을 가진다.
코일 안테나(170)로부터 RF 유도 필드는 자체가 폐쇄 자기장(모든 자기장처럼)을 포함하고, 따라서 여기 설명된 폐쇄된 토로이드형 경로를 따라서 플라즈마 전류를 포함한다. RF 유도 필드로부터의 전력은 폐쇄 경로를 따라서 일반적으로 모든 위치에서 흡수되어서, 플라즈마 이온들이 모든 경로를 따라서 생성된다. RF 전력 흡수 및 플라즈마 이온 생성률은 많은 요인들에 따라 폐쇄 경로를 따라서 다양한 위치들 사이에서 변할 수 있다. 그러나, 전류 밀도가 변할 수 있지만, 전류는 폐쇄 경로 길이에 따라서 일반적으로 균일하다. 상기 전류는 안테나(170)에 인가된 RF 신호 주파수에서 교번한다. 그러나, RF 자기장에 의해 유도된 전류가 닫혀있기 때문에, 전류는 폐쇄 경로의 회로 주위에서 보존되어야만 하고, 폐쇄 경로의 어떠한 부분에 흐르는 전류량은 일반적으로 경로의 다른 부분에서와 같다. 아래에 설명될 것처럼, 상기 사실은 본 발명에 매우 큰 장점으로 이용된다.
플라즈마 전류가 흐르는 폐쇄된 토로이드형 경로는 상기 경로를 경계짓는 다양한 도전성 표면들에서 형성된 플라즈마 시스(sheath)에 의해 경계지어진다. 상기 도전성 표면들은 시트 금속으로 된 중공 도관(150), 웨이퍼(및/또는 웨이퍼 지지대) 및 웨이퍼 위에 있는 실링을 포함한다. 상기 도전성 표면들 상에 형성된 플라즈마 시스는 작은 질량의 음전자들의 더 큰 이동도 및 큰 질량의 양이온들의 더 작은 이동도에 의한 전하 불균형의 결과로 인해서 만들어진 전하 공핍 영역들이다. 상기 플라즈마 시스는 시스 밑에 있는 국부 표면에 수직한 전기장을 가진다. 따라서, 웨이퍼 위에 있는 처리 영역을 통과하는 RF 플라즈마 전류가 제한되고 웨이퍼와 면하는 실링의 표면 및 가스 분배 플레이트와 면하는 웨이퍼 표면에 수직한 2개의 전기장들 사이를 통과한다. 시스의 두께(제품 또는 다른 전극들에 인가된 RF 바이어스를 가진)는 웨이퍼와 같은, 전기장이 작은 영역에 대해 집중된 곳에서 더 크고, 실링을 커버링하는 시스와 같은 다른 위치들 및 챔버 벽 표면들과 인접한 더 큰 곳에서 더 작다. 따라서, 웨이퍼 위에 있는 플라즈마 시스는 훨씬 더 크다. 웨이퍼 및 실링/가스 분배 플레이트의 전기장들은 일반적으로 서로에 평행하고 처리 영역에 RF 플라즈마 전류 흐름 방향에 수직이다.
RF 전력이 코일 안테나(170)에 처음 인가될 때, 중공 도관(150) 내의 가스들로부터 용량 결합 플라즈마를 점화시키기 위해서 갭(152)에서 방전이 발생한다. 그 후에, 중공 도관(150)을 통해 플라즈마 전류가 증가함에 따라, 플라즈마가 유도 결합 플라즈마가 되도록 RF 필드의 유도성 결합이 더 우세하게 된다. 선택적으로, 플라즈마는 제품 지지대 또는 다른 전극에 인가된 RF 바이어스와 같은 다른 수단에의해 개시될 수 있다.
웨이퍼 주변부의 에지 효과를 피하기 위해서, 포트들(150, 160)은 웨이퍼 직경을 초과하는 거리만큼 분리된다. 예를 들면, 12 인치 웨이퍼에 대해서, 포트들(150, 160)은 대략 16 내지 22 인치만큼 이격된다. 8 인치 웨이퍼에 대해서, 포트들(150, 160)은 10 내지 16 인치만큼 이격된다.
본 발명의 장점:
본 발명의 분명한 장점은 RF 유도 결합 필드로부터 전력이 비교적 긴 토로이드형 경로(즉, 웨이퍼와 반응기 실링 사이의 갭 길이가 비교적 긴)를 통해서 흡수되어서, RF 전력 흡수가 큰 면적에 걸쳐서 분배된다는 것이다. 결과적으로, 웨이퍼-대-실링 갭(즉, 절연 갭(12)과 혼동하지 않고서, 도 2에 가장 잘 도시된 처리 영역(121))의 근방의 RF 전력이 비교적 낮아서, RF 필드로부터 디바이스 손상을 감소시킨다. 반대로, 유도 결합 반응기들에 앞서서, 모든 RF 전력은 좁은 웨이퍼-대-실링 갭 내에서 흡수되어서, 그 영역에서 매우 집중된다. 또한, 그러한 사실은 웨이퍼-대-실링 갭을 좁히는 능력을 제한하거나(다른 장점들을 추구하여) 또는 선택적으로, 웨이퍼 영역에 더 큰 RF 전력 집중을 요구한다. 따라서, 본 발명은 당해 기술분야에서 오랫동안 지속되어온 제한을 극복한다. 상기 형태는 여기에서 이전에 설명한 것처럼, 웨이퍼 위에 있는 처리 영역 또는 처리 존의 부피에 있어서의 급격한 감소를 통해 반응 가스들의 존재 시간을 감소시킴으로써 처리 효율을 증가시킨다.
관련되고 훨씬 더 중요한 장점은 웨이퍼 표면에서의 플라즈마 밀도가 코일 안테나(170)에 인가된 RF 전력을 증가시키지 않고서 급격하게 증가될 수 있다는 것이다(더 큰 효율을 만든다). 이는 토로이드형 경로의 체류 경로에 관한 지지대 표면 및 웨이퍼(120)의 근방의 토로이드형 경로의 단면적을 감소시킴으로써 달성된다. 웨이퍼 근처에서만 플라즈마 전류의 토로이드형 경로를 제한함으로써, 웨이퍼 표면 근처의 플라즈마 밀도는 비례해서 증가된다. 이는 중공 도관(150)을 통한 토로이드형 경로의 플라즈마 전류가 지지대-대-실링(웨이퍼-대-실링) 갭을 통한 플라즈마 전류와 적어도 거의 같아야만 하기 때문이다.
종래 기술과의 분명한 차이점은 제품과 떨어진 RF 필드만이 아니라, 이온 밀도가 인가된 RF 필드를 증가시키지 않고서 웨이퍼 표면에서 증가될 수 있고, 플라즈마 이온 밀도 및/또는 인가된 RF 필드가 최소 웨이퍼-대-실링 갭 길이를 증가시키지 않고서 증가될 수 있다는 것이다. 이전에, 플라즈마 밀도의 증가는 웨이퍼 표면에서 강한 필드를 피하기 위해서 웨이퍼-대-실링 갭의 증가를 필요로 했다. 반대로, 본 발명에서, 증가된 플라즈마 밀도는 웨이퍼 표면에서 RF 자기장의 동반 증가를 피하기 위해서 웨이퍼-대-실링 갭의 증가를 요구하지 않고서 구현된다. 이는, RF 필드가 웨이퍼로부터 떨어져 인가되고 또한 웨이퍼 표면에서 플라즈마 밀도의 증가를 구현하기 위해서 증가될 필요가 없기 때문이다. 결과적으로, 웨이퍼-대-실링 갭은 많은 장점들을 달성하기 위해서 기본적인 제한까지 감소될 수 있다. 예를 들면, 웨이퍼 위의 실링 표면이 도전성인 경우, 웨이퍼-대-실링 갭을 감소시키는 것은 전기 또는 도전성 실링 표면에 의해 제공된 접지 기준을 개선한다. 최소 웨이퍼-대-실링 갭 길이에 대한 기본적인 제한은 웨이퍼 표면 및 실링 표면 상의 플라즈마 시스들의 두께의 합이다.
본 발명의 부가 장점은 RF 유도 필드가 RF 플라즈마 전류의 전체 토로이드형 경로를 따라서 인가되기 때문에(RF 전력 흡수가 위에서 설명된 것처럼 분배되도록), 대부분의 다른 유도성 전력 반응기들과 다르게 챔버 실링(110)이 유도 필드에 대한 윈도우로서 기능할 필요가 없고, 따라서 높은 도전성과 두께를 금속들과 같은 고정의 재료로 형성될 수 있고, 따라서 예를 들면 아래 설명될 것처럼 도전성 가스 분배 플레이트를 포함할 수 있다. 결과적으로, 실링(110)은 지지대 또는 웨이퍼(120)의 전체 평면에 신뢰할 수 있는 전위 및 기준 접지를 제공한다.
플라즈마 이온 밀도 증가:
웨이퍼 위에 플라즈마 경로의 단면적을 감소시킴으로써 웨이퍼 표면 근처에 더 높은 플라즈마 밀도를 구현하는 한 가지 방법은 웨이퍼-대-실링 갭 길이를 감소시키는 것이다. 이는 실링 높이를 단순히 감소시키거나 또는 도 2에 도시된 것처럼 도전성 가스 분배 플레이트 또는 웨이퍼 위에 샤워헤드를 도입함으로써 달성될 수 있다. 도 2의 가스 분배 샤워헤드(210)는 가스 공급기(125)에 접속되고 많은 가스 노즐 개구들(230)을 통해 웨이퍼(120) 위에 처리 영역과 연통하는 가스 분배 플레넘(220)을 포함한다. 도전성 샤워헤드(210)의 장점은 두 가지이다: 첫 번째로, 웨이퍼에 대한 가까운 위치에 의해서, 웨이퍼 표면 위의 플라즈마 경로를 제한해서 그 근방에서 플라즈마 전류 밀도를 증가시키는 것이다. 두 번째로, 전체 웨이퍼 표면에 걸쳐서 균일한 전위 기준 또는 접지를 제공한다.
개구들(230)에 아크를 피하기 위해서, 각각의 개구(230)는 밀리미터 단위로 비교적 작을 수 있다(예, 구멍 직경이 대략 0.5mm). 인접한 개구들 사이의 공간은 수 밀리미터일 수 있다.
도전성 샤워헤드(210)는, 플라즈마 시스가 플라즈마에 잠긴 샤워헤드 표면의 부분 주위에 형성되기 때문에 그 자체로 단락회로를 제공한다기 보다 플라즈마 전류 경로를 제한한다. 시스는 웨이퍼(120)와 샤워헤드(210) 사이의 공간보다 플라즈마에 대한 더 큰 임피던스를 가지고, 따라서 모든 플라즈마 전류가 도전성 샤워 헤드(210) 주위를 흐른다.
웨이퍼 위에 있는 처리 영역 근방의 토로이드형 플라즈마 전류 또는 경로를 제한하기 위해서 샤워헤드(예, 샤워헤드(210))의 사용이 필수적인 것은 아니다. 경로 제한 및 처리 영역에 플라즈마 이온 밀도의 연속적인 증가는 웨이퍼-대-실링 높이를 단순하게 감소시킴으로써 샤워헤드(210) 없이도 달성될 수 있다. 상기 방식에서 샤워헤드(210)가 제거된 경우, 처리 가스들은 종래의 가스 인입 노즐들(도시되지 않음)에 의해서 챔버 내부로 공급될 수 있다.
샤워 헤드(210)의 한 가지 장점은, 예를 들면 포토레지스트에서 균일한 플라즈마 효과들을 정교하게 조절하기 위해서, 반응 및 비활성 처리 가스의 다양한 혼합 비율들이 다양한 반경에서 다양한 오리피스들(230)을 통해 도입될 수 있다는 것이다. 따라서, 예를 들면 반응 가스에 대한 불활성 가스의 더 큰 비율이 중앙 반경 밖에 있는 오리피스들(230)에 공급될 수 있는 반면에, 비활성 가스에 대한 반응가스의 더 큰 비율은 상기 중앙 반경 내의 오리피스들(230)에 공급될 수 있다.
아래 설명될 것처럼, 토로이드형 플라즈마 전류 경로가 웨이퍼 위에 있는 처리 영역에서 제한될 수 있는(웨이퍼 위의 플라즈마 이온 밀도를 증가시키기 위해서) 또 다른 방법은 웨이퍼 지지대에 인가된 RF 바이어스 전력을 증가시킴으로써 웨이퍼 상의 플라즈마 시스 두께를 증가시키는 것이다. 이전에 설명된 것처럼, 처리 영역에 걸친 플라즈마 전류가 웨이퍼 표면에 플라즈마 시스와 실링(또는 샤워 헤드) 표면에 플라즈마 시스 사이에서 제한되기 때문에, 웨이퍼 표면에서 플라즈마 시스 두께를 증가시키는 것은 처리 영역 내의 토로이드형 플라즈마 전류의 부분 단면적을 반드시 감소시키고, 따라서 처리 영역의 플라즈마 이온 밀도를 증가시킨다. 따라서, 본 명세서에서 나중에 더 자세히 설명될 것처럼, 웨이퍼 지지대 상의 RF 바이어스 전력이 증가됨에 따라, 웨이퍼 표면 근처의 플라즈마 이온 밀도는 상응하게 증가된다.
높은 에칭 속도에서 높은 에칭 선택도:
본 발명은 높은 밀도의 플라즈마에서 종종 발생하는 나쁜 에칭 선택도의 문제점을 해결한다. 도 1 및 2의 반응기는 고밀도 유도 결합 플라즈마 반응기에 근접하는 높은 에칭률을 제공하면서, 용량 결합 플라즈마 반응기(대략 7:1) 만큼 높은 실리콘 이산화물-대-포토레지스트 에칭 선택도를 가진다. 상기 이유는 도 1 및 2의 반응기 구조가 웨이퍼(120) 위의 플라즈마 영역에서 자유 플루오르의 입사를 감소시키기 위해서 반응 처리 가스, 일반적으로 플루오르카본 가스의 분해도를 감소시키가 때문이다. 따라서, 플루오르카본 가스로부터 분해된 다른 종들에 관한 플라즈마의 자유 플루오르의 비율은 바람직하게 감소된다. 상기 다른 종들은 플루오르카본 처리 가스로부터 플라즈마에 형성되고 보호성 중합체 코팅으로 포토레지스트 상에 증착된 보호성 카본-리치 중합체 전구체 종들을 포함한다. 그것들은 또한 플루오르카본 처리 가스로부터 플라즈마에 형성된 CF 및 CF2와 같은 무반응성 에천트 종들을 포함한다. 자유 플루오르는 포토레지스트 및 실리콘 이산화물을 공격하는 만큼 활발하게 그 위에 형성된 보호성 중합체 코팅을 공격하려는 경향이 있어서, 산화물-대-포토레지스트 에칭 선택도를 감소시킨다. 다른 한 편으로, CF 및 CF2와 같은 무반응성 에칭 종들은 포토레지스트 및 더 천천히 그 위에 형성된 보호성 중합체 코팅을 공격하려는 경향이 있어서, 뛰어난 에칭 선택도를 제공한다.
자유 플루오르에 대한 플라즈마 종들의 분해의 감소가 플라즈마의 반응 가스의 체류시간을 감소시킴으로써 본 발명에서 달성된다. 이는 CF2및 CF와 같은 플루오르카본 처리 가스로부터 플라즈마에서 초기에 분해되는 더 복잡한 종들이 최종적으로 자유 플루오르를 포함한 더 단순한 종들로 분해되기 때문이고, 분해의 마지막 단계는 플라즈마에서 가스의 체류시간에 의존한다. 본 명세서에서 사용된 "잔류 시간" 또는 "체류 시간"이라는 용어는 일반적으로 처리 가스 분자와 그 분자들로부터 분해된 종들이 제품 또는 웨이퍼 위에 있는 처리 영역에 존재하는 평균시간에 상응한다. 분자 및/또는 분자의 분해된 생성물이 처리 구역을 통해 연장되는 위에 설명된 폐쇄 토로이드형 경로를 따라서 처리 영역 밖으로 통과될 때까지, 상기 시간 또는 지속은 처리 영역으로 분자의 초기 주입으로부터 연장된다.
위에 언급된 것처럼, 본 발명은 플루오르카본 처리 가스의 처리 영역에 체류 시간을 감소시킴으로써 에칭 선택도를 증가시킨다. 체류 시간의 감소는 웨이퍼(120)와 실링(110) 사이의 플라즈마 부피를 제한함으로써 달성된다.
웨이퍼-대-실링 갭 또는 부피의 감소는 바람직한 효과들을 가진다. 첫째로, 웨이퍼 위의 플라즈마 밀도를 증가시키고 에칭률을 증가시킨다. 둘째로, 체류 시간은 부피가 감소됨에 따라 줄어든다. 위에 언급된 것처럼, 작은 부피가 본 발명에서 가능한데, 왜냐하면 종래의 유도 결합 반응기들과 다르게, RF 소스 전력이 웨이퍼 위에 있는 처리 영역의 제한들 내에 놓이지 않고, 전력이 플라즈마 전류의 전체 폐쇄 토로이드형 경로를 따라서 분배되기 때문이다. 따라서, 웨이퍼-대-실링 갭은 RF 유도 필드의 스킨 깊이 이하일 수 있고, 실제로 매우 작아서 처리 영역으로 도입된 반응 가스들의 체류 시간을 분명하게 감소시킬 수 있는 분명한 장점을 가진다.
플라즈마 경로 횡단면을 줄여서 그에 따른 웨이퍼(120)에 대한 부피를 감소시키는 두가지 방식이 존재한다. 하나는 웨이퍼-샤워헤드의 갭 간격을 감소시키는 것이다. 다른 하나는, 위에서 간단히 설명한 바와 같이, RF 바이어스 전력 발생기(162)에 의해 웨이퍼 지지대(115)에 인가된 바이어스 RF 전력을 증가시킴으로써 상기 웨이퍼에 대한 플라즈마 시스 두께를 증가시키는 것이다. 상기 방법들은 광 방출 분광법(OES : optical emission spectroscopy) 기술을 사용하여 관찰되는 것처럼, 웨이퍼(120) 주변에서 플라즈마의 자유 플루오르 함량의 감소(결과적으로는 유전체 - 포토레지스트 에칭 선택성의 증가)를 가져온다.
에칭 선택성을 증가시키기 위해 자유 플루오르 함유를 감소시키는, 본 발명의 추가적인 세 가지 방법이 있다. 한가지 방법은 아르곤과 같은 비-화학적 반응성 희석제의 가스를 플라즈마로 유도하는 것이다. 화학적 반응성의 처리 가스들(플루오르화 카본 가스들)이 상기 샤워헤드(210)를 통해서만 상기 챔버 내로 진입하는 반면, 아르곤 가스는 상기 제 2 처리 가스 공급기(190)으로부터 중공 도관(150)으로 직접 주입함으로써 처리 영역 상부 또는 외부에 유도될 수 있다. 이러한 이로운 배열들로써, 아르곤 이온들, 중성자들, 및 여기된 중성자들은 새롭게 유입된 반응성(예를 들어, 플루오르화 카본) 가스들을 희석시킴으로써 상기 웨이퍼에 대한 상기 가스들의 잔류시간을 효과적으로 감소시키기 위해서, 웨이퍼 표면에 대한 처리 영역을 통해서 토로이드형 경로에서 플라즈마 전류를 전달한다. 플라즈마의 자유 플루오르 함유를 감소시키는 다른 방법은 챔버의 압력을 감소시키는 것이다. 또 다른 방법은 코일 안테나(170)에 인가된 RF 소스 전력을 감소시키는 것이다.
도 3은 웨이퍼-샤워헤드 갭 간격이 감소됨에 따라 플라즈마의 자유 플루오르 함유가 감소되는, 본 발명에서 관찰되는 경향을 도시하는 그래프이다. 도 4는 웨이퍼 지지대(115)에 인가된 플라즈마 바이어스 전력을 감소시켜서 플라즈마의 자유 플루오르 함유가 감소되는 방법을 도시한 그래프이다. 도 5는 코일 안테나(170)에 인가된 RF 소스 전력을 감소시켜서 플라즈마의 자유 플루오르 함량이 감소되는 방법을 도시한 그래프이다. 도 6은 챔버 압력을 감소시킴으로써 자유 플루오르 함량이 감소되는 방법을 도시하는 그래프이다. 도 7은 원통형 엔클로저(150)로의 희석제(아르곤 가스) 유속을 증가시킴으로써 플라즈마의 자유 플루오르 함유가 감소되는 방법을 도시한 그래프이다. 도 3 내지 도 7의 그래프들은 단지 다수의 OES 관찰로부터 도출된 플라즈마 특성 경향을 나타내는 것이지 실제의 데이터를 나타내는 것은 아니다.
본 발명의 폭이 넓은 프로세스 윈도우:
챔버 압력은 일반적으로 0.5 T 보다 작고 1 mT 만큼 낮을 수 있다. 처리 가스는 150 cc/m 의 아르곤으로 약 15 cc/m 의 유속으로 가스 분배 샤워헤드를 통해 챔버(100)로 C4F8주입될 수 있는데, 이때 챔버 압력은 약 20 mT로 유지된다. 대안으로써, 아르곤 가스 유속은 650 cc/m으로 증가될 수 있고, 챔버 압력은 60 mT로 증가될 수 있다. 안테나(170)는 13 MHz, 약 500 와트의 RF 전력으로써 여기될 수 있다. 웨이퍼-샤워헤드 간격은 약 0.3 인치 내지 2 인치 일 수 있다. 웨이퍼 지지대에 인가된 바이어스 RF 전력은 13 MHz, 2000 와트 일 수 있다. 주파수에 있어 다른 선택들이 가능하다. 코일 안테나(170)에 인가된 소스 전력은 50 KHz 만큼 낮을 수 있거나 13 MHz의 몇 배 또는 그 이상만큼 클 수도 있다. 웨이퍼 지지대에 인가된 바이어스 전력 또한 동일하다.
도 1 및 도 2의 반응기용 프로세스 윈도우는 기존의 유도 결합 반응기용 프로세스 윈도우보다 상당히 더 넓다. 이는 도 8의 그래프에서 도시되는데, 이는 기존의 유도성 반응기와 도 1 및 도 2의 반응기에 대한 RF 소스 전력 함수로서 자유플루오르의 특정 중성자 자속을 나타낸다. 상기 기존의 유도 결합 반응기를 위해, 도 8은 소스 전력이 50 와트 내지 100 와트 사이를 초과할 때 자유 플루오르의 특정 자속이 급격히 증가하기 시작하는 것을 나타낸다. 반대로, 도 1 및 도 2의 반응기는 상기 자유 플루오르의 특정 자속이 급격히 증가하기 시작하기 전에 1000 와트로 근접하는 소스 전력 레벨을 수용할 수 있다. 그러므로, 본 발명의 소스 전력 프로세스 윈도우는 기존의 유도 결합 반응기의 것보다 대략 더 넓은 크기이고, 이는 중요한 이점이다.
본 발명의 두 가지 이점들:
웨이퍼 또는 제품 근처의 토로이드형 플라즈마 전류 경로의 구조는 다른 수행 범주 상에 심각한 트레이드오프 없이 두 개의 독립적인 이점들을 발생시킨다 : (1) 웨이퍼에 대한 플라즈마 밀도성은 플라즈마 소스 전력에 있어 어떠한 증가도 필요로 하지 않으면서 증가된다. (2) 위에서 설명한 바와 같이, 포토레지스트 도는 다른 물질에 대한 에칭 선택성은 증가된다. 종래의 플라즈마 반응기들에 있어서, 에칭 선택성을 증가시키는 단계와 동일한 단계에 의해 플라즈마 이온 밀도를 증가시키는 것이 불가능하지 않다면, 그것은 비실용적이라는 것이 정설이었다. 따라서, 본 발명의 토로이드형 플라즈마 소스에 의해 실현된 두 가지 이점들은 종래 기술로부터의 혁명적 출발을 보여준다.
다른 실시예들:
도 9는 도 1의 경우를 변형한 것인데, 이때 측면 안테나(170)는 천장(110)과 중공 도관(150) 사이의 빈 공간 안에 고정되는 더 작은 안테나(910)로 대체된다. 상기 안테나(910)는 상기 중공 도관(150)에 대해 중심이 맞춰진 단일 코일 와인딩이다.
도 10 및 도 11은 도 1의 경우에 있어서 천장(110) 및 중공 도관(150) 사이의 공간을 통해 연장하는 자기적으로 투과 가능한 단락된 코어(1015)를 추가함으로써 어떻게 향상되는지를 도시한다. 상기 코어(1015)는 상기 안테나(170)에서 상기 중공 도관(150) 내부의 플라즈마로의 유도 결합을 증강시킨다.
임피던스 정합은 임피던스 정합 회로(175) 없이, 대신에 동조 커패시터(1130) 양단에 연결된 코어(1015) 주위의 2차 와인딩(1120)을 사용하여 달성될 수 있다. 상기 동조 커패시터(1130)의 커패시턴스는 RF 전원(180)의 주파수로 상기 2차 와인딩(1120)을 공진시키도록 선택된다. 고정된 동조 커패시터(1130)에 대해, 동적 임피던스 정합은 주파수 동조 및/또는 순방향 전력 서보에 의해 제공될 수 있다.
도 12는 중공 튜브 엔클로저(1250)가 반응기의 하부 주위에서 연장하고 챔버의 하부 바닥의 한 쌍의 개구부들(1260, 1265)를 통해 챔버의 내부와 통하는 경우의 본 발명을 도시한다. 코일 안테나(1270)는 도 1의 경우의 방법으로 중공 튜브 엔클로저(1250)에 의해 제공된 토로이드형 경로의 옆을 뒤따른다. 도 12에서는 주 챔버의 하부면에 연결된 진공 펌프(135)를 도시하지만, 대신에 상기 펌프는 또한 하부에 놓이는 도관(1250)에 연결될 수도 있다.
도 13은 도 10 및 도 11의 경우의 변화를 도시하는데, 여기서 안테나(170)는 코어(1015)의 상단 부분을 둘러싸는 유도성 와인딩(1320)으로 대체된다. 편리하게, 상기 와인딩(1320)은 상기 도관(150) 상부(하부보다 바람직함)의 코어(1015) 부분을 둘러싼다. 그러나, 상기 와인딩(1320)은 상기 코어(1015)의 임의의 부분을 둘러쌀 수 있다.
도 14는 도 13의 개념을 확장하는 것으로써, 제 2 중공 튜브 엔클로저(1450)가 상기 제 1 중공 도관(150)에 평행하게 움직이고, 제 2 토로이드형 플라즈마 전류를 위한 평행한 토로이드형 경로를 제공한다. 상기 튜브 엔클로저(1450)는 천장(110)의 각 개구부들을 통해 각 단부들에서 챔버 내부와 통한다. 자기적 코어(1470)는 두 개의 튜브 엔클로저들(150, 1450) 아래에서 상기 코일 안테나(170)를 통해 연장한다.
도 15는 도 14의 개념을 확장하는 것으로써, 평행한 중공 튜브 엔클로저들의 어레이(1250a, 1250b, 1250c, 1250d)는 반응기 챔버를 통해 다수의 토로이드형 플라즈마 전류 경로들을 제공한다. 도 15의 경우에 있어서, 플라즈마 이온 밀도는 독립적 RF 전원(180a-d) 각각에 의해 구동되는 각 개별적 코일 안테나(170a-d)에 의해 각 개별적 중공 도관(1250a-d)에서 독립적으로 제어된다. 각각의 원통형 개방 코어들(1520a-d)은 각 코일 안테나들(170a-d) 내로 분리 삽입될 수 도 있다. 이 경우에 있어서, 상대적인 중심-에지 이온 밀도 분포는 개별적 RF 전원(180a-d)을 개별적으로 조정하여 조정될 수도 있다.
도 16은 도 15의 경우의 변형으로써, 튜브 엔클로저들의 어레이(1250a-d)는천장(110)을 통하기보다는 반응기의 측면 벽을 통해 확장한다. 도 16에 도시된 다른 변형은 모든 튜브 엔클로저들(1250a-d)에 가깝고, 단일 RF 소스가 모든 튜브 엔클로저들(1250a-d)의 플라즈마를 여기시키도록 그 주위에 감싸여진 안테나(170)를 갖는 단일의 공통 자기 코어(1470)를 사용한다.
도 17a는 천장(110)의 각 포트들을 통해 연장하고 각각의 코일 안테나들(170-1 및 170-2)에 의해 여기되는 한 쌍의 직교 튜브 엔클로저들(150-1 및 150-2)을 도시한다. 개별 코어들(1015-1 및 1015-2)은 각각의 코일 안테나들(170-1 및 170-2) 내에 존재한다. 이러한 경우에는 증강된 균일성을 위해서 웨이퍼(120)에 대한 두 개의 상호 직교의 토로이드형 플라즈마 전류 경로들을 생성한다. 상기 두 개의 직교 토로이드형 또는 단락된 경로들은 도시된 바와 같이 분리되어 독립적으로 전력이 인가되지만, 상기 웨이퍼의 상부에 놓인 처리 영역에서 교차하고, 그렇지 않으면 상호 작용이 일어나지 않는다. 각각 직교 경로들에 하나씩 인가된 플라즈마 소스 전력의 개별적인 제어를 보장하기 위해서, 도 17의 각 RF 발생기들(180a, 180b)의 주파수는 다른데, 이는 임피던스 정합 회로들(175a, 175b)의 동작이 디커플링 되도록 하기 위함이다. 예를 들어, 상기 RF 발생기(180a)는 11 MHz의 RF 신호를 발생시킬 수 있는데 반해, 상기 RF 발생기(180b)는 12 MHz의 RF 신호를 발생시킬 수 있다. 대안으로써, 독립적인 동작은 두 개의 RF 발생기(180a, 180b)의 위상을 오프셋시킴으로써 달성될 수도 있다.
도 17b는, 방사상의 날개들(181)이 웨이퍼 지지체의 상부에 놓이는 처리 영역을 통해 두 개의 각 도관들(150-1, 150-2)의 토로이드형 플라즈마 전류들을 안내하기 위해 도용될 수도 있다. 상기 방사상의 날개들(181)은 챔버의 측면들 가까이의 각 도관의 개구부들 사이에서 상기 웨이퍼 지지대 에지까지 연장한다. 상기 방사상의 날개들(181)은 하나의 토로이드형 경로에서 다른 토로이드형 경로로의 플라즈마 전환을 방지하는데, 이는 두 개의 플라즈마 전류들이 오직 상기 웨이퍼 지지체의 상부에 놓이는 처리 영역에서만 교차하도록 하기 위함이다.
큰 직경의 웨이퍼들에 적합한 경우들:
더 작은 디바이스 크기들 및 더 높은 디바이스 조밀성 쪽으로의 최근 산업 경향에 추가하여, 다른 경향은 더 큰 웨이퍼 직경이다. 예를 들어, 12 인치 직경의 웨이퍼들이 현재 생산에 막 들어갔고, 아마도 더 큰 직경의 웨이퍼들은 미래에 이루어질 것이다. 그 이점은 웨이퍼 당 더 많은 개수의 집적 회로 다이로 인한 더 큰 생산량에 있다. 그 단점은, 플라즈마 프로세싱에서 큰 직경의 웨이퍼에 대해 균일한 플라즈마를 유지하는 것은 더 어렵다는 것이다. 본 발명의 다음 경우들은 12 인치 직경의 웨이퍼와 같은 큰 직경의 웨이퍼의 전체 표면에 대해 균일한 플라즈마 이온 밀도 분포를 제공하기 위해 특정하게 적응된다.
도 18 및 도 19는 중공의 튜브 엔클로저(1810)로서, 절연 간격(1852)을 포함하는 도 1의 중공 도관(150)의 평평하게 된 넓은 사각형의 버전이다. 상기 버전은 12 인치 직경의 웨이퍼 또는 제품과 같은 큰 직경의 웨이퍼를 균일하게 커버하기에 더 적합한 넓은 "벨트"의 플라즈마를 생산한다. 튜브 엔클로저, 및 천장(110)의 한 쌍의 개구부들(1860, 1862)의 넓이(W)는 약 5 % 또는 그 이상 웨이퍼를 초과한다. 예를 들어, 만일 웨이퍼 직경이 10 인치라면, 사각형의 튜브 엔클로저(1850)의 넓이(W) 및 개구부들(1860, 1862)의 넓이(W)는 약 11 인치이다. 도 20은 도 18 및 도 19의 사각형의 튜브 엔클로저(1850)의 변형된 버전(1850')을 도시하는데, 이때 외부의 튜브 엔클로저 부분은(1850)은 제한된다.
도 20은 엔클로저(1850)의 제한 부분과 비제한 부분 사이의 트랜지션에서 집속 자석들(1870)의 광적 사용을 도시한다. 상기 집속 자석들(1870)은 상기 엔클로저(1850)의 제한 부분 및 비제한 부분 사이의 더 나은 플라즈마 이동을 촉진시키고, 특정하게는 상기 플라즈마가 상기 튜브 엔클로저(1850)의 제한 부분(1864) 및 비제한 부분 사이의 트랜지션에 대해 이동할 때 상기 플라즈마의 좀 더 균일한 확산을 촉진시킨다.
도 21은 다수의 원통형 자기적 코어들(2110)이 튜브 엔클로저(1850)에 의해 한정된 외부 영역(2120)을 통해 어떻게 삽일될 수 있는지를 도시한다. 상기 원통형 코어들(2110)은 일반적으로 상기 튜브 엔클로저(2110)의 대칭축에 평행하다. 도 22는 도 21의 경우의 변형으로써, 상기 코어들(2110)은 튜브 엔클로저(1850)에 의해 둘러싸여진 외부 영역(2120)을 통해 완전하게 연장하고, 외부 영역(2120)의 각 절반에서 짧아진 코어 쌍(2210, 2220)으로 대체된다. 측면 코일들(165, 185)은 각 코어 쌍들(2210, 2220)을 둘러싸는 코일 와인딩 쌍(2230, 2240)으로 대체된다. 이러한 경우에 있어서, 코어 쌍들(2210, 2220) 사이의 변위(D)는 웨이퍼 주변의 이온 밀도에 대한 웨이퍼 중심 근처의 이온 밀도를 조정하여 변화될 수도 있다. 더 넓은 변위(D)는 웨이퍼 중심 근처의 유도 결합을 감소시키므로 웨이퍼 중심의 플라즈마 이온 밀도를 감소시키게 된다. 그러므로, 추가적 제어 엘리먼트가 웨이퍼 표면에 대해 이온 밀도의 공간적 분포를 정확하게 조정하기 위해 제공된다. 도 23은 도 22의 경우의 변화를 도시하는 것으로써, 별도의 와인딩들(2230, 2240)이 코어 쌍(2210, 2220)에 대해 중심이 맞추어진 단일 중심 와인딩(2310)으로 대체된다.
도 24 및 도 25는 웨이퍼 표면에 대해 상당히 좋은 플라즈마 균일성을 제공하는 경우를 도시한다. 도 24 및 도 25의 경우에 있어서, 두개의 토로이드형 플라즈마 전류 경로들이 설정되는데, 서로 횡단하고 서로 직교를 이룬다. 이는, 제 1 튜브 엔클로저(1850)에 대해 직각으로 횡단하여 연장하는 제 2 넓은 사각형의 중공 엔클로저(2420)를 제공하여 이루어진다. 상기 제 2 튜브 엔클로저(2420)는 천장(110)을 통해 쌍 형태의 개구부들(2430, 2440)을 통해 챔버 내부와 통하고, 절연 간격(2452)를 포함한다. 상기 제 2 튜브 엔클로저(2420)의 측면들을 따라 한 쌍의 측면 코일 와인딩(2450, 2460)은 그 안에 플라즈마를 유지시키고, 임피던스 정합 회로(2480)를 통해 제 2 RF 전력 공급기(2470)에 의해 구동된다. 도 24에 나타난 바와 같이, 두개의 직교 플라즈마 전류들은 웨이퍼 표면에 대해 부합하고 상기 웨이퍼 표면에 대해 좀 더 균일한 플라즈마 커버리지를 제공한다. 이러한 경우는 10인치 이상의 직경들의 큰 웨이퍼들을 처리하기 위해 사용하는데 특히 바람직한 것으로 예상된다.
도 17의 경우에서처럼, 도 24의 경우는 향상된 균일성을 위해 웨이퍼(120) 상부에 2개의 상호 직교하는 토로이드형 플라즈마 전류 경로들을 생성한다. 2개의 직교 토로이드형 또는 폐쇄된 경로들은 도시된 것처럼 분리되고 별개로 전력 공급되지만, 웨이퍼 상부에 놓이는 처리 영역에서 상호 교차하며, 서로 상호작용되거나 전환되거나 확산되지 않는다. 직교 경로들 중 각각 하나에 인가되는 플라즈마 전원의 개별 제어를 보장하기 위해, 도 24의 각각의 RF 발생기들(180, 2470)의 주파수가 서로 상이하므로, 임피던스 매칭 회로들(175, 2480)의 동작은 해제된다. 예를 들어, RF 발생기(180)는 11 ㎒에서 RF 신호를 생성하는 반면, RF 발생기(2470)는 12 ㎒에서 RF 신호를 생성한다. 선택적으로, 2개의 RF 발생기들(180, 2470)의 위상들을 오프셋함으로써 독립적인 동작이 달성될 수 있다.
도 26은 도 18의 변형예로서, 절연 갭(2658)을 포함하는 변형된 사각형 엔클로저(2650)가 실링(110)을 통해서가 아니라 챔버 측벽(105)을 통해서 챔버 내부와 연결되는 것을 나타낸다. 이러한 목적으로, 사각형 엔클로저(2650)는 수평 상부 섹션(2652)의 각 단부에서 하향으로 연장하는 한 쌍의 레그들(2654), 및 상기 하향 연장되는 레그들(2654) 중 각각 하나의 저면 단부에서 측벽(105)의 각 개구(2670, 2680)로 각각 연장되는 수평으로 내향하게 연장되는 한 쌍의 레그들(2656)를 갖는다.
도 27은 절연 갭(2752)을 포함하는 제 2 사각형 튜브 엔클로저(2710)가 도 26의 경우에 부가될 수 있는 방법을 나타내는 것으로서, 제 2 튜브 엔클로저(2710)는 사각형 튜브 엔클로저들(2650, 2710)이 상호 직교하는(또는 적어도 서로 교차하는) 것을 제외하고는 도 26의 사각형 튜브 엔클로저(2650)와 동일하다. 제 2 사각형 튜브 엔클로저는 개구(2720)를 포함하는 측벽(105)을 통과하는 각각의 개구들을 통해 상기 챔버 내부와 연결된다. 도 25의 경우에서처럼, 튜브 엔클로저(2650,2710)는 더 넓은 웨이퍼 직경에 대해 뛰어난 균일성을 제공하도록 상기 웨이퍼 표면에 대해 일치하는 상호 직교하는 토로이드형 플라즈마 전류들을 형성한다. 플라즈마 전원은 측면 코일 와인딩들(165, 185, 2450, 2460)의 각 쌍들을 통해 튜브 엔클로저들의 내부에 인가된다.
도 28a는 측면 코일들(165, 185, 2450, 2460)이 2개의 사각형 튜브 엔클로저들(2650, 2710)에 의해 둘러싸인 외부 영역(2860)내에 놓이는 한 쌍의 상호 직교하는 내부 코일들(2820, 2840)로 대체될 수 있는 방법을 도시한다. 코일들(2820, 2840) 중 각각 하나는 사각형 튜브 엔클로저들(2650, 2710) 중 해당하는 하나에서 토로이드형 플라즈마 전류를 형성한다. 코일들(2820, 2840)은 동일한 위상 또는 다른 위상으로 서로 다른 주파수들 또는 동일한 주파수에서 완전히 독립적으로 구동될 수 있다. 또는, 상기 코일들은 결합된 토로이드형 플라즈마 전류가 전원 주파수에서 바뀌도록 하는 위상차(즉, 90도)로 동일한 주파수에서 구동될 수 있다. 이 경우, 코일들(2820, 2840)은 도 28a에 도시된 것처럼, 통상의 신호 발생기(2880)의 사인 및 코사인 성분들로 각각 구동된다. 그 장점은 플라즈마 전류 경로가 플라즈마 이온 주파수를 초과하는 회전 주파수에서 웨이퍼 표면에 대해 방위각으로 회전함으로써, 훨씬 더 낮은 주파수에서 회전되는 MERIE형 반응기들과 같은 종래기술 방법들보다 불균일성들이 보다 양호하게 방지될 수 있다는 것이다.
도 28b를 참조하면, 일반적으로, 코일(2820)내에서 서로 떨어지거나 서로를 향해 축상으로 이동될 수 있는 한 쌍의 원통형 자기 코어들(2892, 2894), 및 코일(2840)내에서 서로 떨어지거나 서로를 향해 축상으로 이동될 수 있는 한 쌍의 원통형 자기 코어들(2896, 2898)을 제공함으로써, 플라즈마 이온 밀도가 방사형으로 조절될 수 있다. 각 쌍의 코어들이 서로를 향해 이동함에 따라, 직교하는 플라즈마 전류들 각각의 중심 근처에서 유도 결합이 상기 전류의 에지에 비해 증가하기 때문에, 웨이퍼 중심에서 플라즈마 밀도는 일반적으로 증가된다. 따라서, 중심-대-에지 플라즈마 이온 밀도는 코어들(2892, 2894, 2896, 2898)을 이동시킴으로써 제어될 수 있다.
도 29는 단일 플리넘으로 구성된 반응기의 중심축 둘레로 360도 연장되는 단일 엔클로저(2910)에 2개의 튜브 엔클로저들(2650, 2710)이 결합되는, 본 발명의 선택적 실시예를 도시한다. 도 29의 경우, 플리넘(2910)은 하프-돔의 하부벽(2920), 및 상기 하부 벽(2920)과 일반적으로 합치되는 하프-돔의 상부 벽(2930)을 갖는다. 따라서, 플리넘(2910)은 상부 및 하부 하프-돔 벽들(2920, 2930) 사이에 간격이다. 절연 갭(2921)은 상부 돔 벽(2920) 둘레로 연장될 수 있고, 및/또는 절연 갭(2931)은 하부 돔 벽(2930) 둘레로 연장될 수 있다. 플리넘(2910)은 챔버의 대칭축 둘레로 360도 연장되는 실링(110)의 환형 개구(2925)를 통해 챔버 내부와 연결된다.
플리넘(2910)은 실링(110) 상부의 영역(2950)을 완전히 둘러싼다. 도 29의 경우에서, 플라즈마 전원은 상호 직교하는 한 쌍의 코일들(2960, 2965)에 의해 플리넘(2910)의 내부에 결합된다. 플리넘(2910)의 중심을 통과하는 수직형 도관(2980)을 통해 코일들(2960, 2965)과의 접속이 이루어진다. 바람직하게는, 코일들(2960, 2965)은 도 28의 경우와 같은 위상으로 구동되어 방위각으로 에워싸이는 토로이드형 플라즈마 전류(즉, 웨이퍼의 평면내에서 둘러싸이는 플라즈마 전류)를 달성할 수 있다. 회전 주파수는 인가되는 RF 전력의 주파수이다. 선택적으로, 코일들(2960, 2965)은 서로 다른 주파수들에서 개별적으로 구동될 수 있다. 도 30은 도 29의 경우의 상부 단면도이다. 도 31a 및 31b는 각각 도 30에 상응하는 전면 및 측면 단면도들이다.
상호 직교하는 코일들(2960, 2965)의 쌍은 360/n도 떨어져 배치된 와인딩 축들을 갖는 별도로 구동되는 임의의 개수(n)의 코일들로 대체될 수 있다. 예를 들어, 도 32는 2개의 코일들(2960, 2965)이 120도 간격들로 배치된 와인딩 축들을 갖는 3개의 코일들(3210, 3220, 3230)로 대체되고, 각각의 임피던스 매칭 회로들(3241, 3251, 3261)을 통해 각각 3개의 RF 공급기들(3240, 3250, 3260)에 의해 구동된다. 회전하는 토로이드형 플라즈마 전류를 형성하기 위해, 3개의 와인딩들(3210, 3220, 3230)은 도 33에 도시된 것처럼, 공통 전원(3310)으로부터 120도 반전된 위상으로 구동된다. 코일들간의 많은 상호 결합이 수직형 도관(2980)을 통과하는 것이 아니라 그 주위에서 이루어지기 때문에, 도 32 및 33의 경우들은 2개의 코일들만을 갖는 도 29의 경우에 비해 바람직하다.
도 34는 3개의 코일들이 엔클로징 영역(2950)의 외부에 있고, 그 인덕턴스들이 도관(2980)을 통해 연장되는 각각의 수직형 자기 코어들(3410)에 의해 상기 엔클로징 영역(2950)에 결합되는 것을 나타낸다. 각각의 코어(3410)는 각각의 코일들(3210, 3220, 3230)이 감겨진 도관(2980) 상부에서 연장되는 하나의 단부를 갖는다. 각 코어(3410)의 저면은 엔클로징 영역(2950) 내부에 있고, 수평 레그를 갖는다. 3개의 코어들(3410)의 수평 레그들은 도 32에서처럼 상기 엔클로징 영역 내부의 3개의 코일들에 의해 제공되는 것과 유사한 플리넘(2910)의 내부에 유도성 결합을 제공하도록 120도 간격으로 배향된다.
도 18-28의 경우들의 패턴화된 사각형 튜브 엔클로저들의 장점은 튜브 엔클로저의 넓은 폭과 비교적 낮은 높이로 인해 토로이드형 플라즈마 전류가 넓고 얇은 밸트의 플라즈마가 되도록 함으로써, 대직경 웨이퍼의 전체 표면을 보다 용이하게 커버할 수 있다. 전체의 튜브 엔클로저는 최대 폭으로 이루어질 필요는 없다. 대신, 챔버 내부로부터 가장 멀리 떨어진 튜브 엔클로저의 외부 섹션은 도 20의 경우를 참조하여 전술한 바와 같이, 좁혀질 수 있다. 이 경우, 상기 좁은 부분(1852)에 존재하는 플라즈마 전류가 넓은 부분(1851)의 전체 폭에 대해 전체적으로 확산되도록 넓은 부분(1851)과 좁은 부분(1852) 사이의 전환 코너(transition corner)들에 포커싱 자석들(1870)을 제공하는 것이 바람직할 수 있다. 웨이퍼 표면에서 플라즈마 이온 밀도를 최대하길 원한다면, 좁은 부분(1852)의 횡단면은 적어도 거의 넓은 부분(1851)의 횡단면만큼 큰 것이 바람직하다. 예를 들어, 좁은 부분(1852)은 경로일 수 있고, 그 높이 및 폭은 대략 동일한 반면, 넓은 부분(1851)은 그 폭보다 작은 높이를 가질 수 있다.
에어-코어 코일들로서 본 발명에서 기술되는 다양한 경우들(즉, 자기 코어 없는 코일들)은 그 대신 자기 코어들을 사용할 수 있으며, 이는 첨부된 도면들에 도시된 개방-자기-경로 타입 또는 폐쇄-자기-코어 타입일 수 있다. 더욱이, 서로 다른 RF 주파수들로 구동되는 2개 이상의 토로이드형 경로들을 갖는 본 발명에서기술되는 다양한 경우들은 동일한 주파수, 및 동일한 위상 또는 상이한 위상들로 구동될 수 있다.
도 35는 도 17의 경우의 버전으로서, 상호 교차하는 중공 도관들이 도 20의 경우에서처럼 좁혀진다.
도 36은 도 24의 경우의 버전으로서, 각각의 RF 전원과의 접속을 위해 감기는 각각의 와인딩들(3630, 3640)을 갖는 한 쌍의 자기 코어들(3610, 3620)을 사용한다.
도 37은 도 35와 동일한 경우로서, 챔버로의 총 6개의 재진입 포트들을 갖는 2개의 재진입 도관들 대신에 3개의 재진입 도관들을 갖는다. 대칭 배치된 도관들 및 재진입 포트들이 2개보다 많은 개수를 가지는 것(도 37의 경우에서처럼)이 300 mm 이상 직경의 웨이퍼들을 처리하기 위해 특히 바람직하다고 판단된다.
도 38은 도 38의 경우에 해당하는 경우로서, 챔버로의 총 6개의 재진입 포트들을 갖는 2개의 재진입 도관들 대신에 3개의 도관들을 갖는다.
도 39는 도 35와 동일한 경우로서, 외부 도관들이 공통 플리넘(3910)에 함께 연결된다.
도 40은 도 36에 해당하는 경우로서, 외부 도관들이 공통 플리넘(4010)에 함께 연결된다.
도 41은 도 37에 해당하는 경우로서, 외부 도관들이 공통 플리넘(4110)에 함께 연결된다.
도 42는 도 38에 해당하는 경우로서, 외부 도관들이 공통 플리넘(4210)에 함께 연결된다.
도 43은 도 17에 해당하는 경우로서, 외부 도관들이 공통 플리넘(4310)에 함께 연결된다.
바람직한 특징들:
웨이퍼와 인접한 토로이드형 플라즈마 전류를 제한하는 것은 에칭 선택성을 개선할 뿐만 아니라, 이와 동시에 플라즈마 이온 밀도를 증가시킴으로써 에칭 속도를 증가시킨다. 종래기술의 반응기는 제품에 대한 에칭 속도 또는 플라즈마 이온 밀도를 증가시키는 동일한 메커니즘에 의해 에칭 선택성을 증가시키지 못했다.
웨이퍼 또는 제품에 인접한 토로이드형 플라즈마 전류를 제한함으로써 에칭 선택성을 개선하는 것은 몇가지 방법들 중 임의의 한가지 방법으로 본 발명에서 달성될 수 있다. 한가지 방법은 지지대-대-실링 또는 웨이퍼-대-실링 높이를 감소시키는 것이다. 다른 방법은 웨이퍼 상부에 가스 분배 플레이트 또는 샤워헤드를 도입함으로써 토로이드형 플라즈마 이온 전류의 경로를 제한하는 것이다. 또 다른 방법은 웨이퍼 또는 제품에 인가되는 RF 바이어스 전력을 증가시키는 것이다. 본 발명을 수행하는 통상의 당업자에 의해 에칭 선택성을 개선하는 전술한 방법들 중 임의의 하나 또는 임의의 조합이 선택될 수 있다.
에칭 선택성은 본 발명에서 원격으로(즉, 도관 또는 플리넘으로) 불활성 희석 가스(예, 아르곤)를 주입하면서, 반응성 처리 가스들을 국부적으로(즉, 웨이퍼 또는 제품 근처) 주입함으로써 추가로 개선될 수 있다. 이것은 제품 지지부 인접하는 상부에 직접 가스 분배 플레이트 또는 샤워헤드를 제공하고, 상기 샤워헤드를 통해 반응성 처리 가스를 전체적으로(또는 적어도 현저하게) 유입시킴으로써 달성될 수 있다. 동시에, 웨이퍼 또는 제품 상부에 놓이는 처리 영역으로부터 떨어진 도관으로 희석 가스가 주입된다. 따라서, 토로이드형 플라즈마 전류는 웨이퍼상의 물질들의 반응성 이온 에칭을 위한 플라즈마 이온들의 소스가 될 뿐만 아니라, 이와 더불어 원치 않는 양의 자유 플루오르를 생성하는 지점에서 플라즈마-유도 분리 처리가 수행되기 이전에 반응성 처리 가스 종 및 플라즈마-분리 후개체를 일소하기 위한 에이전트가 된다. 반응성 처리 가스 종의 잔류 시간의 이러한 감소로 인해 포토레지스트 및 다른 물질들에 비해 에칭 선택성을 증가시키는 큰 장점이 있다.
토로이드형 플라즈마 전류로의 RF 플라즈마 전원 인가에 있어서 큰 유동성이 제공된다. 전술한 바와 같이, 전력은 통상 안테나에 의해 토로이드형 플라즈마 전류에 유도성 결합된다. 많은 경우들에서, 안테나는 근접 또는 인접시킴으로써 외부 도관 또는 플리넘에 결합된다. 예를 들어, 코일 안테나는 도관 또는 플리넘과 나란히 연장될 수 있다. 그러나, 다른 경우들에서는 안테나는 도관 또는 플리넘 및 메인 반응기 엔클로저(예, 실링) 사이에 엔클로징된 영역에 한정된다. 이 경우, 안테나는 도관과 나란하지 않고 도관의 "아래"에 있는 것으로 간주될 수 있다. 엔클로징 영역(도관 및 메인 챔버 엔클로저 사이)을 통해 연장되는 자기 코어(코어들)를 가지고, 상기 엔클로징 영역 위로 연장부를 가짐으로써 더 큰 유동성이 제공되며, 상기 안테나는 코어의 연장부 둘레에 감긴다. 이러한 경우, 안테나는 자기 코어를 통해 유도성 결합되므로, 도관에서 토로이드형 플라즈마 전류에 인접할 필요가 없다. 그러한 경우, 폐쇄된 자기 코어가 사용되며, 안테나는 토로이드형 플라즈마 전류 또는 도관으로부터 가장 멀리 떨어진 코어의 섹션에 감긴다. 따라서, 상기 안테나는 자기 코어를 통해 토로이드형 플라즈마 전류에 원격 결합됨으로써, 플라즈마 챔버로부터 완전히 떨어진 지점과 같이, 거의 임의의 장소에 위치될 수 있는 효과가 있다.
마침내, 매우 큰 직경의 웨이퍼 또는 제품의 표면에 대한 플라즈마 분포가 균일해진다. 이것은 임의의 한 경우에서, 바람직하게는 웨이퍼의 폭을 초과하는 폭을 갖는 넓은 플라즈마 밸트로서 토로이드형 플라즈마 전류를 형상화함으로써 달성된다. 또 다른 경우에, 웨이퍼 표면에 걸친 플라즈마 이온 밀도의 균일성은 웨이퍼 위의 처리 영역에서 교차하는 둘 또는 그 이상의 상호 횡단 또는 직교 토로이드형 플라즈마 전류를 제공함으로써 달성된다. 토로이드형 플라즈마 전류는 360/n 만큼 서로로부터 상호 오프셋되는 방향들로 흐른다. 각각의 토로이드형 플라즈마 전류는 매우 큰 직경의 웨이퍼를 커버하기 위하여 넓은 플라즈마 벨트로서 형성될 수 있다. 각각의 토로이드형 플라즈마 전류는 그 토로이드형 플라즈마 전류의 방향을 따라 정렬된 별개의 코일 안테나에 의해 전력을 공급받을 수 있다. 바람직한 경우에, 균일성은 웨이퍼 위에 놓이는 처리 영역에서 회전하는 토로이드형 플라즈마 전류를 얻기 위하여 각각의 코일 안테나에 상이한 위상의 RF 신호를 인가함으로써 개선된다. 이러한 바람직한 경우에, 최적 구조는 토로이드형 플라즈마 전류가 천장 또는 측벽에 존재하는 원형으로 연속적인 환형 개구를 통해 주 챔버 부분과 통신하는 원형으로 연속적인 플리넘으로 흐르는 구조이다. 이러한 후자의 특징은전체 토로이드형 플라즈마 전류가 연속적인 방식으로 방위각으로 회전하게 한다.
플라즈마 이온 밀도의 방사상 분포 제어:
도 44는 한 쌍의 직교 외부 재진입 튜브(150-1, 150-2)를 구비하는 도 17a에 도시된 것과 유사한 플라즈마 반응기를 도시한다. RF 전력은, 도 17a를 참조하여 앞서 설명한 바와 같이, 각각의 RF 구동 코일(170-1, 170-2)에 의해 여기되는 각각의 환형 자기 코어(1015-1, 1015-2)에 의해 상기 튜브들 내로 결합된다. 그러나, 도 44에서, 외부 튜브들(150-1, 150-2)은 단면이 둥글기보다는 오히려 도 24에서와 같이 직사각형이다. 게다가, 하부 튜브(150-1)의 수평 단면은 평평하지 않고 중간에 침하부(dip)(4410)를 갖는다. 침하부(4410)는 상부 외부 튜브(150-2)가 반응기 천장(110)에 더 가까이 자리잡게 한다. 이러한 특징은 상부 튜브(150-2)에서의 경로 길이를 단축시키고, 그에 의하여 상부 튜브(150-2)에서의 플라즈마 손실을 감소시킨다. 사실, 침하부의 형태(4410)는 상부 및 하부 외부 튜브(150-1, 150-2)를 통한 경로 길이를 적어도 거의 균등하게 하도록 선택될 수 있다. 도 44의 반응기는 도 2 및 도 26의 반응기들과 같이 천장(110) 상에 존재하고 (또는 천장(110) 그 자체를 형성하고) 웨이퍼(120) 위에 놓이는 가스 분배 플레이트(210)를 구비한다.
침하부(4410)는 수직 공간이 천장(110)의 최상부 표면과 침하부(4410)의 정점에서 하부 튜브(150-1)에 형성된 바닥 코너(4422) 사이에 남아있다는 점에서 제한된다. 수직 공간은 웨이퍼(120)의 중심 위에서 플라즈마 이온 밀도를 개선하는 전자석 어셈블리(4430)를 수용한다. 전자석 어셈블리(4430)는 (예를 들어) 철 또는 강철과 같은 자화가능 금속으로 형성된 좁고 가늘고 긴 원통형 극편(4440) 및 극편(4440) 둘레에 감긴 절연된 전도성 와이어(예를 들어, 구리 와이어)로 이루어진 코일(4450)을 포함한다. 극편(4440)의 원통형 축은 원통형 챔버(100)의 대칭축과 일치하여, 극편(4440)의 축은 웨이퍼(120)의 중심과 교차한다. 코일(4450)은 극편(4440) 상에서 직접 감길 수 있거나, 도 45에 도시된 바와 같이, 극편(4440)을 에워싸는 맨드릴(mandril)(4460) 둘레에 감길 수 있다. 도 45는 코일(4450)이 천장(110) 위에서 연장되는 극편(4440)의 섹션(4440-1) 둘레에 감길 수 있음을 보여준다. 천장(110) 안쪽에 있는 극편(4440)의 하부 섹션(4440-2)은 가스 분배 플레이트(210)의 가스 매니폴드(220) 내에서 종결된다.
효율성을 위하여, 가스 분배 플레이트(210) 내부에서의 가스 흐름을 방해하지 않으면서 실제로 가능한 만큼 플라즈마에 근접하게 플라즈마-한정 자기장의 소스를 배치시키는 것이 바람직하다. 이러한 목적을 위하여, 가스 매니폴드(220) 안쪽에 있는 하부 극편 섹션(4440-2)의 부분은 극편(4440)을 종결시키는 매우 좁은 원통형 단부 피스(4470)이다. 단부 피스(4470)는 플라즈마 상의 자기장의 효과를 향상시키기 위하여 가스 분배 플레이트의 바닥 근처에서 극편(4440)의 자기장 선들을 연장한다. 단부 피스(4470)의 직경은 단부 피스가 가스 매니폴드(210) 내에서의 가스 흐름을 상당히 간섭하지 않도록 충분히 줄어든다. 더욱이, 그러한 줄어든 직경은 중심축에 더 가까이 자기장의 방사상 성분의 피크를 가져온다.
도 46은 니플(nipple)(4477)에서 종결되는 테이퍼링된 바닥(4475)을 갖는 단부 피스(4470)의 한 가지 경우를 도시한다. 도 47은 바닥(4476)이 평평한 단부 피스(4470)의 경우를 도시한다. 도 48은 바닥(4478)이 둥근 단부 피스(4470)의 경우를 도시한다.
한 가지 구현예에서, 극편(4440)은 약 3.5 cm의 직경(그 결과 대략 60회 권선 코일(4450)의 직경이 약 6 cm임) 및 약 12 cm의 길이를 갖는다. 극편(4440)은 약 1 cm 직경의 더 작은 직경 연장과 함께 약 2 cm(총 약 14 cm로) 연장된다. 극편(4440)의 연장 영역의 바닥은 플라즈마 영역의 최상부로부터 약 1.5 cm이다. 극편(4440)의 재료 조성은 최소 자화력 및 전류로 극편(4440) 아래의 영역에서 자속 밀도를 최대화시키기 위하여 충분히 높은 투자율(예를 들어, μr > 또는 = 100) 및 높은 포화 자속 밀도(예를 들어, Bsat > 1000 gauss)를 갖도록 선택된다. 자기 경로는 극편(4440)으로 "개방"되기 때문에(극편 내에서 패쇄되지 않음), 유효 투자율이 재료 투자율에 비해 감소된다. 극편(4440)의 길이/직경 비율에 따라, μr "유효도"는 전형적으로 대략 10 정도로 감소된다.
철과 같은 자기 재료의 선택적인 실드(4479)는 전자석 어셈블리(4430)의 D.C. 자기장으로부터 상기 튜브 쌍(150-1, 1502) 내의 플라즈마를 차폐한다. 실드(4479)는 오버헤드 플레이트(4479a) 및 원통형 스커트(skirt)(4479b)를 포함한다.
도 45에 도시된 가스 분배 플레이트(210)의 경우에, 최상부 플레이트(4480)는 방사상으로 내부 및 외부 섹션들(4480a, 4480b)로 분할되고, 각각의 섹션은 그것을 관통하여 연장되는 다수의 작은 가스 흐름 홀들(4481)을 구비하며, 내부 및 외부 섹션들은 천장(210)의 바닥 표면을 지지하는 수직 벽들을 형성하는 환형 플랜지들(4482-1, 4482-2, 4482-3, 4482-4)을 구비하며, 그에 의해 환형 플랜지들(4482-1, 4482-2, 4482-3, 4482-4)은 환형 플랜지들(4482-2, 4482-3)에 의해 형성되는 벽에 의해 분리되는 내부 및 외부 가스 매니폴드들(4483a, 4483b)을 형성한다. 한 가지 경우로, 내부 및 외부 가스 매니폴드 사이에 아무런 벽이 없고, 그 결과 상기 벽이 야기할 수 있는 챔버 내 가스 분배의 임의의 불연속을 피한다. 최상부 플레이트(4480) 아래의 가스 혼합층(4484)은 가스 흐름을 순전히 수직인 흐름 방향으로부터 전환하여, 상이한 분자량을 가진 가스들의 균일한 혼합을 개선시키는 다방향(또는 난류식) 가스 흐름을 유도한다. 상기와 같이 순전히 하방의 흐름 방향으로부터 가스 흐름을 전환시키는 것은 고속 가스 흐름 효과를 억제하는 부가된 이점을 갖고, 여기서, 웨이퍼 바로 위에서 가스 분배 플레이트 오리피스들을 관통하는 고속 가스 흐름은 프로세스 균일성을 방해하는 웨이퍼 표면 상의 프로세스 가스의 국부화된 농도를 형성할 것이다. 고속 가스 흐름 효과의 억제는 균일성을 개선한다.
가스 혼합층(4484)은 당업계에 공지된 유형의 금속 또는 세라믹 폼으로 구성될 수 있다. 또는, 도 49에 도시된 바와 같이, 가스 혼합층(4484)은 복수 개의 천공 플레이트(4484-1, 4484-2)로 구성될 수 있고, 각각의 천공 플레이트는 그곳을 관통하여 드릴링된 다수의 작은 가스 오리피스를 구비하며, 하나의 천공 플레이트 내 홀들은 나머지 천공 플레이트의 홀로부터 오프셋된다. 가스 분배 플레이트(210)의 바닥 플레이트(4485)는 바닥 플레이트(4485)의 최상부에 있는 큰 카운터보어 홀(counterbored hole)들(4487)과 함께 상기 바닥 플레이트(4485)를 관통하여드릴링된 다수의 서브-밀리미터 가스 주입 홀들(4486)을 갖는다. 일 실시예에서, 서브-밀리미터 홀들은 직경 10 내지 30 밀리 사이에 있었고, 카운터보어 홀들은 대략 직경 0.06 인치였으며, 바닥 플레이트(4485)는 약 0.4 인치의 두께를 가졌다. 천장(110)을 관통하는 내부 및 외부 가스 공급 라인들(4490, 4492)은 내부 및 외부 최상부 플레이트들(4480a, 4480b)에 가스를 공급하여, 챔버의 방사상 내부 및 외부 존에서의 가스 흐름은 프로세스 균일성을 조정하는 방식으로서 독립적으로 제어될 수 있습니다.
전자석 어셈블리(4430)에 의해 생성된 D.C. 자기장의 방사상 성분은 플라즈마 이온 밀도의 방사상 분포에 영향을 미치고, 자기장의 이러한 방사상 성분은 챔버의 중심 근처에서 플라즈마 이온 밀도를 개선하기 위하여 이용될 수 있다. 웨이퍼 중심 위의 플라즈마 이온 밀도의 상기 개선은 웨이퍼 중심 근처에 플라즈마를 한정하는 경향이 있는 방사각의 플라즈마 전류를 생성하는 웨이퍼 표면에서의 플라즈마 덮개 전기장과 D.C. 자기장 방사상 성분의 상호작용으로부터 발생한다. D.C. 자기장의 부재시, 챔버 중심에서의 감소된 플라즈마 이온 밀도 현상은 웨이퍼(120)의 중심에 근접하게 한정된 매우 작은 원형 존 위에서 연장되는데, 이는 일반적으로 도 44의 반응기가 심지어 보정 자기장(correcting magnetic field)의 부재시에도 예외적으로 균일한 플라즈마 이온 밀도를 갖는 경향이 있기 때문이다. 따라서, 중심이 낮은 플라즈마 이온 밀도의 보정은 챔버 또는 웨이퍼(120)의 중심 바로 근처에 상대적으로 큰 방사상 성분을 갖는 D.C. 자기장을 요구한다. 자기 극편(4440)의 작은 직경은 웨이퍼(120) 중심(또는 챔버의 중심) 바로 근처에 큰 방사상 성분을 갖는 자기장을 생성한다. 종래의 관행에 따라, 중심은 반경이 제로인 원통형 챔버의 대칭축이다. 도 51은 웨이퍼(120)와 가스 분배 플레이트(210) 사이에 있는 웨이퍼(120) 위의 처리 영역의 입면도로 자기장의 분포를 도시한다. 도 51의 벡터들은 여러 위치들에서 자기장의 방향을 나타내는 정규화된 벡터들이다. 도 52는 방사상 위치의 함수로서 자기장의 방사상 성분의 자속 밀도를 도시하고, 하나의 커브는 가스 분배 플레이트(210)의 바닥 표면 근처의 방사상 자속 밀도를 나타내고, 다른 커브는 웨이퍼(120)의 표면 근처의 방사상 자속 밀도를 나타낸다. 방사상 자기장 성분의 자속 밀도의 피크는 중심에, 즉, 천장 및 웨이퍼 둘 다에서 단지 1 인치의 반경에서 매우 근접해 있다. 그리하여, 자기장의 방사상 성분은 플라즈마 이온 밀도가 최하인 경향을 가진 매우 작은 직경 영역 근처에 조밀하게 집중된다. 그리하여, 전자석 어셈블리(4430)에 의해 생성된 D.C. 자기장의 방사상 성분의 분포는 대체로 챔버 중심 근처의 낮은 플라즈마 이온 밀도의 영역과 일치한다.
앞서 언급된 바와 같이, D.C. 자기장의 방사상 성분은, 대체로 플라즈마의 방사상 진행을 방해하는 방사각 지향 힘을 생성하기 위하여 웨이퍼 중심 근처에서 플라즈마 덮개의 수직 배향 전기장과 상호작용한다. 결과적으로, 웨이퍼 중심 근처의 플라즈마는 상기 영역 내의 프로세싱을 개선시키기 위하여 가두어진다.
에칭 반응기의 전자석 어셈블리(4430)을 사용하는 것에 대한 기본 접근법은 전형적으로 중심에서의 플라즈마 이온 밀도를 개선시킴으로써 웨이퍼 표면에 걸쳐 가장 균일한 에칭율 방사상 분포를 생성하는 코일 내 D.C. 전류 흐름을 발견하는것이다. 이것은 웨이퍼-대-천장 갭이 비교적 작은(예를 들어, 1 인치) 경우에서의 가장 가망성있는 접근법인데, 그 이유는 그러한 작은 갭이 전형적으로 웨이퍼 상에서 중심이 낮은 에칭율 분포를 야기하기 때문이다. 더 큰 갭(예를 들어, 2 인치 이상)을 갖는 반응기들에 대하여, 에칭율 분포는 중심이 낮지 않을 수 있으므로, 상이한 D.C. 전류가 필요할 수 있다. 물론, 전자석 어셈블리(4430)는 웨이퍼 표면에 걸친 플라즈마 이온 밀도의 개선된 균일성을 요구하는 응용예들에 한정되지 않는다. 전자석 어셈블리에 대한 소정의 응용예들은 플라즈마 이온 밀도가 덜 균일하도록 하는 전자석 코일 전류를 요구할 수 있다. 예를 들어, 상기 응용예들은 에칭될 필드 산화물 박막층이 불균일한 두께 분포를 갖는 경우와 관련될 수 있고, 그리하여, 균일한 결과는 단지 불균일한 필드 산화물 두께 분포를 보상하는 불균일 플라즈마 이온 밀도 분포를 제공함으로써 달성될 수 있다. 그러한 경우에, 전자석 어셈블리의 D.C. 전류는 필수적인 불균일 플라즈마 이온 분포를 제공하도록 선택될 수 있다.
도 45에 도시된 바와 같이, 플라즈마 반응기는 에칭 프로세스 동안에 웨이퍼(120)에 걸친 에칭율 분포를 관찰할 수 있는 통합형 비율 모니터(4111) 세트를 포함할 수 있다. 각각의 모니터(4111)는 홀들이 에칭되고 있는 동안 콘택 홀들의 바닥으로부터 반사된 광의 간섭 줄무늬(interference fringe)들을 관찰한다. 광은 레이저로부터 나올 수 있거나 플라즈마의 발광(luminescence)일 수 있다. 그러한 실시간 관찰은 전자석 어셈블리(4430)에 인가되는 D.C. 전류를 변화시킴으로써 즉시 보상될 수 있는 웨이퍼에 걸친 에칭율 분포의 변화를 결정할 수 있게 한다.
도 53은 내부 및 외부 가스 공급 라인(4490, 4492)으로의 프로세스 가스 흐름을 독립적으로 제어하는 한 가지 방법을 보여준다. 도 53에서, 내부 가스 공급 라인(4490)에 연결된 가스 유량 제어기 세트(5310, 5320, 5330)는 내부 가스 공급 라인(4490)에 각각 아르곤, 산소 및 C4F6와 같은 플루오르-카본 가스를 공급한다. 다른 가스 유량 제어기 세트(5340, 5350, 5360)는 외부 가스 공급 라인(4492)에 각각 아르곤, 산소 및 C4F6와 같은 플루오르-카본 가스를 공급한다. 도 54는 내부 및 외부 가스 공급 라인(4490, 4492)에 프로세스 가스 흐름을 독립적으로 제어하는 또다른 방법을 보여준다. 도 54에서, 가스 유량 제어기의 단일 세트(5410, 5420, 5430)는 가스 스플리터(5440)에 프로세스 가스들(예를 들어, 아르곤, 산소 및 플루오르-카본 가스)을 공급한다. 가스 스플리터(5440)는 각각 내부 및 외부 가스 공급 라인(4490, 4492)에 연결된 한 쌍의 가스 또는 질량 유량 제어기(MFC)(5442, 5444)를 구비한다. 부가하여, 선택적으로 다른 가스 유량 제어기(5446)는 외부 가스 공급 라인(4492)에 아르곤 또는 네온과 같은 정화 가스를 공급한다.
대형 직경 웨이퍼를 프로세싱하는데 있어 한 가지 문제점은 토로이드형 또는 재진입 플라즈마 전류가 넓은 웨이퍼 표면 위에서 고르게 분배되어야 한다는 것이다. 튜브(150)는 전형적으로 프로세스 면적에 비해 덜 넓다. 그 다음 필요사항은 플라즈마 전류가 포트(155 또는 160)를 빠져 나갈 때 넓은 프로세스 면적을 더 잘 커버하기 위하여 플라즈마 전류를 넓히는 것이다. 관련된 문제점은 도 55a에 도시된 바와 같이, 도 44의 반응기(또는 도 1-도 43의 반응기 중 임의의 것)가 불균일플라즈마 이온 밀도 및 결과적인 "열점(hot spot)" 또는 재진입 튜브(150) 포트(155 또는 160) 근처의 매우 높은 플라즈마 이온 밀도의 작은 영역(5505)의 문제점을 경험할 수 있다는 것이다. 도 55a-도 56b를 참조하면, 이러한 문제점들은 각각의 포트(예를 들어, 도 55a에 도시된 포트(155))의 입구(mouth)에 플라즈마 전류 흐름 스플리터(5510)를 도입함으로써 해결된다. 스플리터(5510)는 열점이 달리 형성될 수 있는 영역(5505)의 경계에서 플라즈마 이온 밀도를 동시에 감소시키면서 플라즈마 전류를 넓게 하는 경향이 있다. 튜브(150)는 포트(155)에서 넓혀진 종결 섹션(5520)을 가질 수 있고, 종결 섹션(5520)은 튜브(150)의 남아있는 부분의 직경에 거의 2배가 되는 직경을 갖는다. 도 55a의 플라즈마 전류 흐름 스플리터(5510)는 모양이 삼각형이고, 종결 섹션(5520)의 더 큰 직경을 더 잘 채우기 위하여 튜브(150)으로부터 챔버(100) 안으로 흐르는 플라즈마 전류가 퍼지게 하기 위하여 튜브(150)의 내부를 향하는 하나의 정점을 갖는다. 삼각형 스플리터(5510)에 의해 생성된 이러한 전류 스프레딩 결과는 플라즈마 전류를 넓이는 경향이 있고, 영역(5505) 내 "열점"을 감소 또는 제거한다.
스플리터(5510)의 최적의 형상은 대향하는 포트(155, 160)의 중심들 사이의 분리 거리(S)에 적어도 부분적으로 의존한다. 상기 스플리터가 플라즈마 흐름 방향(즉 도 55a의 수직 방향)으로 너무 길다면, 모든 전류가 스플리터(5510)의 일 측면을 따라 흐르기 때문에, 분할된 경로를 따르는 전류 흐름이 불균형하게 될 수 있다. 한편, 스플리터(5510)가 너무 짧다면, 2개의 경로들은 플라즈마 전류가 완전히 펴지기 이전에 재결합된다.
예를 들어, 12인치 직경 웨이퍼를 처리하기 위한 챔버에서, 분리 거리 S는 약 20.5인치일 수 있고, 5인치의 관 폭(w), 1.75인치의 흡출관(d) 및 8인치의 연장된 종결 섹션 폭(W)을 갖는다. 이 경우, 12인치 웨이퍼에 대한 포트(155)의 병렬 배치는 도 56c의 평면도에 도시된 바와 같다. 이러한 특정 예에서, 스플리터(5510)의 높이(h)는 약 2.5인치이어야 하고, 도 57에 도시된 것처럼, 스플리터의 정점(5510a)의 각도는 약 75도를 갖는다. 또한, 종결 섹션(5520)의 길이(L)는 스플리터(5510)의 높이(h)와 동일해야 한다.
한편, 16.5인치의 분리 거리(S)에 대한 최적의 스플리터(5510')는 도 58에 도시된다. 이 경우 스플리터 정점의 각도는 약 45도인 것이 바람직하고, 그 삼각형 부분은 스플리터(5510')가 2.5인치의 높이(h)를 갖도록 하는 길이 및 1.2인치의 폭을 갖는 사각형 부분에 종결된다. 스플리터(5510 또는 5510')의 상기 높이 및 정점각은 핫 스팟의 형성을 방지하기 위해 영역(5505)에서 플라즈마 밀도를 감소시키기에 충분해야 한다. 그러나, 높이(h)는 웨이퍼 중심에서 플라즈마 이온 밀도를 고갈시키는 것을 방지하기 위해 제한되어야 한다.
도 59a 및 도 59b는 재진입 튜브(2654)의 입구들 근처에서 플라즈마 이온 밀도 불균일성 문제를 해결하기 위한 스플리터들을 도시하며, 각각의 포트를 통과하는 플라즈마 전류 흐름은 도 26에 도시된 것처럼, 챔버 측벽(105)을 통하는 수직 방향이다. 각각의 스플리터(5910)는 포트(2680)와 접하는 그 정점(5910a)을 갖는다.
도 60, 61 및 62는 챔버 측벽(105)이 사각형 또는 정사각형이고 실링(110)을통해 수직으로 접하는 포트들(140-1, 140-2, 140-3, 140-4)이 사각형 또는 정사각형 측벽(105)의 각 코너들(105a, 105b 등) 상부에 위치된다는 것을 제외하고는 도 17a와 동일한 구성을 나타낸다. 웨이퍼(120)의 평면의 층(6020)은 각각의 포트에 인접하고, 사각형 측벽(105)의 코너-형성 단면부들과 함께, 수신되는 플라즈마 전류가 웨이퍼(120) 상부에 놓이는 처리 영역을 향하도록 한다. 영역(6030)의 플라즈마 이온 밀도에서 핫 스팟을 감소 또는 제거하기 위해, 사각형 플라즈마 전류 흐름 스플리터(6010)는 상기 코너와 접하는 그 정점(6010a)과 함께 각각의 코너(105a, 105b 등) 근처에 배치된다. 도 61의 구성에서, 스플리터(6010a)는 라운드형이지만, 다른 구성들에서 적게 라운드되거나 실제적으로 뾰족한 에지일 수도 있다. 도 63은 동일한 장치 부분을 나타내지만, 웨이퍼(120)와 접하는 스플리터(6010)의 에지(6010b)가 웨이퍼(120)에 매우 인접하도록 위치되고, 웨이퍼(120)의 원형 에지와 일치하도록 정확히 형상화된다. 도 60의 스플리터(6010)는 플로워(6020)에서 실링(110)으로 연장되는 반면, 도 64는 동일한 플라즈마 전류가 스플리터(6010)에 대해 통과할 수 있도록 스플리터(6010)의 높이가 더 작을 수 있음을 도시한다.
특정한 실시예들에 대해 이하에서 보다 상세히 논의되는 것처럼, 재진입하는 플라즈마 전류가 통과하는 전체 경로 길이는 웨이퍼 표면에서 플라즈마 이온 밀도에 영향을 미친다. 이것은 더 짧은 경로 길이가 웨이퍼 상부에 놓이는 처리 영역 내에서 더 높은 비율의 플라즈마를 배치하고, 플라즈마 이온들의 손실들에 따라 경로 길이를 감소시키며, 재진입 튜브 표면과의 플라즈마 상호작용으로 인해 표면 영역 손실들을 감소시키기 때문이다. 따라서, 더 짧은 길이의 관들(더 짧은 포트 분리 거리 S와 동일함)이 보다 효율적이다. 한편, 더 짧은 분리 거리(S)는 사각형 스플리터(5510)에 의해 그 중심에서 분리된 플라즈마 전류 흐름이 스플리터(5510)를 통과한 후 중심 영역에 재진입하여 웨이퍼 중심에서 낮은 플라즈마 이온 밀도를 방지하지 못하도록 한다. 따라서, 각각의 재진입 튜브 포트 근처의 플라즈마 핫 스팟을 방지하기 위한 노력으로서, 더 작은 포트 분리 거리(S)의 보다 높은 효율성과 웨이퍼 중심에서 플라즈마 이온 밀도를 감소시키는 위험성 사이의 조건들을 타협할 필요가 있어 보인다.
포트의 종결 섹션(5520)의 전체 폭(W)에 대해 적어도 근소하게 연장되고, 포트의 내부 에지(6610)로부터 포트의 외부 에지(6620)를 향해 플라즈마 전류 흐름이 떨어지도록 형상화되는, 사각형 스플리터(6510)를 이용함으로써, 상기한 타협은 도 65a, 65b, 66의 경우에서 개선되거나 제거된다. 이러한 특징을 통해 포트 분리 거리(S)가 변경되지 않도록 유지하지만(이에 따라 원하는 만큼 짧아질 수 있음), 스플리터의 정점(6510A)에서 웨이퍼(120)의 중심으로 플라즈마 전류 경로를 연장하는 효과가 있다. 이것은 스플리터(6510)에 의해 분할된 플라즈마 전류 흐름이 웨이퍼 또는 웨이퍼 중심에 도달하기 이전에 그 중심에서 재결합하기 위한 더 큰 가능성을 제공한다. 이러한 특징을 통해 재진입 튜브 포트들에서 플라즈마 핫 스팟들의 형성을 억제하면서 웨이퍼 중심에서 플라즈마 이온 밀도 감소를 보다 잘 방지한다.
도 65a, 65b, 및 66에 도시된 것처럼, 각각의 스플리터(6510)는 입면도로서 이등변 삼각형 형상(도 65B)과 상부로부터의 사각형 형상(도 65a)을 나타낸다. 도66의 측면도는 포트의 외부 에지(6620)를 향해 하향하게 연장되는 경사진 후방 표면(6610c)을 나타낸다. 경사진 후방 표면(6610c)은 플라즈마 전류가 후방 에지(6620)를 향하게 함으로써, 전술한 바와 같은 원하는 특징으로서 정점(6510a)의 상부로부터 웨이퍼 중심으로 경로를 효과적으로 연장할 수 있다. 포트(150)의 사각형 개구는 상부의 2″에서 하부의 약 3/4″만큼 경사진 벽 또는 경사진 후방 표면(6610b)에 의해 방사형 방향(짧은 크기)으로 좁아진다. 이것은 내부 포트 에지가 웨이퍼로부터 방사형으로 약 1-1/4″떨어지도록 한다(따라서, 효과적인 포트 분리 거리만큼 원하는 증가를 달성할 수 있음). 또한, 포트(150)는 방위 방향으로(개구(150)의 길이 또는 8″폭 크기) 전체 사각형 스플리터(6510)를 갖는다.
플라즈마 전류 스플리터(5510 또는 6510)는 스플리터의 온도를 조절하기 위해 반응기 몸체의 유사한 포트들과 결합된 냉각 포트들과 함께 연장되는 냉각 경로들을 가질 수 있다. 이러한 목적으로, 금속이 용이하게 냉각되고 내부의 냉각 경로들을 형성하도록 용이하게 가공될 수 있기 때문에, 플라즈마 전류 스플리터(5510 또는 6510)는 금속으로 형성된다. 그러나, 그 대신 스플리터(5510 또는 6510)가 예를 들어 석영과 같은 다른 물질들로 형성될 수 있다.
도 67은 반응기의 둘레를 따라 4개의 환형 전자석들(6710, 6720, 6730, 6740)을 삽입함으로써 도 24의 토로이드형 소스 반응기에서 플라즈마 균일성을 개선하는 다른 방법을 도시하고, 상기 각 전자석의 와인딩들은 자석 전류 제어기(6750)에 의해 제어된다. 4개의 전자석들에서 전류들은 3개의 모드들 중 임의의 하나로 구동될 수 있다:
(1) 제 1 모드의 사인형 모드로서, 코일들은 자기장을 형성하기 위해 직교 위상으로 동일한 저주파수 전류에서 구동되고, 상기 소스의 저주파수에서 반응기의 대칭축에 대해 회전된다;
(2) 제 2 모드의 구성 가능한 자기장 모드로서, 4개의 전자석들(6710, 6720, 6730, 6740)은 대향하는 쌍들의 인접한 전자석들로 그룹화되고, 각각의 쌍은 서로 다른 DC 전류로 구동되어 상기 인접 전자석들의 대향하는 쌍들간에 대각선으로 연장하는 자기장 기울기를 형성하며, 이러한 그룹화는 자기장 기울기가 웨이퍼에 대한 효과들을 등방성으로 분포시키기 위해 회전되도록 회전된다.
(3) 제 3 모드로서, 4개의 전자석들은 모두 동일한 DC 전류로 구동되어 반응기 챔버의 대칭축에 일반적으로 일치하는 대칭축을 갖는 첨점-형상의 자기장을 형성한다.
도 1에 도시된 것처럼, 원통형 웨이퍼 지지대(115)와 원통형 측벽(105) 사이에 펌핑 고리가 형성되고, 가스들은 진공 펌프(135)에 의해 펌핑 고리를 통해 배출된다. 각각의 재진입 튜브(150)의 대향 포트들 사이의 플라즈마 전류 흐름은 펌핑 고리를 통해 흐를 수 있고, 이에 따라 웨이퍼(120)와 가스 분배 플레이트(210) 사이의 처리 영역을 통해 흐르는 것을 방지할 수 있다. 상기 처리 영역 주위의 플라즈마 전류 흐름의 이러한 변경은 챔버 압력이 비교적 높고 웨이퍼-대-실링 갭이 비교적 작고, 또는 플라즈마의 전도성이 비교적 낮은 경우 발생할 수 있다. 이러한 변경이 발생하는 범위에서, 처리 영역의 플라즈마 이온 밀도는 감소된다. 펌핑 고리를 통해 방위 플라즈마 전류 흐름을 차단하는 방사형 날개들(6910, 6920, 6930,6940)을 도입함으로써 도 68 및 69에 도시된 것처럼 이러한 문제가 해결될 수 있다. 하나의 구성예로서, 날개들(6910, 6920, 6930, 6940)은 위로 연장되지만 웨이퍼(120)의 평면 상부로 연장되지는 않음으로써, 웨이퍼(120)의 삽입 및 제거를 가능하게 한다. 그러나, 다른 구성예로서, 상기 날개들은 웨이퍼(120) 상부에 놓이는 처리 영역 내에서 플라즈마 전류 흐름을 보다 제한하도록 웨이퍼의 평면 상부로 수축 가능하게 연장될 수 있다. 이것은 예를 들어, 웨이퍼 지지대(115)가 상기 날개들에 대해 상승 및 하강할 수 있도록 함으로써 달성될 수 있다. 각 경우에, 날개들(6910, 6920, 6930, 6940)은 펌핑 고리를 통한 플라즈마 전류 흐름을 방지하고, 만약 상기 날개들이 웨이퍼(120)의 평면 상부로 이동될 수 있다면, 상기 펌핑 고리 상부에 놓이는 상부 영역을 통하는 플라즈마 전류 흐름을 감소시킬 수도 있다. 따라서, 웨이퍼 상부에 놓이는 처리 영역으로부터 플라즈마 전류 흐름이 멀어지도록 하는 변경을 방지함으로써, 상기 영역에서 플라즈마 이온 밀도를 개선하는 동시에 처리 안정성을 개선한다.
이전에 언급한 바와 같이, RF 전력을 각각의 재진입 튜브(150)에 결합시키는데 사용되는 자기 코어는 높은 RF 전력 레벨들에서 크랙 또는 손상되는 경향이 있다. 이러한 문제는 자속이 코어 주위에서 균일하게 분포되지 않기 때문에 발생한다. 일반적으로, 코어에 감기는 와인딩은 높은 RF 전력 레벨들에서 높은 전류를 갖는다. 이러한 와인딩은 예를 들어, RF 발생기에 연결된 1차 와인딩을 공진시키는 2차 와인딩일 수 있다. 상기 2차 와인딩은 일반적으로 코어 주위의 좁은 밴드로 제한되고, 자속 및 가열은 이러한 밴드 내에서 매우 높고, 코어의 그 외 지점에서는 매우 낮다. 자기 코어는 높은 주파수들에서 자가-공진(self-resonance)을 방지하기 위해 적절한 투자율(예를 들어, 약 10 내지 200의 투자율)을 가져야 한다. 양호한 자기 코어는 열등한 열 전도체(낮은 열 전도성)로서 용이하게 가열(높은 특정 가열)되는 경향이 있으므로, 국부화된 가열에 민감할 수 있다. 가열이 높은 전류의 2차 와인딩 근처에서 국부화되고 코어는 깨지는 경향이 있기 때문에, 높은 RF 전력 레벨들(예, 5kW의 연속적인 전력)에서 크랙되거나 손상된다.
이러한 문제는 환형 코어 주위에 RF 자속 밀도를 보다 균일하게 분포시킴으로써 도 70 내지 도 74에 도시된 방식으로 해결된다. 도 70은 도 17a의 자기 코어들(1015) 중 통상적인 것을 도시한다. 코어(1015)는 페라이트와 같은 높은 투자율 물질로 형성된다. 1차 와인딩(170)은 임피던스 매칭 장치(175)를 통해 RF 발생기(180)에 선택적으로 연결된 약 2회 감긴 얇은 구리 밴드로 이루어진다. 코어(1015)에서 높은 자속에 필요한 높은 전류 흐름은 코어(1015) 주위의 공진 2차 와인딩(7010)에서 발생한다. 2차 와인딩(7010)에서 전류 흐름은 1차 와인딩의 전류 흐름 보다 약 크기 정도만큼 더 크다. 코어(1015) 주위의 자속을 균일하게 분포시키기 위해, 2차 와인딩(7010)은 환형 코어(1015) 주위에 임의로 분포된 다수의 섹션들(7010a, 7010b, 7010c 등)로 분할된다. 2차 와인딩 섹션들(7010a, 등)은 병렬로 연결된다. 이러한 병렬 커넥션은 도 71a 및 도 71b에 도시된 것처럼, 자기 코어(1015)의 대향 측면들 둘레로 연장되는 한 쌍의 원형 구리 버스들(7110, 7120)에 의해 용이해진다. 2차 와인딩들(7010a, 7010b 등) 각각의 대형 단부들은 2개의 구리 버스들(7110, 7120)의 대향 단부들에 연결된다. 구리 버스들(7110, 7120)은 매우 높은 컨덕턴스와 낮은 인덕턴스를 제공하기에 충분한 두께이므로, 2차 와인딩 섹션들(7010a, 7010b 등) 중 특정 와인딩 섹션의 방위 위치는 거의 또는 전혀 차이가 없고, 이에 따라 모든 2차 와인딩 섹션들은 1차 와인딩과 등가물로서 기능한다. 이러한 방식으로, 자기 결합은 전체 코어(1015) 주위에 균일하게 분포된다.
전술한 특징들에 의해 달성되는 자속의 균일한 분포 때문에, 1차 와인딩은 통상적으로 분포된 다수의 2차 와인딩 섹션들(7110a, 7110b, 7110c 등) 중 선택된 하나의 근처의 임의의 적절한 위치에 배치될 수 있다. 그러나, 하나의 구성예로서, 1차 와인딩은 분포된 다수의 2차 와인딩(7110a, 7110b, 7110c 등) 중 선택된 하나 상에 또는 그 둘레에 감길 수 있다.
도 72는 병렬의 2차 와인딩 섹션들(7110a, 7110b 등)에 의해 형성된 분포된 병렬 인덕턴스들을 나타내고, 도 73은 이러한 분포된 인덕턴스들의 원형 토폴러지(topology)를 나타낸다. RF 발생기(180)의 주파수에서 공진을 제공하기 위해, 분포된 다수의 커패시터들(7130)이 2개의 구리 버스들(7110, 7120)에 대해 병렬로 연결된다. 다수의 커패시터들(7030)은 자기 코어(1015) 주위에 방위각으로 분포된다. 하나의 구성예로서 각각의 커패시터(7030)는 약 100㎊이다. 2차 와인딩(7010)과 연관되는 분포된 인덕턴스들 및 커패시턴스들의 등가 회로는 도 24에 도시된다.
도 71b를 참조하면, 2차 와인딩 섹션들(7010a, 7010b 등)은 동일한 턴 수를 가질 수 있다. 도 71b의 경우, 6개의 2차 와인딩 섹션들(7010a-7010f)이 있고 각각의 섹션은 3개의 와인딩들을 갖는다. 통상의 당업자는 2차 와인딩 섹션들의 개수, 각 섹션에서 와인딩들의 개수, 및 분포된 커패시터들(7030)의 커패시턴스를 용이하게 선택함으로써, RF 발생기(180)의 주파수에서 공진을 달성할 수 있다. 코어(1015)에 감기는 1차 및 2차 와인딩들을 형성하는데 사용되는 구리 밴드 스톡(stock)은 예를 들어 0.5인치 폭과 0.020인치 두께의 구리 스트리핑(stripping)일 수 있다. 2개의 구리 버스들(7110, 7120)은 매우 두꺼운 두께(예, 0.125인치 내지 0.25인치 두께) 및 폭(예, 0.5인치 폭)을 가지므로, 매우 낮은 저항성, 낮은 인덕턴스 전류 경로들을 형성할 수 있다. 코어(1015)는 10 인치 외부 직경과 8 인치 내부 직경을 갖는 1 인치 두께의 한 쌍의 페라이트 코어들로 구성될 수 있다. 바람직하게는, 페라이트 코어(1015)는 μ= 40의 투자율을 갖는다. 전술한 상세한 설명은 단지 예로서 제공되며, 전술한 값들 중 임의의 또는 모든 값들은 서로 다른 어플리케이션들에 대해 변경을 필요로 할 수 있다(예를 들어, RF 발생기의 주파수는 변경될 수 있음).
도 71a 및 도 71b에 도시된 분포된 인덕턴스들의 특징은 높은 RF 전력 레벨들(예, 5kW)이 유지됨으로써 자기 코어의 파괴 문제를 해결한다.
도 75는 도 71a와 71b의 코어와 와인딩에 의해 형성된 등가 회로를 도시한다. 코어(1015) 둘레의 1차 와인딩(170)과 2차 와인딩(7010)에 부가하여, 도 75는 코어(1015)에 유도 결합된 플라즈마에 의해 제공되는 등가의 유도성 및 용량성 부하(load)를 도시한다. 도 70-75의 경우는 회로에 결합된 트랜스포머이다. 2차 와인딩(7010)의 목적은 코어를 통해 결합하는 전력을 강화시키기 위해 자기 코어(1015) 둘레의 높은 전류 흐름을 제공하는 것이다. 2차 와인딩(7010)은 RF 발생기의 주파수에서 공진함으로써 상기 목적을 달성한다. 따라서, 높은 전류 흐름과 자기 코어(1015)를 통해 결합하는 전력은 2차 와인딩(7010)에서 발생하여, 사실상 코어(1015)의 모든 가열이 2차 와인딩(7010)에서 발생한다. 따라서, 코어(1015)의 전체 원주 둘레에 2차 와인딩(7010)을 분배함으로써, 상기 열은 코어 둘레에 유사하게 분포하여 국부적인 가열이 방지되고 이로써 높은 RF 전력 레벨에서 코어가 파손되는 것을 방지한다.
도 71a와 71b의 분산된 와인딩 모양(feature)은 도 76의 자동 트랜스포머 회로와 같은 다른 회로 형상을 구현하는데 사용될 수 있다. 도 76의 자동 트랜스포머 회로에 있어서, 코어(1015) 둘레의 와인딩(7010)은 (도 70-74를 참조하여 상기 설명한 방식으로) 분산되고 임피던스 정합 회로(175)를 통해 RF 발생기(180)에 연결된 탭(7610)을 갖는다. 분산된 커패시터(7030)는 (상기 설명한 방식으로) 공진한다. 도 70에서와 같이, 코어(7010)는 전력이 튜브(150)의 내부와 유도적으로 결합되도록 재진입 튜브(150) 둘레에서 둘러싸인다. 도 75와 76의 회로 형상은 자기 코어(1015) 둘레에 분산된 와인딩을 사용할 수 있는 다양한 형상 중 단지 두 개의 예만을 도시한 것이다.
일 실시예에서, 임피던스 정합 회로(175a, 175b)는 각각의 RF 발생기(780a, 180b)의 주파수가 반사된 전력을 최소화시키고 순방향 또는 전달된 전력을 최대화시키는 방식으로 피드백 회로에서 제어되는 주파수 조정을 사용한다. 이러한 실시예에서, 각각의 발생기(180a, 180b)의 주파수 조정 범위는 배타적이어서, 항상 상기 발생기들의 주파수는 통상적으로 0.2 내지 2 MHz 정도의 차이로 다르다. 더욱이, 이들의 위상 관계는 임의적이다. 이러한 주파수 차이는 안정성을 향상시킬 수 있다. 예컨대, 불안정성은 동일한 주파수가 두 개의 직각 튜브(150-1, 150-2)의 모두에서 플라즈마를 여기시키는데 사용되는 경우 발생할 수 있다. 이러한 불안정성은 플라즈마 전류가 예컨대 4 개의 포트(155, 160) 중 겨우 세 개의 포트를 통해 흐르게 할 수 있다. 이러한 불안정성은 튜브 내의 토로이드형 플라즈마들 사이의 위상 차와 관련할 수 있다. 플라즈마 안정성을 촉진시키는 한가지 요소는 한 쌍의 직각 튜브(150-1, 150-2)의 두 개의 플라즈마 전류들 사이의 분리이다. 이러한 분리는 두 개의 플라즈마 전류의 플라즈마 덮개에 의해 주로 이루어진다. 또한, 각각의 재진입 튜브(150-1, 150-2)의 D.C. 브레이크 또는 갭(152)은 플라즈마 안정성을 향상시킨다.
각각의 직각 튜브의 D.C. 브레이크 또는 갭(152)은 도 44에 도시된 것처럼 챔버 실링(110) 위에 잘 놓여지지만, 실제로는 실링에 매우 밀착하거나 인접하게 위치할 수 있다. 이러한 배치는 도 77의 실시예에서 사용되었지만, 도 55a의 경우에는 단자부(5520)의 전위가 플라즈마 전위의 발진에 추종하도록 단자부(5520)를 전기적으로 플로팅(float)하게 변형된다. 이는 불균일한 플라즈마 분포를 생성하는 각각의 포트(155, 166) 부근에서의 "중공 캐소드(hollow cathode)" 효과로 불릴 수 있는 문제를 해결한다. 이러한 효과는 전자 증가 공동 효과(electron multiplication cavity effect)로 불릴 수 있다. 포트 부근의 모든 도전체가 플라즈마 전위 발진을 추종하게 함으로써, 중공 캐소드 효과는 감소되거나 거의 제거된다. 이는 재진입 튜브 단자부(5520)와 실링(110)의 상면 또는 외면 사이의 접합점에 D.C. 브레이크 또는 갭(152')을 위치시킴으로써 접지된 챔버 몸체로부터 단자부(5520)를 전기적으로 절연시켜 달성된다. 갭(152')은 도 44의 갭에 추가되거나 대체하여 배치될 수 있다. 갭(152')은 절연 환형 링(7710)으로 채워지고, 도 77의 단자부(5520)는 절연 링(7710)의 상부에 놓이는 숄더(shoulder)(7720)를 갖는다. 또한, 실링(110)과 단자부(5520) 사이에서 약 0.3 내지 3 mm의 환형 진공 갭(7730)이 존재한다. 일 실시예에서, 튜브(150)와 단자부(5520)는 단일체로서 일체형으로 함께 형성될 수 있다. 단자부(5520)는 내부 냉각 통로가 내부에 형성될 수 있도록 금속으로 형성된다.
도 44-77은 균일한 제어 자석이 상기 처리 영역에 있는 경우를 도시한다. 도 78은 자극(4440)이 처리 영역 아래에 위치하거나 웨이퍼 지지대(115) 아래에 위치할 수 있다.
작동예:
에칭 프로세스는 40 mT의 챔버 압력과 웨이퍼 지지대에 대해 4800 와트의 13.56 MHz RF 바이어스 전력이 공급되고 각각의 재진입 튜브(150)에 대해 1800 와트의 11.5 MHz 및 12.5 MHz RF 소스 전력이 각각 공급되어 블랭킷 산화물 웨이퍼에 대해 수행된다. 전자석 어셈블리(4430)에 의해 생성된 자기장은 연속하는 단계에서 다음과 같은 레벨: (a) 0 가우스, (b) 6 가우스 및 (c) 18 가우스로 설정되었다(더 용이하게 측정된 웨이퍼 중심의 자기장 축 성분이 관련된 방사형 성분보다 더 용이하게 관찰되었다). 웨이퍼 표면에서 관찰된 에칭율 분포는 (a) 0 가우스에서약 2 %의 표준 편차를 가져 중심이 낮고 (b) 6 가우스에서는 약 1.2 %의 표준 편차로 중심이 약간 빠르고 (c) 18 가우스에서는 1.4 %의 표준 편차로 중심이 빠른 것으로 각각 측정되었다. 이러한 예는 거의 이상적인 보상(단계 b)을 제공할 수 있고 전력이 과도하게 보상(단계 c)될 수 있다는 것을 보여준다.
유효한 압력 범위를 테스트하기 위해, 챔버 압력은 160 mT까지 증가되었고, 전자석의 자기장은 (a) 0 가우스, (b) 28 가우스, 그리고 마지막으로 (c) 35 가우스의 3 단계로 증가되었다(더 용이하게 측정된 웨이퍼 중심의 자기장 축 성분이 관련된 방사형 성분보다 더 용이하게 관찰되었다). 관찰된 에칭율은 (a) 약 2.4 %의 표준 편차를 가져 중심이 낮고, 약 2.9 %의 표준 편차로 중심이 빠르고, 약 3.3 %의 표준 편차로 중심이 빠르게 각각 측정되었다. 분명히, 0 부터 28 가우스까지의 단계는 과도하게 보상되어, 다소 작은 자기장이 이상적이지만 전체 활동은 전자석 어셈블리(4430)가 매우 높은 챔버 압력 범위에서 용이하게 다루어질 수 있다는 것을 보여주었다. 높은 챔버 압력에서 에칭율 분포는 중심이 심하게 낮지만, 동일한 시간에 높은 챔버 압력에 대한 감소된 충돌 거리 또는 평균 자유 경로 길이는 주어진 자기장이 플라즈마 전자 또는 이온에 영향을 주기 어렵게 하기 때문에 이러한 테스트는 엄격하게 이루어졌다. 이는 (자기장의 길이 및 전자 또는 이온의 질량에 의해 결정된) 플라즈마 전자 또는 이온의 해당 라무어(Larmour) 반경이 플라즈마 충돌 거리를 넘어서지 않는 한 자기장이 전혀 영향을 주지 않기 때문이다. 충돌 거리가 압력 증가에 의해 감소됨에 따라, 자기장 길이는 라무어 반경을 비례적으로 감소시키도록 증가되어야 한다. 상기 예는 전자석 어셈블리의 전력이 작은 라무어반경의 조건을 충족하도록 충분히 강한 자기장을 생성한다는 것을 보여준다.
에칭 프로세스의 또 다른 세트는 유사한 조건 하의 35 mT에서 포토레지스트에 의해 패터닝된 산화물 웨이퍼에 대해 수행되었는데, 전자석 어셈블리(4430)에 제공된 전류는 (a) 0 암페어, (b) 5 암페어 (c) 6 암페어 (d) 7 암페어 (8) 암페어의 5 단계로 증가되었다. (이러한 실험에서, 5 암페어의 전류는 웨이퍼 중심에서 대략 6 가우스로 측정된 자기장 축 성분을 생성하였다. 각각의 단계에서, 높은 종횡비의 콘택 개구부의 에칭 깊이는 중심-대-에지 에칭율의 균일성 제어를 테스트하기 위해 웨이퍼 중심과 웨이퍼 주변부 모두에서 측정되었다. 측정된 중심-대-에지 에칭율 차이는 각각 (a) 13.9 %로 중심이 낮고, (b) 3.3 %로 중심이 낮고, (c) 0.3 %로 중심이 낮고 (d) 2.6 %로 중심이 높고 (e) 16.3 %로 중심이 높았다. 이로부터, 최적의 중심-대-에지 균일성을 위한 이상적인 전자석 전류를 용이하게 확인한다는 것을 알 수 있는데, 이 경우에는 약 6 암페어였다.
에칭 프로세스의 세트가 도 44의 듀얼 존 가스 분배 플레이트(210)의 효율성을 테스트하기 위해 블랭킷 산화물 웨이퍼에 대해 수행되었다. 제 1 단계에서, 두 개의 존을 통과하는 가스 흐름 속도는 동일하였고, 제 2 단계에서 내부 존은 외부 존보다 4 배의 가스 흐름 속도를 가졌으며, 제 3 단계에서 외부 존은 내부 존보다 4배의 가스 흐름 속도를 가졌다. 이들 각각의 단계에서, 전류는 측정이 단지 듀얼 존 가스 분배 플레이트(210)의 효과만을 반영하도록 전자석 어셈블리(4430)에 공급되지 않았다. 제 1 단계에서 두 개의 존의 가스 흐름 속도가 동일한 경우에, 에칭 속도 분포는 약 2.3 %의 표준 편차로 다소 중심이 높았다. 내부 존 가스 흐름 속도가 외부 존보다 4배인 경우에, 에칭율 분포는 약 4 %의 표준 편차로 중심이 빨랐다. 외부 존 가스 흐름 속도가 내부 존보다 약 4배인 경우에, 에칭율 분포는 약 3.4 %의 표준 편차로 중심이 느렸다. 이는 가스 분산 플레이트(210)의 듀얼 존에서 상이한 가스 흐름 속도 특징이 에칭율 분포를 약간 수정하는데 사용될 수 있다는 것을 보여준다. 그러나, 가스 흐름 속도 제어는 유입되는 가스가 이온화되지 않기(이온화되지 않아야 하기) 때문에 중성 종 분포에만 직접 영향을 미친다. 한편, 에칭율은 플라즈마 이온 분포에 의해 직접 영향을 받지만 중성 분포에 의해서는 적어도 직접적으로는 강하게 영향을 받지 않는다. 따라서, 듀얼 존 가스 분배 플레이트에 의해 제공된 에칭율 분포 제어는, 다소의 영향을 나타내지만, 플라즈마 전자와 이로써 이온에 직접 영향을 주는 전자석 어셈블리(4430)의 자석 제한보다 거의 영향을 받지 않는다.
재진입한 토로이드형 플라즈마 전류에 대한 전자석 어셈블리(4430)의 의존성이 조사되었다. 먼저 일련의 에칭 프로세스가 토로이드형 플라즈마 소스에 전력이 공급되지 않고 블랭킷 산화물 웨이퍼에 대해 수행되었는데, 공급된 전력은 단지 웨이퍼 지지대에 공급된 3 킬로와트뿐이다. 전자석 코일 전류는 (a) 0 암페어 (b) 4 암페어 (c) 6 암페어 (d) 10 암페어의 4 단계로 증가되었다. 에칭율 분포는 상기 단계에서 (a) 약 2.87 %의 표준편차로 중심이 높고, (b) 3.27 %의 표준 편차로 중심이 높고, (c) 2.93 %의 표준 편차로 중심이 높고 (d) 약 4 %의 표준 편차로 중심이 높게 관찰되었다. 따라서, 전자석 어셈블리(4430)에 공급된 비교적 높은 D.C. 전류에 대해 균일성이 단지 약간 개선되었다. 다음에, 일련의 에칭 프로세스는1800 와트가 각각의 직각 튜브(150-1, 150-2) 각각에 공급된 것을 제외하고 유사한 조건에서 수행되었다. 전자석 코일 전류는 (a) 0 암페어 (b) 2 암페어 (c) 3 암페어 (d) 4 암페어 (e) 5 암페어 및 (f) 6 암페어의 6 단계로 증가되었다. 에칭율 분포는 상기 단계에서 (a) 1.2 %의 표준편차로 중심이 낮고, (b) 1.56 %의 표준편차로 중심이 낮고 (c) 1.73 %의 표준 편차로 중심이 높고, (d) 2.2 %의 표준 편차로 중심이 높고, (e) 2.85 %의 표준 편차로 중심이 높고, (f) 4.25 %의 표준 편차로 중심이 높게 관찰되었다. 분명하게, 가장 균일한 분포는 중심이 낮은 것에서 중심이 높게 전이되는 2 내지 3 암페어 사이에서 존재하였다. 플라즈마 분포의 매우 큰 변화는 매우 작게 변하는 작은 코일 전류를 사용하여 이루어졌다. 따라서, 재진입한 환형 플라즈마 전류의 존재는 전자석 어셈블리(4430)의 자기장에 영향을 강화시키는 것으로 나타난다. 이러한 강화는 토로이드형 플라즈마 소스가 동작할 때 가능한 바이어스 전력의 증가로부터 연장될 수 있다. 재진입한 토로이드형 플라즈마 전류가 없는 경우, 플라즈마는 거의 도전성이 아니며 플라즈마 덮개는 매우 두꺼워, 웨이퍼 지지대에 공급된 바이어스 RF 전력이 반드시 제한되어야 한다. 토로이드형 플라즈마 소스가 (두 개의 직각 튜브(150-1, 150-2) 각각에 대해 1800 와트에서) 동작할 때 플라즈마는 훨씬 도전성이 되며, 플라즈마 덮개는 더 두꺼워지고 더 많은 바이어스 전력이 공급될 수 있다. 이미 설명한 것처럼, D.C. 자기장의 효과는 D.C. 자기장과 플라즈마 덮개의 자기장간의 상호작용에 의존할 수 있으며, 이는 지지대에 공급된 RF 바이어스 전력에 의존한다. 더욱이, 재진입한 토로이드형 플라즈마 전류는 D.C. 자기장과 플라즈마 덮개의 자기장간의 상기 가정한(postulate) 상호작용으로 인해 중앙 플라즈마 영역에 끌려질 수 있다.
도 55a의 포트-대-포트 분리 거리(S)의 효과는 블랭킷 산화물 웨이퍼에 대한 또 다른 일련의 에칭 프로세스에서 조사되었다. 동일한 에칭 프로세스가 각각 16.5 인치와 20.5 인치의 분리 거리를 갖는 반응기에서 수행되었다. 각각의 직각 튜브(150-1, 150-2)에 1800 와트가 공급되고 각각의 반응기의 전자석 어셈블리(4300)에는 0 전류가 공급된 경우 작은 분리 거리를 갖는 반응기의 에칭율은 큰 분리 거리를 갖는 반응기에서보다 31 % 많았다(6993 대 5332 옹스트롱/분).
도 55-56의 포트-대-포트 분리 거리(S)의 효과는 포토레지스트에 의해 패터닝된 산화물 웨이퍼에 대한 또 다른 일련의 에칭 프로세스에서 조사되었다. 3.7 암페어가 작은 소스(16.5 인치)를 갖는 전자석 어셈블리(4300)에 공급된 경우, 에칭율은 큰 소스(20.5 인치) 분리 거리(S)를 사용할 때 10450 옹스트롱/분 대 7858 옹스트롱/분이였다. 큰 (20.5인치) 분리 거리(S)를 갖는 반응기의 전력 증가 효과가 조사되었다. 특히, 동일한 에칭 프로세스가 각각의 직각 튜브(150-1, 150-2)에 공급된 소스 전력이 1800 와트와 2700 와트인 반응기 내에서 수행되었다. 에칭율은 7858 옹스트롬/분으로부터 8520 옹스트롬/분까지 매우 조금 비례적으로 증가하였다. 따라서, 플라즈마 이온 밀도와 에칭율에 대한 포트-대-포트 분리 거리(S)의 효과는 플라즈마 소스 전력을 변화시킴으로써 용이하게 보상될 수 없다. 이는 상대적으로 짧은 포트-대-포트 분리 거리(S)가 수용되면서 플라즈마 전류가 삼각형 스플리터(5440)에 의해 스플릿된 후 균형되게 하는 거리를 실제로 길게 하는 도 65a, 65b 및 66의 경우에 중요하다는 것을 나타낸다.
극편(4440)은 영구 자석 또는 코일(4450)에 의해 둘러싸인 전자석으로 개시되었다. 그러나, 극편(4440)은 제거되고, 극편(4440)에 의해 생성된 유사한 방향을 갖는 자기장을 생성하는 공기 코일 인덕터로서 코일(4450)만을 남겨둘 수 있다. 따라서, 공기 코일 인덕터(4450)는 극편(4440)을 대체할 수 있다. 그래서, 일반적으로, 필수 방사형 자기장을 형성하는 데 필요한 것은 극편(4440) 또는 극편(4440)이 없는 공기 코일 인덕터(4450) 또는 이들의 조합일 수 있는 기다란 자극-형성 부재이다. 자극-형성 부재의 직경은 방사형 자기장의 피크를 적절하게 제한하도록 비교적 좁다.
본 발명은 바람직한 경우를 참조를 상세히 설명되었지만, 본 발명의 사상과 범위를 벗어나지 않으면서 다양한 변화와 수정이 이루어질 수 있다.

Claims (68)

  1. 플라즈마 반응기로서,
    진공 챔버 - 상기 진공 챔버는 측벽 및 제품 지지대를 포함하고 상기 지지대 위에 놓이는 처리 영역을 형성하는 상기 챔버내의 엔클로저(enclosure)에 의해 형성되고, 상기 챔버는 상기 처리 영역의 반대측 근처에서 상기 엔클로저를 관통하는 적어도 하나의 제 1 포트 쌍을 가짐 -;
    그 각각의 단부들에서 상기 포트 쌍들과 접속되는 제 1 외부 재진입(reentrant) 튜브;
    처리 가스 주입 장치;
    상기 제 1 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 튜브 내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위한 상기 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터; 및
    상기 처리 영역에서 플라즈마 이온 밀도의 방사상 분포를 제어하기 위한 자석을 포함하고, 상기 자석은 상기 처리 영역을 교차하는 극 축을 가진 연장된 극 형성 부재를 포함하는 플라즈마 반응기.
  2. 제 1 항에 있어서, 상기 극 형성 부재는 영구적으로 자화된 극편인 것을 특징으로 하는 플라즈마 반응기.
  3. 제 1 항에 있어서, 상기 극 형성 부재는 자화 재료를 포함하는 극편이고, 상기 자석은,
    상기 코일을 통과하는 전류 흐름이 자기장을 형성하도록 코일을 형성하기 위한 상기 연장 극편에 감겨진 전기 도전체를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  4. 제 3 항에 있어서, 상기 챔버 엔클로저는 상기 제품 지지대상에 놓이고 상기 지지대와 면하는 천장을 포함하고, 상기 극편은 상기 천장상에서 천장으로부터 적어도 부분적으로 외부에 있고 상기 천장 내부로 적어도 부분적으로 연장하고 상기 챔버의 외측에서 종결하는 것을 특징으로 하는 플라즈마 반응기.
  5. 제 4 항에 있어서, 상기 처리 영역은 상기 지지대 및 천장의 평행한 평면들 사이에 형성되고, 상기 극축들은 상기 제품 지지대에 수직인 것을 특징으로 하는 플라즈마 반응기.
  6. 제 4 항에 있어서, 상기 천장은 가스 분배 플레이트를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  7. 제 6 항에 있어서, 상기 가스 분배 플레이트는 가스 입구 통로들을 가진 천장 층 - 가스 입구 통로가 상기 천장층을 통과함 -, 가스 매니폴드 층, 가스 혼합 층 및 가스 주입 오리피스 층을 포함하고, 상기 극편은 상기 천장상 상부 섹션 및 상기 가스 분배 플레이트 내부 하부 섹션을 가지며, 상기 코일은 상기 상부 섹션 주변에 배치되는 것을 특징으로 하는 플라즈마 반응기.
  8. 제 7 항에 있어서, 상기 극편은 상기 천장 층 및 상기 가스 매니폴드 층을 통해 상기 혼합 층 내부로 연장하고, 상기 극편의 하부 섹션은 상기 천장 층 내의 제 1 직경 및 상기 가스 매니폴드와 상기 가스 분배 플레이트의 혼합 층들 내의 상기 제 1 직경 미만의 제 2 직경을 가지는 것을 특징으로 하는 플라즈마 반응기.
  9. 제 1 항에 있어서, 상기 극편 및 상기 재진입 튜브 사이의 자기 차폐부(shield)를 더 포함하고, 상기 차폐부는 상기 자석 위에서 자석을 둘러싸는 것을 특징으로 하는 플라즈마 반응기.
  10. 제 1 항에 있어서,
    상기 처리 영역의 반대측 근처에서 상기 엔클로저를 관통하는 제 2 포트 쌍;
    그 각각의 단부에서 상기 제 2 포트쌍에 접속되고 상기 제 1 외부 재진입 튜브의 일부상에 놓이는 제 2 외부 재진입 튜브; 및
    상기 제 2 튜브를 통과하고 상기 처리 영역을 가로지르는 제 2 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 제 2 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위한 상기 제 2 재진입 튜브에 결합된 제 2 RF 전력 어플리케이터를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  11. 제 10 항에 있어서, 상기 제 2 튜브 하부에 놓이는 영역에 축 함몰부를 형성하여, 상기 제 2 튜브는 상기 제 1 튜브의 상기 함몰부에 자리잡는 것을 특징으로 하는 플라즈마 반응기.
  12. 제 11 항에 있어서, 상기 제 1 및 제 2 튜브들은 일반적으로 서로에 대해 직교하는 것을 특징으로 하는 플라즈마 반응기.
  13. 제 12 항에 있어서, 상기 포트들은 상기 천장내에 있어서, 상기 튜브들은 축방향으로 상기 포트들 내부쪽으로 종결되는 것을 특징으로 하는 플라즈마 반응기.
  14. 제 12 항에 있어서, 상기 포트들은 상기 측벽내에 있어서, 상기 튜브들은 방사상으로 상기 포트들 내부쪽으로 종결되는 것을 특징으로 하는 플라즈마 반응기.
  15. 제 12 항에 있어서, 상기 자석은 상기 방사상 자기장의 부재시 낮은 플라즈마 이온 밀도 영역의 방사상 분포에 해당하는 방사상 영역에서 피크를 가진 방사상 자기장을 형성하는 것을 특징으로 하는 플라즈마 반응기.
  16. 제 12 항에 있어서, 상기 극편은 상기 처리 영역의 중심부 근처에서 상기 극편의 최대 방사상 자기장 영역을 형성하기에 충분히 작은 직경을 가지는 것을 특징으로 하는 플라즈마 반응기.
  17. 제 16 항에 있어서, 상기 처리 영역의 중심부는 상기 방사상 자기장의 부재시 낮은 이온 플라즈마 이온 밀도 영역에 해당하는 것을 특징으로 하는 플라즈마 반응기.
  18. 제 12 항에 있어서, 상기 처리 영역 내부에 RF 바이어스 전력을 결합하기 위하여 상기 제품 지지대에 결합된 RF 전력 소스를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  19. 제 1 항에 있어서, 상기 극편은 상기 제품 지지대 아래에 배치되는 것을 특징으로 하는 플라즈마 반응기.
  20. 제 12 항에 있어서, 상기 처리 영역은 하나의 처리 영역 직경을 가지며 상기 튜브들 각각은 상기 처리 영역 직경 미만의 폭을 가지며, 상기 반응기는,
    상기 튜브들 각각의 단부들에 각각의 튜브 폭보다 큰 폭을 가지는 각각의 튜브 종결 섹션; 및
    각각의 튜브 종결 섹션들내의 각각의 플라즈마 전류 흐름 스플리터들(splitter)을 더 포함하고, 상기 각각의 스플리터는 각각의 튜브로부터 보다 큰 폭의 튜브 종결 섹션으로 플라즈마 전류 흐름을 넓히는 모양을 가지는 것을 특징으로 하는 플라즈마 반응기.
  21. 제 20 항에 있어서, 각각의 상기 스플리터는 각각의 튜브쪽으로 면하는 정점 및 상기 정점으로부터 멀리 연장하는 몸체를 가지며, 상기 몸체는 상기 종결 섹션 근처에 집중된 플라즈마 이온 밀도 영역의 형성을 억제하기 위하여 플라즈마 전류 흐름 방향을 따라 충분한 길이를 가지는 것을 특징으로 하는 플라즈마 반응기.
  22. 제 21 항에 있어서, 상기 길이는 상기 스플리터 반대측상에 불균형 전류 흐름을 방지하도록 충분히 제한되는 것을 특징으로 하는 플라즈마 반응기.
  23. 제 20 항에 있어서, 상기 포트들은 상기 천장내에 있어서, 각각의 스플리터 근처의 각각의 종결 섹션 및 플라즈마 전류 흐름은 축방향인 것을 특징으로 하는 플라즈마 반응기.
  24. 제 20 항에 있어서, 상기 포트들은 상기 천장내에 있어서, 각각의 스플리터 근처의 각각의 종결 섹션 및 플라즈마 전류 흐름은 방사상 방향인 것을 특징으로 하는 플라즈마 반응기.
  25. 제 24 항에 있어서, 상기 측벽은 일반적으로 직사각형이고 각각의 포트는 상기 직사각형 측벽 모서리에 배치되는 것을 특징으로 하는 플라즈마 반응기.
  26. 제 25 항에 있어서, 각각의 스플리터는 상기 제품 지지대의 평면으로부터 상기 천장으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  27. 제 26 항에 있어서, 각각의 스플리터는 상기 제품의 평면으로부터 상기 천장으로 부분적으로만 연장하는 것을 특징으로 하는 플라즈마 반응기.
  28. 제 23 항에 있어서, 각각의 스플리터의 정점은 각각의 종결 섹션의 방사상 내부 표면 근처에 있고, 상기 종결 섹션의 방사상 외부 표면과 면하는 스플리터의 표면들은 평균해서 보다 긴 거리를 이동하도록 대향 포트들 사이에 플라즈마 전류 흐름을 인가하기 위하여 방사상 외부 바이어스를 사용하여 상기 정점으로부터 아래쪽으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  29. 제 1 항에 있어서, 상기 지지대 및 상기 측벽 사이의 펌핑 고리를 통해 대향 포트들 사이의 플라즈마 전류 흐름을 억제하기 위해 상기 제품 지지대로부터 상기 측벽으로 연장하는 방사상 필름들을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  30. 제 29 항에 있어서, 상기 핀들은 상기 제품 지지대의 평면으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  31. 제 29 항에 있어서, 상기 핀들은 상기 제품 지지대 평면 너머로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  32. 제 1 항에 있어서, 상기 제 1 RF 전력 어플리케이터는,
    임피던스 매칭 장치를 포함하는 RF 전력 소스;
    상기 제 1 튜브의 일부 둘레에 자기적으로 투기적인 토로이드형 코어; 및
    상기 RF 전력 소스를 가로질러 접속된 상기 코어의 일부 둘레에 1차 와인딩을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  33. 제 32 항에 있어서, 상기 코어를 중심으로 방위각적으로 분포된 다수의 턴들의 그룹들을 포함하는 상기 코어 둘레의 2차 와인딩을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  34. 제 33 항에 있어서, 상기 턴들의 다수의 그룹들은 상기 코어를 중심으로 평등하게 분배되고 서로 병렬로 접속되고, 상기 반응기는,
    상기 코어의 대향 측면들 둘레에 부합되게 연장하는 한 쌍의 원형 도전성 버스들을 더 포함하고, 각각의 버스는 각각의 상기 턴들의 그룹의 각각의 단부에 접속되는 것을 특징으로 하는 플라즈마 반응기.
  35. 제 34 항에 있어서, 상기 버스들의 쌍을 가로질러 접속되고 상기 코어를 중심으로 방위각적으로 분배되는 다수의 캐패시터들을 더 포함하고, 상기 캐패시터들은 상기 RF 전력 소스의 주파수에서 상기 2차 와인딩이 공진하게 하기에 충분한 것을 특징으로 하는 플라즈마 반응기.
  36. 제 1 항에 있어서, 상기 제 1 RF 전력 어플리케이터는,
    임피던스 매칭 장치를 포함하는 RF 전력 소스;
    상기 제 1 튜브의 일부 둘레에 자기적으로 투기성인 토로이드형 코어; 및
    상기 코어를 중심으로 방위각적으로 분배된 다수의 턴들의 그룹들을 포함하는 상기 코어 둘레의 공진 와인딩을 포함하고, 상기 공진 와인딩은 상기 RF 전력 소스에 결합되는 것을 특징으로 하는 플라즈마 반응기.
  37. 제 36 항에 있어서, 상기 RF 전력 소스를 가로질러 접속된 상기 코어 둘레의 1차 와인딩을 더 포함하고, 상기 2차 와인딩은 상기 코어 및 상기 1차 와인딩을 통해 상기 RF 전력 발생기에 유도적으로 결합되는 것을 특징으로 하는 플라즈마 반응기.
  38. 제 36 항에 있어서, 상기 다수의 턴들의 그룹들은 상기 코어를 중심으로 평등하게 분배되고 서로에 대해 병렬로 접속되고, 상기 반응기는,
    상기 코어의 대향 측벽들 둘레에 부합되게 연장하는 한쌍의 원형 도전성 버스들을 더 포함하고, 각각의 버스는 상기 턴들의 그룹들 각각의 단부에 접속되는 것을 특징으로 하는 플라즈마 반응기.
  39. 제 38 항에 있어서, 상기 버스들의 쌍을 가로질러 접속되고 상기 코어를 중심으로 방위각적으로 분배되는 다수의 캐패시터들을 더 포함하고, 상기 캐피시터들은 상기 2차 와인딩이 상기 RF 전력 소스의 주파수에서 공진되게 하기에 충분한 것을 특징으로 하는 플라즈마 반응기.
  40. 제 20 항에 있어서, 상기 종결 섹션 및 상기 측벽 사이에 절연체를 더 포함하여, 상기 종결 섹션 및 상기 스플리터는 상기 플라즈마 전류의 RF 전위를 진동시키는 RF 전위를 가지는 것을 특징으로 하는 플라즈마 반응기.
  41. 제 7 항에 있어서, 상기 가스 분배 플레이트는 방사상 내부 및 외부 존들을 포함하고, 상기 반응기는 상기 가스 분배 플레이트의 상기 내부 및 외부 존들의 각각 하나에 접속된 듀얼 가스 공급기들을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  42. 제품을 처리하기 위한 플라즈마 반응기로서,
    엔클로저;
    상기 엔클로저의 상부 부분과 면하는 엔클로저내의 제품 지지대 - 상기 제품 지지대 및 상기 엔클로저의 상부 부분은 그 사이에 처리 영역을 형성하고 일반적으로 상기 웨이퍼 지지대의 직경을 가로질러 연장함 -
    - 상기 엔클로저는 상기 제품 지지대의 대향 측면들 근처에서 상기 엔클로저를 관통하는 적어도 제 1 및 제 2 개구부들을 가짐 -;
    상기 처리 영역 외측에 있고 상기 제 1 및 제 2 개구부들과 접속되는 적어도 하나의 중공 도관 - 상기 도관은 상기 도관을 통과하고 상기 처리 영역을 가로질러 연장하는 제 1 토로이드형 경로를 제공함 -;
    상기 중공 도관의 내부에 결합되고 상기 토로이드형 경로에서 플라즈마를 유지할 수 있는 제 1 RF 전력 어플리케이터; 및
    상기 처리 영역에서 플라즈마 이온 밀도의 방사상 분배를 제어하기 위한 자석을 포함하고, 상기 자석은 상기 처리 영역을 교차하는 극편 축을 형성하는 연장된 극편을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  43. 제 42 항에 있어서, 상기 극편은 영구 자석을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  44. 제 42 항에 있어서, 상기 극편은 자기 재료를 포함하고, 상기 자석은,
    상기 코일을 통한 전류 흐름이 자기장을 형성하도록, 코일을 형성하기 위해상기 연장된 극편 둘레에 감겨진 전기 도전체를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  45. 제품을 처리하기 위한 플라즈마 반응기로서,
    엔클로저;
    상기 엔클로저의 상부와 면하는 엔클로저내의 제품 지지대 - 상기 제품 지지대 및 상기 엔클로저의 상부 부분은 그 사이에 처리 영역을 형성하고 상기 처리 영역은 상기 웨이퍼 지지대의 직경을 가로질러 연장함 -
    상기 엔클로저는 상기 제품 지지대의 일반적으로 대향 측면들 근처에 상기 엔클로저를 관통하는 적어도 제 1 및 제 2 개구부들을 가짐 -;
    상기 처리 영역의 외측에 있고 상기 제 1 및 제 2 개구부들에 접속되는 적어도 하나의 중공 도관 - 상기 도관은 상기 도관을 관통하고 상기 처리 영역을 가로질러 연장하는 제 1 토로이드형 경로를 제공함 -;
    상기 하나의 중공 도관의 내부에 결합되고 상기 제 1 토로이드형 경로에 플라즈마를 유지할 수 있는 제 1 RF 전력 어플리케이터;
    상기 제품 지지대의 대향 측면들 근처에 있고 상기 제 1 및 제 2 개구부들의 축을 횡단하는 축을 따라 배치된 적어도 제 3 및 제 4 개구부들;
    제 2 폐쇄 토로이드형 경로를 제공하기 위해 상기 하나의 중공 도관을 횡단하고 상기 처리 영역의 외측에 배치되고 상기 제 3 및 제 4 개구부들에 접속된 제 2 중공 도관 - 상기 제 2 토로이드형 경로는 상기 제 2 도관을 통해 상기 진공 챔버의 외측으로 연장하고 상기 제 1 토로이드형 경로에 횡단하는 방향으로 상기 제 3 및 제 4 개구부들 사이에서 상기 처리 영역을 가로질러 연장함 -; 및
    상기 처리 영역에서 플라즈마 이온 밀도의 방사상 분배를 제어하기 위한 자석을 포함하고, 상기 자석은 상기 처리 영역을 가로질러 극편 축을 형성하는 연장된 극편을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  46. 제 45 항에 있어서, 상기 제 2 도관에 결합되고 상기 제 2 토로이드형 경로에 플라즈마를 유지할 수 있는 제 2 RF 전력 어플리케이터를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  47. 제 45 항에 있어서, 상기 극편은 영구 자석을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  48. 제 45 항에 있어서, 상기 극편은 자기 재료를 포함하고, 상기 자석은,
    상기 코일을 통한 전류 흐름이 자기장을 형성하도록, 코일을 형성하기 위하여 상기 연장된 극편 둘레에 감겨진 전기 도전체를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  49. 플라즈마 반응기로서,
    진공 챔버 - 상기 진공 챔버는 측벽 및 제품 지지대를 포함하고 상기 지지대위에 놓이는 처리 영역을 형성하는 챔버내의 엔클로저에 의해 형성되고, 상기 챔버는 상기 처리 영역의 반대측 근처에서 상기 엔클로저를 관통하는 적어도 제 1 포트 쌍들을 가짐 -;
    각각 단부들에서 상기 포트 쌍에 접속되는 제 1 외부 재진입 튜브;
    처리 가스 주입 장치; 및
    상기 제 1 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위한 상기 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고,
    상기 처리 영역은 하나의 처리 영역 직경을 가지며 상기 튜브들 각각은 상기 처리 영역 직경 미만의 폭을 가지며, 상기 반응기는,
    각각의 상기 튜브들의 각각의 단부들에서 각각의 튜브 종결 섹션들; 및
    각각의 튜브 종결 섹션들내의 각각의 플라즈마 전류 흐름 스플리터들을 더 포함하고, 각각의 스플리터는 각각의 튜브로부터 보다 큰 폭의 튜브 종결 섹션으로 플라즈마 전류 흐름을 넓히는 모양을 가지는 것을 특징으로 하는 플라즈마 반응기.
  50. 제 49 항에 있어서, 각각의 상기 스플리터는 각각의 튜브쪽으로 면하는 정점 및 상기 정점으로부터 멀리 연장하는 몸체를 가지며, 상기 몸체는 상기 종결 섹션 근처에 집중된 플라즈마 이온 밀도 영역의 형성을 억제하기 위하여 플라즈마 전류 흐름 방향을 따라 충분한 길이를 가지는 것을 특징으로 하는 플라즈마 반응기.
  51. 제 50 항에 있어서, 상기 길이는 상기 스플리터의 반대 측면들상에서 불안정한 전류 흐름을 방지하도록 충분히 제한되는 것을 특징으로 하는 플라즈마 반응기.
  52. 제 49 항에 있어서, 상기 포트들은 각각의 종결 섹션 및 각각의 스플리터 근처의 플라즈마 전류 흐름이 축방향이도록 상기 천장에 있는 것을 특징으로 하는 플라즈마 반응기.
  53. 제 49 항에 있어서, 상기 포트들은 각각의 종결 섹션 및 각각의 스플리터 근처의 플라즈마 전류 흐름이 방사상 방향이도록 상기 천장에 있는 것을 특징으로 하는 플라즈마 반응기.
  54. 제 53 항에 있어서, 상기 측벽은 일반적으로 직사각형이고 각각의 포트는 상기 직사각형 측벽의 모서리에 배치되는 것을 특징으로 하는 플라즈마 반응기.
  55. 제 54 항에 있어서, 각각의 스플리터는 상기 제품 지지대의 평면으로부터 상기 천장으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  56. 제 55 항에 있어서, 상기 각각의 스플리터는 상기 제품의 평면으로부터 상기 천장으로 부분적으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  57. 제 50 항에 있어서, 상기 각각의 스플리터의 정점은 각각의 종결 섹션의 방사상 내부 표면 근처이고, 상기 스플리터의 표면들은 평균적으로 보다 긴 거리를 이동하도록 대향 포트들 사이에 플라즈마 전류 흐름을 인가하기 위하여 방사상으로 외측 바이어스를 사용하여 상기 정점으로부터 아래로 연장하는 상기 종결 섹션의 방사상 외부 표면들과 면하는 것을 특징으로 하는 플라즈마 반응기.
  58. 제 49 항에 있어서, 상기 종결 섹션 및 상기 측벽 사이에 절연체를 더 포함하여, 상기 종결 섹션 및 상기 스플리터는 상기 플라즈마 전류의 RF 전위를 진동시키는 RF 전위를 가지는 것을 특징으로 하는 플라즈마 반응기.
  59. 플라즈마 반응기로서,
    진공 챔버 - 상기 진공 챔버는 측벽 및 제품 지지대를 포함하고 상기 지지대 위에 놓이는 처리 영역을 형성하는 챔버내의 엔클로저에 의해 형성되고, 상기 챔버는 상기 처리 영역의 반대 측들 근처에서 상기 엔클로저를 관통하는 적어도 제 1 포트쌍을 가지며 -;
    각각의 단부들에서 상기 포트들쌍에 접속되는 제 1 외부 재진입 튜브;
    처리 가스 주입 장치; 및
    상기 제 1 튜브를 통과하고 상기 처리 영역을 가로질러 제 1 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위한 상기 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고,
    상기 처리 영역은 하나의 처리 영역 직경을 가지며 각각의 상기 튜브들은 상기 처리 영역 직경 미만의 폭을 가지며, 상기 반응기는,
    각각의 상기 튜브들의 각각의 단부들에서 각각의 튜브 종료 섹션들 - 각각의 튜브 섹션은 각각의 튜브의 폭보다 큰 폭을 가지며 -; 및
    상기 종결 섹션 및 상기 측벽 사이의 절연체를 더 포함하여, 상기 종결 섹션 및 상기 스플리터는 상기 플라즈마 전류의 RF 전위를 진동시키는 RF 전위를 가지는 것을 특징으로 하는 플라즈마 반응기.
  60. 제 59 항에 있어서, 각각의 튜브 종결 섹션들내의 각각의 플라즈마 전류 흐름 스플리터들을 더 포함하고, 각각의 스플리터는 각각의 튜브로부터 보다 큰 폭의 튜브 종결 섹션으로 플라즈마 전류 흐름을 넓히는 모양을 가지는 것을 특징으로 하는 플라즈마 반응기.
  61. 플라즈마 반응기로서,
    진공 챔버 - 상기 진공 챔버는 측벽 및 제품 지지대를 포함하고 상기 지지대 위에 놓이는 처리 영역을 형성하는 챔버내의 엔클로저에 의해 형성되고, 상기 챔버는 상기 처리 영역의 반대측들 근처에서 상기 엔클로저를 관통하는 적어도 제 1 포트 쌍을 가짐 -;
    각각의 단부들에서 상기 포트들의 쌍에 접속되는 제 1 외부 재진입 튜브;
    처리 가스 주입 장치; 및
    상기 제 1 튜브를 통과하고 상기 처리 영역을 가로질러 제 1 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해 상기 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고, 상기 제 1 RF 전력 어플리케이터는,
    임피던스 매칭 장치를 포함하는 RF 전력 소스;
    상기 제 1 튜브 부분 둘레에 토로이드형 자기 투기 코어; 및
    상기 코어를 중심으로 방위각적으로 분배된 다수의 턴들의 그룹들을 포함하는 상기 코어 둘레의 공진 와인딩을 더 포함하고, 상기 공진 와인딩은 상기 RF 전력 소스에 결합되는 것을 특징으로 하는 플라즈마 반응기.
  62. 제 61 항에 있어서, 상기 RF 전력 소스를 가로질러 접속된 상기 코어 둘레의 1차 와인딩을 더 포함하고, 상기 2차 와인딩은 상기 코어 및 상기 1차 와인딩을 통해 상기 RF 전력에 유도적으로 결합되는 것을 특징으로 하는 플라즈마 반응기.
  63. 제 61 항에 있어서, 상기 다수의 턴들의 그룹들은 상기 코어를 중심으로 균등하게 분배되고 서로 병렬로 접속되고, 상기 반응기는,
    상기 코어의 반대측 둘레에 부합하게 연장하는 한쌍의 원형 도전 버스들을 더 포함하고, 상기 각각의 버스는 각각의 상기 턴들의 그룹들의 각각의 단부에 접속되는 것을 특징으로 하는 플라즈마 반응기.
  64. 제 63 항에 있어서, 상기 버스들의 상기 쌍을 가로질러 접속되고 상기 코어를 중심으로 방위각적으로 분배된 다수의 캐패시터들을 더 포함하고, 상기 캐패시터들은 상기 2차 와인딩이 상기 RF 전력 소스의 주파수에서 공진하게 하기에 충분한 것을 특징으로 하는 플라즈마 반응기.
  65. 플라즈마 반응기로서,
    진공 챔버 - 상기 진공 챔버는 측벽 및 제품 지지대를 포함하고 상기 지지대 위에 놓이는 처리 영역을 형성하는 챔버내의 엔클로저에 의해 형성되고, 상기 챔버는 상기 처리 영역의 반대측들 근처에서 상기 엔클로저를 관통하는 적어도 제 1 포트쌍을 가짐 -;
    각각의 단부들에서 상기 포트쌍에 접속된 제 1 외부 재진입 튜브;
    처리 가스 주입 장치;
    상기 제 1 튜브를 통과하고 상기 처리 영역을 가로질러 제 1 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위한 상기 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터; 및
    상기 지지대 및 상기 측벽 사이의 펌핑 고리를 통해 대향 포트들 사이의 플라즈마 전류 흐름을 억제하도록, 상기 제품 지지대로부터 상기 측벽으로 연장하는방사상 핀들을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  66. 제 65 항에 있어서, 상기 핀들은 상기 제품 지지대의 평면으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  67. 제 66 항에 있어서, 상기 핀들은 상기 제품 지지 평면 너머로 연장하는 것을 특징으로 하는 플라즈마 반응기.
  68. 플라즈마 반응기로서,
    진공 챔버 - 상기 진공 챔버는 측벽 및 제품 지지대를 포함하고 상기 지지대 위에 놓이는 처리 영역을 형성하는 챔버내의 엔클로저에 의해 형성되고, 상기 챔버는 상기 처리 영역의 반대측들 근처에서 상기 엔클로저를 관통하여 적어도 제 1 포트쌍을 가짐 -;
    각각의 단부들에서 상기 포트들의 쌍에 접속된 제 1 외부 공진 튜브;
    처리 가스 주입 장치; 및
    상기 제 1 튜브를 통과하고 상기 처리 영역을 가로질러 제 1 재진입 토로이드형 플라즈마 전류를 형성하기 위하여 상기 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위한 상기 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고,
    상기 챔버 엔클로저는 상기 제품 지지대상에 놓이고 면하는 가스 분배 플레이트를 포함하고, 상기 가스 분배 플레이트는 가스 입구 통로들을 가진 천장 층, 가스 매니폴드 층, 가스 혼합 층 및 가스 주입 구멍 층을 포함하고,
    상기 가스 분배 플레이트는 방사상 내부 및 외부 존들을 포함하고, 상기 반응기는 상기 가스 분배 플레이트의 내부 및 외부 존들의 각각 하나에 접속된 듀얼 가스 공급기들을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
KR1020047019828A 2002-06-05 2003-06-05 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 KR101011580B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/164,327 US6939434B2 (en) 2000-08-11 2002-06-05 Externally excited torroidal plasma source with magnetic control of ion distribution
US10/164,327 2002-06-05
PCT/US2003/018025 WO2003105182A2 (en) 2002-06-05 2003-06-05 Externally excited torroidal plasma source with magnetic control of ion distribution

Publications (2)

Publication Number Publication Date
KR20040111725A true KR20040111725A (ko) 2004-12-31
KR101011580B1 KR101011580B1 (ko) 2011-01-27

Family

ID=29710179

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047019828A KR101011580B1 (ko) 2002-06-05 2003-06-05 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스

Country Status (4)

Country Link
US (7) US6939434B2 (ko)
KR (1) KR101011580B1 (ko)
TW (1) TWI333396B (ko)
WO (1) WO2003105182A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170092667A (ko) * 2014-12-09 2017-08-11 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004128281A (ja) * 2002-10-03 2004-04-22 Tokyo Electron Ltd 基板処理方法および基板処理装置
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040135828A1 (en) * 2003-01-15 2004-07-15 Schmitt Stephen E. Printer and method for printing an item with a high durability and/or resolution image
US6981767B2 (en) * 2003-01-15 2006-01-03 Ssgii, Inc. Printed item having an image with a high durability and/or resolution
US8158016B2 (en) * 2004-02-04 2012-04-17 Veeco Instruments, Inc. Methods of operating an electromagnet of an ion source
KR20050024949A (ko) * 2003-09-05 2005-03-11 삼성전자주식회사 플라즈마 식각 장치
JP2005093518A (ja) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 不純物導入の制御方法および不純物導入装置
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
WO2005060602A2 (en) * 2003-12-12 2005-07-07 Semequip, Inc. Controlling the flow of vapors sublimated from solids
US20050170598A1 (en) * 2004-01-29 2005-08-04 Howard Gregory E. Silicided amorphous polysilicon - metal capacitor
US20050202624A1 (en) * 2004-03-12 2005-09-15 Infineon Technologies North America Corp. Plasma ion implantation system
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7396746B2 (en) * 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7910499B2 (en) * 2004-11-12 2011-03-22 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US7422988B2 (en) * 2004-11-12 2008-09-09 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7459692B2 (en) * 2004-11-19 2008-12-02 Varian Semiconductor Equipment Associates, Inc. Electron confinement inside magnet of ion implanter
CN101263078B (zh) * 2004-11-24 2012-12-26 奈米系统股份有限公司 适用于纳米线薄膜的接触掺杂和退火系统以及工艺
WO2006106779A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 不純物導入装置及び不純物導入方法
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US7256094B2 (en) * 2005-05-24 2007-08-14 Atmel Corporation Method for changing threshold voltage of device in resist asher
US7135392B1 (en) * 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8900980B2 (en) 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7888245B2 (en) * 2006-05-11 2011-02-15 Hynix Semiconductor Inc. Plasma doping method and method for fabricating semiconductor device using the same
US7504326B2 (en) * 2006-05-30 2009-03-17 Advanced Micro Devices, Inc. Use of scanning theme implanters and annealers for selective implantation and annealing
US7674999B2 (en) * 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7976671B2 (en) 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7659187B2 (en) * 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US7786024B2 (en) 2006-11-29 2010-08-31 Nanosys, Inc. Selective processing of semiconductor nanowires by polarized visible radiation
US20080136887A1 (en) * 2006-12-11 2008-06-12 Schmitt Stephen E Printed item having an image with a high durability and/or resolution
US7547900B2 (en) * 2006-12-22 2009-06-16 Varian Semiconductor Equipment Associates, Inc. Techniques for providing a ribbon-shaped gas cluster ion beam
KR100864928B1 (ko) * 2006-12-29 2008-10-22 동부일렉트로닉스 주식회사 모스펫 소자의 형성 방법
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
US7586109B2 (en) * 2007-01-25 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Technique for improving the performance and extending the lifetime of an ion source with gas dilution
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7655931B2 (en) * 2007-03-29 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for improving the performance and extending the lifetime of an ion source with gas mixing
US8394687B2 (en) * 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7972469B2 (en) * 2007-04-22 2011-07-05 Applied Materials, Inc. Plasma processing apparatus
JP2008286742A (ja) * 2007-05-21 2008-11-27 Kyoto Univ イオンビーム制御装置および該方法
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US20090142875A1 (en) * 2007-11-30 2009-06-04 Applied Materials, Inc. Method of making an improved selective emitter for silicon solar cells
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) * 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8057649B2 (en) * 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8349156B2 (en) * 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US20100078315A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8815634B2 (en) 2008-10-31 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Dark currents and reducing defects in image sensors and photovoltaic junctions
KR101124419B1 (ko) * 2009-02-18 2012-03-20 포항공과대학교 산학협력단 마이크로파 플라즈마 생성을 위한 휴대용 전력 공급 장치
TW201130007A (en) * 2009-07-09 2011-09-01 Applied Materials Inc High efficiency low energy microwave ion/electron source
US20120212136A1 (en) * 2009-08-27 2012-08-23 Mosaic Crystals Ltd. Penetrating plasma generating apparatus for high vacuum chambers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JPWO2012011480A1 (ja) * 2010-07-21 2013-09-09 東京エレクトロン株式会社 層間絶縁層形成方法
KR101147349B1 (ko) * 2010-09-17 2012-05-23 인제대학교 산학협력단 누설 전류형 변압기를 이용한 플라즈마 처리장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
WO2012177900A1 (en) 2011-06-22 2012-12-27 Research Triangle Institute, International Bipolar microelectronic device
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130017315A1 (en) * 2011-07-15 2013-01-17 Applied Materials, Inc. Methods and apparatus for controlling power distribution in substrate processing systems
KR101241049B1 (ko) * 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US9011968B2 (en) 2011-09-16 2015-04-21 Empire Technology Development Llc Alteration of graphene defects
US8692468B2 (en) 2011-10-03 2014-04-08 Varian Semiconductor Equipment Associates, Inc. Transformer-coupled RF source for plasma processing tool
US8933628B2 (en) * 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9035553B2 (en) * 2011-11-09 2015-05-19 Dae-Kyu Choi Hybrid plasma reactor
US10283325B2 (en) * 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP2013165254A (ja) * 2012-01-13 2013-08-22 Tokyo Electron Ltd プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
USD738946S1 (en) * 2013-04-30 2015-09-15 American Ceramic Technology Nuclear reactor boiler divider plate shield
USD733202S1 (en) * 2013-04-30 2015-06-30 American Ceramic Technology Nuclear reactor tube shield
USD732589S1 (en) * 2013-04-30 2015-06-23 American Ceramic Technology Nuclear reactor boiler divider plate shield
KR20140137172A (ko) * 2013-05-22 2014-12-02 최대규 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
WO2015073921A1 (en) 2013-11-14 2015-05-21 Eagle Harbor Technologies, Inc. This disclosure relates generally to a high voltage nanosecond pulser.
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
CN104209069B (zh) * 2014-09-05 2015-12-30 北京石油化工工程有限公司 浆态床反应器气体分布结构
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN108026930A (zh) * 2015-08-05 2018-05-11 W·斯皮塞 磁力驱动的无密封泵
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US10367110B2 (en) * 2015-12-09 2019-07-30 First Solar, Inc. Photovoltaic devices and method of manufacturing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20200017960A1 (en) * 2016-07-05 2020-01-16 Duralar Technologies, Llc Plasma-enhanced chemical vapor deposition of carbon-based coatings on surfaces
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
CN110692188B (zh) 2017-02-07 2022-09-09 鹰港科技有限公司 变压器谐振转换器
JP7154136B2 (ja) * 2017-02-07 2022-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102601455B1 (ko) 2017-08-25 2023-11-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
JP2019186098A (ja) * 2018-04-12 2019-10-24 東京エレクトロン株式会社 プラズマを生成する方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10707050B2 (en) * 2018-07-26 2020-07-07 Varian Semiconductor Equipment Associates, Inc. System and method to detect glitches
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN112805920A (zh) 2018-08-10 2021-05-14 鹰港科技有限公司 用于rf等离子体反应器的等离子体鞘控制
JP7091196B2 (ja) * 2018-09-04 2022-06-27 キオクシア株式会社 プラズマ処理装置および半導体装置の製造方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11984298B2 (en) 2019-12-02 2024-05-14 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
CN113889391B (zh) * 2020-07-02 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理装置及其绝缘窗组件
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency
US11823867B2 (en) * 2021-05-20 2023-11-21 Kaufman & Robinson, Inc. Load current derived switch timing of switching resonant topology
CN113285223B (zh) * 2021-05-24 2023-10-10 中国科学院合肥物质科学研究院 一种分立式π/2相位差离子回旋共振加热天线
CN113757297B (zh) * 2021-09-09 2023-06-06 重庆交通大学 基于u形线圈的磁流变减振器
CN116066319A (zh) * 2023-03-14 2023-05-05 哈尔滨工业大学 抑制电推进空心阴极放电振荡的阴极外部电子补偿方法

Family Cites Families (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US310910A (en) * 1885-01-20 piquerez
US13314A (en) * 1855-07-24 Parlor-stove
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3676685A (en) * 1970-05-04 1972-07-11 Xercon Inc Light-responsive switching circuit
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (ko) 1976-05-19 1979-06-29 Battelle Memorial Institute
RU725326C (ru) 1977-08-29 1993-11-15 Отделение Института химической физики АН СССР Способ получени двухслойных труб
US4176003A (en) * 1978-02-22 1979-11-27 Ncr Corporation Method for enhancing the adhesion of photoresist to polysilicon
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4465529A (en) 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4434063A (en) * 1981-08-07 1984-02-28 Kyodo Yushi Co., Ltd. Lubricant compositions
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
JPS5986214A (ja) 1982-11-09 1984-05-18 Nippon Denso Co Ltd アモルフアス半導体の製造方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS59218728A (ja) 1983-05-26 1984-12-10 Fuji Electric Corp Res & Dev Ltd 半導体基体への不純物導入方法
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4656588A (en) * 1984-04-17 1987-04-07 Nissan Motor Company, Limited Anti-skid brake control system with a plurality of independently operative digital controllers
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) * 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPS62120041A (ja) 1985-11-20 1987-06-01 Fujitsu Ltd 半導体装置の製造方法
JPS62290885A (ja) 1986-06-10 1987-12-17 Toshiba Corp 反応性イオンエツチング装置
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4892752A (en) * 1987-08-12 1990-01-09 Oki Electric Industry Co., Ltd. Method of ion implantation
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) * 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5229305A (en) * 1992-02-03 1993-07-20 Motorola, Inc. Method for making intrinsic gettering sites in bonded substrates
US5208172A (en) 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
JP3320392B2 (ja) 1993-06-24 2002-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
CA2126731A1 (en) 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH0982495A (ja) 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5895548A (en) * 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US5751537A (en) 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6000360A (en) * 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5711182A (en) * 1996-09-09 1998-01-27 Yang; Shyi-Dong Crimping tool with wire stripping capability
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) * 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6291313B1 (en) * 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
JPH1187340A (ja) 1997-09-05 1999-03-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5995207A (en) * 1997-11-26 1999-11-30 Litton Systems, Inc. Method for determining the phase difference of light waves propagated over two paths
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) * 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
EP0964074A3 (en) 1998-05-13 2001-02-07 Axcelis Technologies, Inc. Ion implantation control using optical emission spectroscopy
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (ja) 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
KR20010089376A (ko) * 1998-10-29 2001-10-06 조셉 제이. 스위니 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
KR100404778B1 (ko) 1998-10-29 2003-11-07 동경 엘렉트론 주식회사 진공 처리 장치
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US6492612B1 (en) 1998-12-28 2002-12-10 Tokyo Electron Limited Plasma apparatus and lower electrode thereof
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US20020036881A1 (en) 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
JP3160263B2 (ja) 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6248642B1 (en) * 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6375790B1 (en) 1999-07-19 2002-04-23 Epion Corporation Adaptive GCIB for smoothing surfaces
DE19936864A1 (de) 1999-08-05 2001-02-15 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Verfahren zum Einsetzen eines Pumpstengels in ein Entladungsgefäß
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6103567A (en) * 1999-08-10 2000-08-15 Vanguard International Semiconductor Corp. Method of fabricating dielectric layer
US6264328B1 (en) * 1999-10-21 2001-07-24 University Of Rochester Wavefront sensor with off-axis illumination
US6433553B1 (en) 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
DE19954926C2 (de) * 1999-11-16 2001-09-13 Bruker Medical Gmbh Verfahren zum Korrigieren linearer Feldinhomogenitäten in einer Apparatur der magnetischen Resonanz
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
DE10024876A1 (de) 2000-05-16 2001-11-29 Infineon Technologies Ag Vertikaler Transistor
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6643557B1 (en) 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6303519B1 (en) 2000-07-20 2001-10-16 United Microelectronics Corp. Method of making low K fluorinated silicon oxide
US6305316B1 (en) 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
US6403453B1 (en) 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
EP1307896A2 (en) * 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6348126B1 (en) 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
AU2001282327A1 (en) 2000-09-18 2002-04-02 Axcelis Technologies, Inc. System and method for controlling sputtering and deposition effects in a plasma immersion implantation device
CA2320557A1 (en) 2000-09-25 2002-03-25 Michelangelo Delfino Radioactive medical implant and method of manufacturing
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6387719B1 (en) 2001-02-28 2002-05-14 Lexmark International, Inc. Method for improving adhesion
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6780759B2 (en) * 2001-05-09 2004-08-24 Silicon Genesis Corporation Method for multi-frequency bonding
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
JP4488662B2 (ja) * 2001-12-13 2010-06-23 東京エレクトロン株式会社 プラズマ処理装置、マッチングボックス
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
FR2846788B1 (fr) * 2002-10-30 2005-06-17 Procede de fabrication de substrats demontables
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US6747243B1 (en) * 2002-12-24 2004-06-08 Novellus Systems, Inc. Spot cleaning of particles after inspection
US20040126993A1 (en) * 2002-12-30 2004-07-01 Chan Kevin K. Low temperature fusion bonding with high surface energy using a wet chemical treatment
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170092667A (ko) * 2014-12-09 2017-08-11 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스

Also Published As

Publication number Publication date
US20040107908A1 (en) 2004-06-10
US6939434B2 (en) 2005-09-06
US7700465B2 (en) 2010-04-20
US20040107909A1 (en) 2004-06-10
TW200405769A (en) 2004-04-01
US20040112542A1 (en) 2004-06-17
WO2003105182A2 (en) 2003-12-18
WO2003105182A3 (en) 2004-03-04
US20070119546A1 (en) 2007-05-31
US20050051271A1 (en) 2005-03-10
US20030226641A1 (en) 2003-12-11
US20040149217A1 (en) 2004-08-05
TWI333396B (en) 2010-11-11
KR101011580B1 (ko) 2011-01-27

Similar Documents

Publication Publication Date Title
KR101011580B1 (ko) 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
US6348126B1 (en) Externally excited torroidal plasma source
US6551446B1 (en) Externally excited torroidal plasma source with a gas distribution plate
US6410449B1 (en) Method of processing a workpiece using an externally excited torroidal plasma source
KR101920842B1 (ko) 플라즈마 소스 디자인
US6453842B1 (en) Externally excited torroidal plasma source using a gas distribution plate
US6468388B1 (en) Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US5938883A (en) Plasma processing apparatus
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP2635267B2 (ja) Rfプラズマ処理装置
TWI611735B (zh) 電漿處理裝置(一)
US6494986B1 (en) Externally excited multiple torroidal plasma source
KR100809889B1 (ko) 외부에서 여기된 토로이드형 플라즈마 소스를 구비한 플라즈마 챔버
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
US20100101727A1 (en) Capacitively coupled remote plasma source with large operating pressure range
US7430984B2 (en) Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2004140363A (ja) 蛇行コイルアンテナを具備した誘導結合プラズマ発生装置
US7094316B1 (en) Externally excited torroidal plasma source
JPH11135438A (ja) 半導体プラズマ処理装置
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
WO2007117122A1 (en) Compound plasma source and method for dissociating gases using the same
US6136140A (en) Plasma processing apparatus
USRE40963E1 (en) Method for plasma processing by shaping an induced electric field
KR20020080014A (ko) 플라즈마 처리 장치
JP2635267C (ko)

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee