CN113287185B - 处理腔室混合系统 - Google Patents
处理腔室混合系统 Download PDFInfo
- Publication number
- CN113287185B CN113287185B CN201980088280.7A CN201980088280A CN113287185B CN 113287185 B CN113287185 B CN 113287185B CN 201980088280 A CN201980088280 A CN 201980088280A CN 113287185 B CN113287185 B CN 113287185B
- Authority
- CN
- China
- Prior art keywords
- adapter
- baffle
- mixing manifold
- mixing
- coupled
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000002156 mixing Methods 0.000 title claims abstract description 179
- 238000000034 method Methods 0.000 title claims abstract description 96
- 230000008569 process Effects 0.000 title claims abstract description 53
- 238000012545 processing Methods 0.000 claims abstract description 65
- 239000012530 fluid Substances 0.000 claims abstract description 15
- 230000037361 pathway Effects 0.000 claims abstract description 7
- 125000006850 spacer group Chemical group 0.000 claims description 36
- 239000000919 ceramic Substances 0.000 claims description 10
- 238000011144 upstream manufacturing Methods 0.000 claims description 10
- 230000000903 blocking effect Effects 0.000 claims description 9
- 229910052782 aluminium Inorganic materials 0.000 claims description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 8
- 239000002243 precursor Substances 0.000 description 142
- 239000000463 material Substances 0.000 description 32
- 239000000758 substrate Substances 0.000 description 30
- 238000005516 engineering process Methods 0.000 description 26
- 239000007789 gas Substances 0.000 description 21
- 239000000203 mixture Substances 0.000 description 16
- 229910052731 fluorine Inorganic materials 0.000 description 14
- 239000011737 fluorine Substances 0.000 description 14
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 13
- 238000005530 etching Methods 0.000 description 13
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 12
- 238000009826 distribution Methods 0.000 description 11
- 150000002500 ions Chemical class 0.000 description 10
- 230000001629 suppression Effects 0.000 description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 8
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 239000001257 hydrogen Substances 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- 238000000151 deposition Methods 0.000 description 7
- 230000008021 deposition Effects 0.000 description 6
- 229910052759 nickel Inorganic materials 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 230000007704 transition Effects 0.000 description 5
- 238000000137 annealing Methods 0.000 description 4
- 230000008878 coupling Effects 0.000 description 4
- 238000010168 coupling process Methods 0.000 description 4
- 238000005859 coupling reaction Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000010494 dissociation reaction Methods 0.000 description 4
- 230000005593 dissociations Effects 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000007796 conventional method Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical class [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- -1 aluminum oxides Chemical compound 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 description 1
- 229910002113 barium titanate Inorganic materials 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000008240 homogeneous mixture Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45512—Premixing before introduction in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05C—APPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05C11/00—Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
- B05C11/10—Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
- B05C11/1002—Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05C—APPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05C5/00—Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
- B05C5/02—Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work the liquid or other fluent material being discharged through an outlet orifice by pressure, e.g. from an outlet device in contact or almost in contact, with the work
- B05C5/0208—Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work the liquid or other fluent material being discharged through an outlet orifice by pressure, e.g. from an outlet device in contact or almost in contact, with the work for applying liquid or other fluent material to separate articles
- B05C5/0212—Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work the liquid or other fluent material being discharged through an outlet orifice by pressure, e.g. from an outlet device in contact or almost in contact, with the work for applying liquid or other fluent material to separate articles only at particular parts of the articles
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
示例性的处理系统可包含处理腔室,并且可包含远程等离子体单元,该远程等离子体单元与该处理腔室耦接。示例性的系统也可包含耦接在该远程等离子体单元与该处理腔室之间的混合歧管。该混合歧管可由第一端和与该第一端相对的第二端表征,并且可与该处理腔室在该第二端处耦接。该混合歧管可限定通过该混合歧管的中央通道,并且可限定沿着该混合歧管的外部的端口。该端口可与限定在该混合歧管的该第一端内的第一沟槽流体地耦接。该第一沟槽可由在第一内侧壁处的内半径和外半径表征,并且该第一沟槽可提供通过该第一内侧壁到该中央通道的流体通路。
Description
相关申请的交叉引用
本申请要求2019年1月7日提交的美国专利申请No.16/241,537的优先权的权益,其内容出于所有目的通过引用以其整体结合于此。
技术领域
本技术涉及系统、工艺及设备。更具体地,本技术涉及用于在系统和腔室内传递前驱物的系统和方法。
背景技术
通过在基板表面上产生复杂地图案化的材料层的工艺使得集成电路成为可能。在基板上产生图案化的材料需要受控制的方法以去除暴露的材料。化学蚀刻用于多种目的,包含:将光刻胶中的图案转移到下面的层、变薄的层,或使在表面上已经存在的特征的横向尺寸变薄。通常期望具有一种蚀刻工艺,该蚀刻工艺蚀刻一种材料快于蚀刻另一种材料,以促进(例如)图案转移工艺或单个材料的去除。据说这种蚀刻工艺对于第一种材料是具有选择性的。由于材料、电路及工艺的多样性,已经开发出对各种材料具有选择性的蚀刻工艺。
基于在工艺中使用的材料,蚀刻工艺可被称为湿式或干式。湿式HF蚀刻相较于其他的电介质和材料优选地去除二氧化硅(silicon oxide)。然而,湿式工艺可能难以穿透某些受到限制的沟槽,并且有时候也可能会使得其余的材料变形。干式蚀刻工艺可能渗透至复杂的特征和沟槽,但是可能无法提供可接受的自上至下的轮廓。随着在下一代的装置中装置尺寸持续地缩小,系统将前驱物传递至腔室并通过腔室的方式可能会产生越来越大的影响。随着处理条件的一致性的重要性持续地提高,腔室设计和系统设置可能针对于产生的装置的质量扮演重要的角色。
因此,需要可被使用以产生高质量的装置和结构的改进的系统和方法。这些和其他的需求通过本技术来解决。
发明内容
示例性的处理系统可包含处理腔室,并且可包含:远程等离子体单元,所述远程等离子体单元与所述处理腔室耦接。系统可包含并入于所述处理腔室与所述远程等离子体单元之间的挡板。示例性的系统也可包含混合歧管,所述混合歧管耦接在所述远程等离子体单元与所述处理腔室之间。所述混合歧管可由第一端和与所述第一端相对的第二端表征,并且可与所述处理腔室在所述第二端处耦接。所述混合歧管可限定通过所述混合歧管的中央通道,并且可限定沿着所述混合歧管的外部的端口。所述端口可与限定在所述混合歧管的所述第一端内的第一沟槽流体地耦接。所述第一沟槽可由在第一内侧壁处的内半径和外半径表征,并且所述第一沟槽可提供通过所述第一内侧壁到所述中央通道的流体通路。
在一些实施例中,所述混合歧管也可包含第二沟槽,所述第二沟槽被限定在所述混合歧管的所述第一端内。所述第二沟槽可从所述第一沟槽径向向外定位,所述端口可与所述第二沟槽流体地耦接,并且所述第二沟槽可由在第二内侧壁处的内半径表征。所述第二内侧壁也可限定所述第一沟槽的外半径,并且所述第二内侧壁可限定多个孔,所述孔被限定为通过所述第二内侧壁并且提供通往所述第一沟槽的流体通路。所述挡板可被定位在所述混合歧管的上游处。所述挡板可以是第一挡板,并且所述处理系统也可包含第二挡板,所述第二挡板被定位在所述混合歧管的下游处。所述第一挡板和所述第二挡板各自可限定一个或多个孔,并且所述第一挡板可由与所述第二挡板不同的孔轮廓表征。
所述挡板可限定通过所述挡板的多个孔。所述多个孔中的每个孔可被限定为从所述挡板的第一表面作为入口通过与所述挡板的所述第一表面相对的所述挡板的第二表面作为出口。所述出口可围绕垂直于所述挡板且延伸通过所述入口的轴而相对于所述入口径向地偏移以限定通过每个孔的旋转通道。所述挡板可包含陶瓷或经涂覆的铝。所述系统也可包含隔离器,所述隔离器耦接在所述混合歧管与所述远程等离子体单元之间。所述隔离器可以是陶瓷或包含陶瓷。所述系统也可包含适配器,所述适配器被耦接在所述混合歧管与所述远程等离子体单元之间。所述适配器可由第一端和与所述第一端相对的第二端表征。所述适配器可限定中央通道,所述中央通道部分地通过所述适配器延伸。所述适配器可限定通过所述适配器的外部的端口。所述端口可与被限定在所述适配器内的混合通道流体地耦接,并且所述混合通道可与所述中央通道流体地耦接。所述挡板可被安置在被限定在所述适配器的所述第二端中的凹部中。所述系统也可包含定位在所述适配器与所述混合歧管之间的间隔件。
本技术的一些实施例可涵盖处理系统。所述处理系统可包含远程等离子体单元。所述系统可包含处理腔室,所述处理腔室可包含气体箱,所述气体箱限定中央通道和阻挡板,所述阻挡板与所述气体箱耦接。所述阻挡板可限定通过所述阻挡板的多个孔。所述腔室也可包含面板,所述面板与所述阻挡板在面板的第一表面处耦接。所述腔室可包含挡板。所述系统也可包含混合歧管,所述混合歧管与所述气体箱耦接。所述混合歧管可由第一端和与所述第一端相对的第二端表征。所述混合歧管可与所述处理腔室在所述第二端处耦接。所述混合歧管可限定通过所述混合歧管的中央通道,所述混合歧管的中央通道与被限定为通过所述气体箱的所述中央通道流体地耦接。所述混合歧管可限定沿着所述混合歧管的外部的端口。所述端口可与被限定在所述混合歧管的所述第一端内的第一沟槽流体地耦接。所述第一沟槽可由在第一内侧壁处的内半径和外半径来表征,并且所述第一沟槽可提供通过所述第一内侧壁到所述中央通道的流体通路。
在一些实施例中,所述系统也可包含加热器,所述加热器围绕耦接至所述气体箱的混合歧管而在外部耦接至所述气体箱。所述挡板可以是第一挡板,所述第一挡板定位在所述混合歧管的上游处。所述处理系统也可包含第二挡板,所述第二挡板被定位在所述混合歧管的下游处,并且被安置在被限定在所述混合歧管的所述第二端中的凹部中。所述系统也可包含适配器,所述适配器与所述远程等离子体单元相耦接。所述适配器可由第一端和与所述第一端相对的第二端来表征。所述适配器可限定中央通道,所述中央通道从所述第一端通过所述适配器部分地延伸至所述适配器的中点。所述适配器可限定多个进入通道,所述进入通道从所述适配器的所述中点朝向所述适配器的所述第二端延伸,并且所述多个进入通道可围绕通过所述适配器的中心轴径向地分布。所述适配器可限定通过所述适配器的外部的端口。所述端口可与被限定在所述适配器内的混合通道流体地耦接。所述混合通道可通过所述适配器的中央部分朝向所述适配器的所述第二端延伸。所述适配器可限定通过所述适配器的外部的端口。所述端口可与被限定在所述适配器内的混合通道流体地耦接。所述混合通道可通过所述适配器的中央部分朝向所述适配器的所述中点延伸以流体地进入由所述适配器限定的所述中央通道。
本技术的一些实施例也可涵盖将前驱物传递通过处理系统的方法。所述方法可包含在远程等离子体单元中形成含氟的前驱物的等离子体。所述方法可包含使得所述含氟的前驱物的等离子体流出物流入适配器。所述方法可包含使得含氢的前驱物流入所述适配器。所述方法可包含将含氢的前驱物与等离子体流出物混合以产生第一混合物。所述方法可包含使得所述第一混合物流入混合歧管。所述方法可包含使得第三前驱物流入所述混合歧管。所述方法也可包含将所述第三前驱物与所述第一混合物混合以产生第二混合物。可使得所述第一混合物或所述第二混合物中的一者流动通过挡板。在一些实施例中,第一挡板可被并入于所述混合歧管的上游处。
此种技术可提供相对于传统的系统和技术的许多好处。举例而言,与传统的设计相比,本技术可利用有限数量的组件。此外,通过利用在腔室外部产生蚀刻剂物质并且控制对于腔室进行的传递的组件,可以比传统的系统更为均匀地提供混合和对于基板的传递。结合下文的描述和随附的附图更为详细地描述这些和其他的实施例以及它们的优点和特征中的许多者。
附图说明
可通过参照说明书的其余的部分和附图来实现对于所公开的技术的本质和优点的进一步的理解。
图1图示根据本技术的一些实施例的示例性的处理系统的俯视图。
图2图示根据本技术的一些实施例的示例性的处理腔室的示意性的横截面图。
图3图示根据本技术的一些实施例的隔离器的示意性的部分仰视图。
图4图示根据本技术的一些实施例的适配器的示意性的部分俯视图。
图5图示根据本技术的一些实施例的通过图2的线段A-A的适配器的示意性的横截面图。
图6图示根据本技术的一些实施例的混合歧管的示意性的透视图。
图7图示根据本技术的一些实施例的通过图6的线段B-B的混合歧管的示意性的横截面图。
图8图示根据本技术的一些实施例的通过图6的线段C-C的混合歧管的示意性的横截面图。
图9A至图9D图示根据本技术的一些实施例的示例性的挡板的示意性的平面图。
图10图示根据本技术的一些实施例的传递前驱物通过处理系统的方法的操作。
附图中的一些作为示意图被包含在内。应理解附图是用于达到示例说明性的目的,而不应被认为是按照比例绘制的(除非特别说明是按比例绘制的)。此外,作为示意图,附图被提供以帮助理解,并且相较于实际的表示可能不包含所有的方面或信息,且可能包含放大的材料以达到示例说明性的目的。
在随附的附图中,类似的组件和/或特征可具有相同的附图标记。此外,相同类型的各个组件可以通过在附图标记后面加上一个字母来加以区别(其中该字母在类似的组件之间作出区别)。若在说明书中仅使用最前面的附图标记,则该描述适用于具有相同的最前面的附图标记的类似的组件中的任何一个,而与字母无关。
具体实施方式
本技术包含用于执行半导体制造操作的半导体处理系统、腔室及组件。在半导体制造期间执行的许多的干式蚀刻操作可能涉及到多个前驱物。当以各种方式激励和进行组合时,这些蚀刻剂可被传递至基板以去除或修改基板的方面。传统的处理系统可以以多种方式来提供前驱物以(例如)用于沉积或蚀刻。提供增强的前驱物的一种方式是在传递前驱物通过处理腔室并到达基板(例如,晶片)来进行处理之前,通过远程等离子体单元提供所有的前驱物。然而,这种工艺的问题是不同的前驱物可能与不同的材料反应,这可能导致远程等离子体单元或传递前驱物的组件受到损坏。举例而言,增强的含氟的前驱物可以与铝表面反应,但是可不与氧化物表面反应。增强的含氢的前驱物可不与在远程等离子体单元内的铝表面反应,但是可与氧化物涂层反应并去除氧化物涂层。因此,若两种前驱物一起被传递通过远程等离子体单元,它们可能会损坏在单元内的涂覆或内衬。此外,等离子体被点燃的功率可能通过产生的解离量来影响正在执行的工艺。举例而言,在一些工艺中,对于含氢的前驱物的高解离量可能是有益的,但是对于含氟的前驱物的低解离量可允许更受到控制的蚀刻。
传统的处理也可通过远程等离子体装置传递一种前驱物以进行等离子体处理,并且可将第二前驱物直接地传递至腔室。然而,此工艺的问题是:前驱物的混合可能是困难的、可能无法提供对于蚀刻剂产生的充分的控制,并且可能无法在晶片或基板处提供均匀的蚀刻剂。这可能导致:在基板的整个表面上无法均匀地执行工艺,其可能随着图案化和形成继续进行而造成装置的问题。
本技术可通过利用组件和系统来克服这些问题,该组件和系统经配置以在将前驱物传递至腔室之前混合前驱物,而仅使得一种蚀刻剂前驱物被传递通过远程等离子体单元(尽管多种前驱物也可流动通过远程等离子体单元(例如,载气或其他的蚀刻剂前驱物))。本技术可包含:进一步地混合和均质化被传递通过系统的前驱物的流动装置(例如,挡板)。特定的旁路架构可在将前驱物传递至处理腔室之前将其充分地混合,且可在将每个前驱物加入系统时提供中间的混合。如此可允许在执行均匀的工艺的同时保护远程等离子体单元。本技术的腔室也可包含:使得通过腔室的热导率最大化,并且通过以特定的方式来耦接组件以增加维修的容易性的组件配置。
尽管其余的公开内容将常规地利用所公开的技术来识别特定的蚀刻工艺,将容易地理解到系统和方法同样地适用于在描述的腔室中可能发生的沉积和清洁工艺。因此,技术不应该被认为是被限制为仅与蚀刻工艺一起使用。本公开将在描述根据本技术的实施例的组件方面和对于此系统的变化之前,论述可与本技术一起使用以执行某些去除操作的一种可能的系统和腔室。
图1图示根据实施例的沉积、蚀刻、烘烤及固化腔室的处理系统100的一个实施例的俯视图。在附图中,一对前开式晶片传送盒(FOUP)102供应各种尺寸的基板,该基板由机械臂104接收且放置到低压保持区域106,随后再放置到基板处理腔室108a-f中的一者,该基板处理腔室108a-f被定位在串联部分109a-c中。第二机械臂110可被使用以将基板晶片从保持区域106传送至基板处理腔室108a-f并返回。每个基板处理腔室108a-f可被装配成执行一些基板处理操作,其中除了循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预先清洗、脱气、定向及其他的基板工艺之外,该基板处理操作包含:在本文中描述的干式蚀刻工艺。
基板处理腔室108a-f可包含:用于对于在基板晶片上的介电膜进行沉积、退火、固化和/或蚀刻的一个或多个系统组件。在一种配置中,可使用两对处理腔室(例如,108c-d和108e-f)以将电介质材料沉积在基板上,并且可使用第三对处理腔室(例如,108a-b)以蚀刻所沉积的电介质。在另一种配置中,所有的三对腔室(例如,108a-f)可经配置以蚀刻在基板上的介电膜。所描述的工艺中的任何一或多个可以在与不同的实施例中图示的制造系统分离的(多个)腔室中进行。将理解到的是:系统100考虑到用于介电膜的沉积、蚀刻、退火及固化腔室的额外的配置。
图2图示根据本技术的实施例的示例性的处理系统200的示意性的横截面图。系统200可包含:处理腔室205和远程等离子体单元210。远程等离子体单元210可与具有一个或多个组件的处理腔室205耦接。远程等离子体单元210可与隔离器215、适配器220、间隔件230和混合歧管235中的一个或多个相耦接。混合歧管235可与处理腔室205的顶部耦接,并且可与处理腔室205的入口耦接。
隔离器215可与远程等离子体单元210相耦接于第一端211处,且可与适配器220相耦接于与第一端211相对的第二端212处。可通过隔离器215来限定一或多个通道。在第一端211处可限定通向通道213的开口或端口。通道213可被限定在隔离器215内的中间处,且可由在与通过隔离器215的中心轴垂直的方向上的第一横截面表面区域来表征,其中隔离器可在来自远程等离子体单元210的流的方向上。通道213的直径可以等于远程等离子体单元210的出口的直径或与远程等离子体单元210的出口的直径相同。通道213可由从第一端211至第二端212的长度来表征。通道213可延伸通过隔离器215的整个长度,或小于从第一端211至第二端212的长度的长度。举例而言,通道213可延伸小于从隔离器215的第一端211至第二端212的长度的一半的长度、通道213可延伸隔离器215的从第一端211至第二端212的长度的一半的长度、通道213可延伸多于隔离器215的从第一端211至第二端212的长度的一半的长度,或通道213可延伸隔离器215的从第一端211至第二端212的长度的大约一半的长度。
通道213可以过渡至较小的孔214,该孔214从被限定在隔离器215内的通道213的底部延伸通过第二端212。举例而言,一个此类较小的孔214被示例说明于图2中(虽然应理解到可从通道213通过隔离器215至第二端212来限定任何数目的孔214)。较小的孔可以围绕隔离器215的中心轴来分布,如将于下文中进一步地论述的。较小的孔214可由小于通道213的直径的50%的直径或通道213的直径的大约50%的直径来表征,并且可由小于通道213的直径的40%的直径或通道213的直径的大约40%的直径、小于通道213的直径的30%的直径或通道213的直径的大约30%的直径、小于通道213的直径的20%的直径或通道213的直径的大约20%的直径、小于通道213的直径的10%的直径或通道213的直径的大约10%的直径、小于通道213的直径的5%的直径或通道213的直径的大约5%的直径或小于通道213的直径的直径来表征。隔离器215也可限定:被限定在隔离器215下方的一个或多个沟槽。沟槽可以是或可包含被限定在隔离器215内的一个或多个环形的凹部以允许o形环或弹性组件的安置,o形环或弹性组件可允许与适配器220耦接。
虽然处理系统的其他的组件可以是金属或导热材料,隔离器215可以是导热性较低的材料。在一些实施例中,隔离器215可以是或包含:陶瓷、塑料或其他的绝热组件,经配置以提供在远程等离子体单元210与腔室205之间的热中断。在操作期间,远程等离子体单元210可被冷却或在相对于腔室205较低的温度操作,而腔室205可被加热或在相对于远程等离子体单元210较高的温度操作。提供陶瓷或绝热隔离器215可防止或限制在组件之间的热、电或其他的干扰。
在实施例中,适配器220可与隔离器215的第二端212耦接。适配器220可由第一端217和与第一端相对的第二端218来表征。适配器220可限定通过适配器220的部分的一个或多个中央通道。举例而言,从第一端217处开始,中央通道219或第一中央通道可至少部分地通过适配器220朝向第二端218延伸,且可延伸通过适配器220的任何的长度。类似于隔离器215的中央通道213,中央通道219可延伸小于通过适配器220的长度的一半的长度、可延伸适配器220的长度的大约一半的长度、或可延伸多于适配器220的长度的一半的长度。中央通道219可由直径表征,该直径可与通道213的直径相关、等于通道213的直径,或大致上等于通道213的直径。此外,中央通道219可由包围隔离器215的孔214(且在实施例中恰好包围孔214)的形状的直径来表征,诸如,通过由基本上类似于或等于被限定为从通过隔离器215的中心轴且延伸至每个孔214的直径的外边缘的半径来表征。举例而言,中央通道219可由圆形或椭圆形来表征,该圆形或该椭圆形由可与每个孔214的外部分相切地延伸的一个或多个直径来表征。
适配器220可以将中央通道219的底部限定在该适配器220内,该底部可限定:从中央通道219至可至少部分地延伸通过适配器220的多个孔225的过渡。过渡可发生在通过该适配器的中点处,该中点可位于沿着适配器的长度的任何的位置。举例而言,孔225可从中央通道219的底部朝向适配器220的第二端218延伸,且可完全地延伸通过第二端218。在其他的实施例中,孔225可从进入中央通道219的第一端延伸通过适配器220的中间部分至进入第二中央通道221的第二端,该第二中央通道可延伸通过适配器220的第二端218。中央通道221可由类似于中央通道219的直径来表征,且在其他的实施例中,中央通道221的直径可大于或小于中央通道219的直径。孔225可由小于中央通道219的直径的50%的直径或中央通道219的直径的大约50%的直径来表征,且可由小于中央通道219的直径的40%的直径或中央通道219的直径的大约40%的直径、小于中央通道219的直径的30%的直径(或中央通道219的直径的大约30%的直径)、小于中央通道219的直径的20%的直径或中央通道219的直径的大约20%的直径、小于中央通道219的直径的10%的直径或中央通道219的直径的大约10%的直径、小于中央通道219的直径的5%的直径或中央通道219的直径的大约5%的直径、或小于中央通道219的直径的直径来表征。
适配器220可限定通过适配器220的外部(例如,沿着适配器220的侧壁或侧部)的端口222。端口222可提供用于传递第一混合前驱物的通路,该第一混合前驱物将与从远程等离子体单元210提供的前驱物进行混合。端口222可提供通往混合通道223的流体通路,混合通道223可至少部分地通过适配器220朝向适配器220的中心轴延伸。混合通道223可以以任何的角度延伸至适配器220内,且在一些实施例中,混合通道223的第一部分224可垂直于通过适配器220的中心轴且延着流动方向延伸(尽管第一部分224可朝向通过适配器220的中心轴且以倾斜的角度或下倾的角度来前进)。第一部分224可跨过孔225,该孔225可围绕适配器220的中心轴分布(类似于在上文中描述的隔离器215的孔214)。通过此分布,第一部分224可朝向适配器220的中心轴延伸经过孔225,而不与孔225相交或交叉。
混合通道223的第一部分224可过渡至混合通道223的第二部分226,该第二部分226可垂直地穿过适配器220。在一些实施例中,第二部分226可沿着通过适配器220的中心轴延伸并与该中心轴轴向地对准。第二部分226也可延伸通过圆形或其他的几何形状的中间部分,该圆形或该其他的几何形状延伸通过每个孔225的中心轴。第二部分226可与孔225一起延伸至第二中央通道221且与第二中央通道221流体地耦接。因此,在一些实施例中,被传递通过端口222的前驱物可与被传递通过远程等离子体单元210的前驱物在适配器220的下部分内混合。这可以构成在远程等离子体单元210与处理腔室205之间的组件内的第一阶段的混合。
在图2中附加地示例说明的是可替代性的实施例,其中混合通道223的第二部分226在相反的方向上垂直地延伸。举例而言,如上所述,第二部分226a可朝向第二中央通道221垂直地延伸以在此区域内混合。替代地,第二部分226可朝向第一中央通道219垂直地延伸。尽管以隐藏的视图来示例说明,第二部分226b被示例说明为单独的实施例,并且应理解到根据本技术的适配器可包含朝向适配器220的第一端217或第二端218延伸的第二部分226的任何的版本。当在朝着第一中央通道219的方向上进行传递时,被传递通过端口222的第二前驱物的混合可发生在适配器220的第一部分内,并且可通过使得被传递通过端口222的前驱物与从远程等离子体单元210传递的前驱物一起流动通过多个孔225来提供改善的均匀性。当朝向第二中央通道221传递时,由于前驱物的流动的缘故,可能会发生不太完全的混合,这可能会增加被传递通过中央通道221的前驱物的中央浓度。当朝向第一中央通道219传递时,通过端口222的前驱物可在第一中央通道内径向地分布,并且更为均匀地行进通过孔225,因为来自远程等离子体单元210的向下流动和/或通过腔室的压力的缘故而迫使前驱物更为均匀地行进通过孔。
适配器220可由与隔离器215相类似的或不同的材料制成。在一些实施例中,虽然隔离器可包含陶瓷或绝缘材料,适配器220可由铝制成或在一或多个表面上包含铝(其中包含铝的氧化物、经处理过的铝)或某些其他的材料。举例而言,适配器220的内表面可以涂覆有一种或多种材料以保护适配器220免受可能由来自远程等离子体单元210的等离子体流出物所造成的损坏。适配器220的内表面可以用对于氟的等离子体流出物可以呈现惰性的一系列的材料来进行阳极氧化处理(anodized),并且该材料可包含(例如)氧化钇或钛酸钡。适配器220也可限定沟槽227和228,其中该沟槽可以是环形的沟槽,并且可经配置以容纳o形环或其他的密封组件。
适配器220的第二端218可限定延伸至适配器的凹部,并且第一挡板229可位于该凹部内。第一挡板229可以可选择地被包含在一些系统配置中,并且可提供流动通过适配器220的第一前驱物和第二前驱物的改善的混合。第一挡板229可限定前驱物可流动通过其中的一个或多个孔或通道,该一个或多个孔或通道可增加前驱物的混合的均匀性。第一挡板229将在下文中更为详细地描述。
与适配器220耦接的可以是间隔件230。间隔件230可以是或包含陶瓷,且可以是与在实施例中的隔离器215或适配器220相类似的材料。间隔件230可限定通过间隔件230的中央孔232。中央孔232可由从靠近适配器220的第二中央通道221的部分通过间隔件230至间隔件230的相对侧的锥形形状来表征。中央孔232的靠近第二中央通道221的部分可由等于或类似于第二中央通道221的直径的直径来表征。在实施例中,中央孔232可由沿着间隔件230的长度的大于或大约10%的锥度的百分比来表征,并且可由大于或大约20%、大于或大约30%、大于或大约40%、大于或大约50%、大于或大约60%、大于或大约70%、大于或大约80%、大于或大约90%、大于或大约100%、大于或大约150%、大于或大约200%、大于或大约300%,或更大的锥度的百分比来表征。
混合歧管235可与间隔件230耦接于第一端236或第一表面处,且可与腔室205耦接于与第一端236相对的第二端237处。混合歧管235可限定中央通道238,该中央通道可从第一端236延伸至第二端237,且可经配置以将前驱物传递至处理腔室205。混合歧管235也可经配置以将附加的前驱物与从适配器220传递的混合的前驱物合并。混合歧管可提供在系统内的第二阶段的混合。混合歧管235可沿着混合歧管235的外部(例如,沿着混合歧管235的一侧或侧壁)限定端口239。在一些实施例中混合歧管235可限定在混合歧管235的相对侧上的多个端口239以提供用于将前驱物传递至系统的附加的通路。混合歧管235也可限定在混合歧管235的第一表面236内的一个或多个沟槽。举例而言,混合歧管235可限定第一沟槽240和第二沟槽241,该第一沟槽和该第二沟槽可提供从端口239至中央通道238的流体通路。举例而言,端口239可提供通往通道243的通路,该通道可提供(例如,从如同示例说明的沟槽的下方)通往一个或两个沟槽的流体通路。在下文中将进一步详细地描述沟槽240、241。
中央通道238可由从第一端236延伸至扩口部分246的第一部分242来表征。第一部分242可由圆柱形的轮廓来表征,并且可由类似于或等于间隔件230的中央孔232的出口的直径来表征。在实施例中,扩口部分246可由大于或大约10%、大于或大约20%、大于或大约30%、大于或大约40%、大于或大约50%、大于或大约60%、大于或大约70%、大于或大约80%、大于或大约90%、大于或大约100%、大于或大约150%、大于或大约200%、大于或大约300%,或更大的扩口的百分比来表征。在实施例中,混合歧管235可由与适配器220相类似或不同的材料制成。举例而言,混合歧管235可包含镍,其可提供对于所有可能接触混合歧管的部分的前驱物的足够的保护。与传统的技术不同,因为氟等离子体流出物可能已经在混合歧管的上游处进行了混合,所以可能不会发生与重新组合有关的问题。举例而言,在不希望受到任何的特定的理论约束的情况下,镍可催化氟自由基重新组合为双原子氟,这可能会导致在传统的技术中的多晶硅损耗。当氟流出物在传递至镍、镀镍或涂覆镍的组件之前进行混合时,该过程可能会受到限制(因为可能会降低氟流出物的浓度,从而进一步地保护处于基板级别的多晶硅特征)。
扩口部分246可为经由出口247通过第二端237而被传递通过混合歧管235的前驱物提供出口。通过混合歧管235的中央通道238的部分可经配置以在将混合的前驱物提供至腔室205之前提供被传递至混合歧管的前驱物的充分或彻底的混合。与传统的技术不同,通过在传递至腔室之前进行蚀刻剂或前驱物的混合,本系统可提供在围绕腔室和基板分布之前具有均匀的特性的蚀刻剂。此外,通过提供多个阶段的混合,可对于前驱物中的每一者提供更具有均匀性的混合。使用此方式,利用本技术执行的工艺可具有在整个基板表面上的更为均匀的结果。所示例说明的组件的堆叠也可通过减少包含在堆叠中的弹性密封件的数目来限制颗粒的积聚,该弹性密封件可能随着时间推移而退化,并产生可能会影响正在执行的工艺的颗粒。
类似于先前描述的第一挡板229,本技术的一些实施例可以可选择地包含第二挡板249,其中当第二挡板被包含时,其可与第一挡板229一起被包含,或代替第一挡板229。混合歧管235的第二端237可限定延伸至混合歧管的凹部,且第二挡板249可被安置在凹部内。第二挡板249可以可选地被包含在一些系统配置中,并且可提供第三前驱物与流动通过混合歧管235的第一前驱物和第二前驱物的混合物的改善的混合。当仅包含两个主要前驱物时,第二挡板249也可进一步将第二前驱物与第一前驱物混合,其中该两个主要前驱物也可包含附加的载气或其他的材料。第二挡板249可限定前驱物可流动通过其中的一个或多个孔或通道,该孔或通道可增加前驱物的混合的均匀性。在下文中将更详细地描述第二挡板249。
腔室205可包含堆叠布置的数个组件。腔室堆叠可包含:气体箱250、阻挡板260、面板270、可选的离子抑制组件280以及盖间隔件290。组件可被利用以分配通过腔室的前驱物或一组前驱物以将蚀刻剂或其他的前驱物均匀地传递至基板以进行处理。在实施例中,这些组件可以是堆叠的板,堆叠的板中的每一个至少部分地限定腔室205的外部。
气体箱250可限定腔室入口252。中央通道254可被限定为通过气体箱250以将前驱物传递至腔室205。入口252可与混合歧管235的出口247对准。在实施例中,入口252和/或中央通道254可由类似的直径来表征。中央通道254可延伸通过气体箱250,且经配置以将一种或多种前驱物传递至由气体箱250从上方限定的容积257。气体箱250可包含第一表面253(例如,顶表面)以及与第一表面253相对的第二表面255(例如,气体箱250的底表面)。在实施例中,顶表面253可以是平面或基本上平面的表面。与顶表面253耦接的可以是加热器248。
在实施例中,加热器248可经配置以加热腔室205,并且可传导性地加热每个盖堆叠组件。加热器248可以是任何种类的加热器,包含:流体加热器、电加热器、微波加热器或经配置以将热传导性地传递至腔室205的其他的装置。在一些实施例中,加热器248可以是或可包含:围绕气体箱250的第一表面253且以环形图案形成的电加热器。加热器可跨越气体箱250,并且围绕混合歧管235来限定。加热器可以是板加热器或电阻元件加热器,其可经配置以提供高达2,000W、大约2,000W,或大于大约2,000W的热,并且可经配置以提供大于或大约2,500W、大于或大约3,000W、大于或大约3,500W、大于或大约4,000W、大于或大约4,500W、大于或大约5,000W,或更多的热。
在实施例中,加热器248可经配置以产生高达50℃、大约50℃,或大于大约50℃的可变的腔室组件温度,并且可经配置以产生大于或大约75℃、大于或大约100℃、大于或大约150℃、大于或大约200℃、大于或大约250℃、大于或大约300℃或更高的腔室组件温度。加热器248可经配置以将个别的组件(例如,离子抑制组件280)的温度升高至这些温度中的任何一者以促进处理操作(例如,退火)。在一些处理操作中,可以将基板朝向离子抑制组件280升高以进行退火操作,并且可以调整加热器248以将加热器的温度传导性地升高至上文所述的任何的特定的温度,或在所述的温度的任何之内(或者之间)的任何的温度范围内。
气体箱250的第二表面255可与阻挡板260耦接。阻挡板260可由等于或类似于气体箱250的直径的直径来表征。阻挡板260可限定通过阻挡板260的多个孔263,其中仅示例说明孔的一种样本,该孔可允许来自容积257的前驱物(例如,蚀刻剂)的分配,并且可开始分配前驱物通过腔室205以均匀地传递至基板。虽然仅示例说明了一些孔263,应理解阻挡板260可具有限定为穿过结构的任何数目的孔263。阻挡板260可由在阻挡板260的外径处的升高的环形部分265以及在阻挡板260的外径处的降低的环形部分266来表征。在实施例中,升高的环形部分265可为阻挡板260提供结构刚性,并且可限定容积257的侧面和外径。阻挡板260也可从下方限定容积257的底部。容积257可允许在通过阻挡板260的孔263之前,从气体箱250的中央通道254分配前驱物。在实施例中,降低的环形部分266也可为阻挡板260提供结构刚性,并且可限定第二空间258的侧面或外径。阻挡板260也可从上方限定容积258的顶部,而容积258的底部可由面板270从下方限定。
面板270可包含第一表面272和与第一表面272相对的第二表面274。面板270可与阻挡板260相耦接于第一表面272处,该第一表面272可与阻挡板260的降低的环形部分266接合。面板270可限定在第二表面274的内部处的突出部分273,该突出部分273延伸到至少部分地限定在面板270内或至少部分地由面板270来限定的第三容积275。举例而言,面板270可限定第三容积275的侧面或外径,以及从上方限定容积275的顶部,而离子抑制组件280可从下方限定第三容积275。面板270可限定通过面板的多个通道(尽管在图2中未示例说明)。
离子抑制组件280可被定位在面板270的第二表面274的附近处,并且可与面板270耦接于第二表面274处。离子抑制组件280可经配置以减少离子迁移至容纳基板的腔室205的处理区域。离子抑制组件280可限定通过结构的多个孔(尽管在图2中未示例说明)。在实施例中,气体箱250、阻挡板260、面板270和离子抑制组件280可耦接在一起,并且在实施例中可直接地耦接在一起。通过直接地耦接组件,由加热器248产生的热可通过组件来传导以维持特定的腔室温度,该腔室温度可以以在组件之间的较小的变化来维持。离子抑制组件280也可接触盖间隔件290,该离子抑制组件和该盖间隔件可以一起至少部分地限定等离子体处理区域,其中基板在处理期间被保持在该等离子体处理区域中。
图3图示根据本技术的一些实施例的隔离器215的示意性的部分仰视图。如先前论述的,隔离器215可限定从隔离器215的中央通道213延伸至隔离器215的第二端212的多个孔214。孔214可围绕通过隔离器215的中心轴分布,并且可相对于通过隔离器215的中心轴等距离地分布。隔离器215可限定任何数目的孔214,该孔214可增加流动通过隔离器215的前驱物的移动、分布和/或湍流。
图4图示根据本技术的实施例的适配器220的示意性的部分俯视图。如先前描述的,第一中央通道219可从适配器220的第一端217延伸,并且可部分地通过该适配器延伸。该适配器可限定中央通道的底部,该底部可具有圆柱形的轮廓,并且可过渡至朝向第二端延伸通过适配器的多个孔225(如上所述)。类似于孔214,孔225可围绕通过适配器220的中心轴分布,且可围绕中心轴等距离地定位。适配器220可限定通过适配器的任何数目的孔,并且在一些实施例中可限定比在隔离器215中更多的孔。附加的孔可以增加与加入的前驱物的混合。如先前所述,混合通道可将附加的前驱物朝向适配器的第一端传递,并且传递至第一中央通道219。在此实施例中,图4和图5的图示将会反过来。
图5图示根据本技术的一些实施例的通过图2的线段A-A的适配器220的示意性的横截面图。图5可示例说明:通过第二中央通道221的视图,其可示出先前描述的通过第二部分226的混合通道的出口。如图所示,第二部分226可在孔225之间延伸,且可沿着适配器220的中心轴朝向适配器的第二端延伸。此外,如上所述,在第二部分226朝向第一中央通道219延伸的实施例中,图4和图5的视图将会反过来,并且来自远程等离子体单元的混合的前驱物和通过在适配器220中的端口引入的前驱物将会预混合并且从孔225离开。
图6图示根据本技术的一些实施例的混合歧管235的示意性的透视图。如上所述,混合歧管235可限定通过混合歧管的中央通道238,该中央通道可将混合的前驱物从适配器传送至处理腔室。混合歧管235也可包含数个特征,该特征允许引入可与先前混合的前驱物混合的附加的前驱物。如先前描述的,一个或多个端口239可提供用于将前驱物引入混合歧管235的通路。端口239可进入如在图2中示例说明的可延伸至限定在混合歧管235的第一表面236中的沟槽中的一者或多者的通道。
沟槽可被限定在混合歧管235的第一表面236中,其中当混合歧管与先前论述的间隔件230耦接时,该沟槽可形成至少部分地隔离的通道。第一沟槽240可围绕中央通道238来形成。第一沟槽240可以是环形的,并且可由相对于通过混合歧管235的中心轴的内半径以及外半径来表征。内半径可由第一内侧壁605来限定,该第一内侧壁可限定延伸通过混合歧管235的中央通道238的顶部部分。第一沟槽240的外半径可由第一外侧壁610来限定,该第一外侧壁可从第一内侧壁605径向向外定位。第一沟槽240可提供通过第一内侧壁605到中央通道238的流体通路。举例而言,第一内侧壁605可限定通过第一内侧壁605的数个孔606。孔606可围绕第一内侧壁605分布,以提供用于将附加的前驱物传递至中央通道238的多个进入位置。
第一内侧壁605可由从第一表面236朝向第一沟槽240的斜面或倒角面来表征。在实施例中,可以形成具有倒角的轮廓,该具有倒角的轮廓可沿着第一表面236保持第一内侧壁605的至少一部分可用于与先前论述的间隔件230耦接。倒角也可提供进一步的横向的间距以避免跨越在第一沟槽240与中央通道238之间的第一表面的泄漏。孔606可被限定为通过倒角部分,并且可以以一个角度(例如,以与倒角部分的平面呈直角,或以通过第一内侧壁605的一些其他的角度)来限定。
混合歧管235可限定第二沟槽241,该第二沟槽从第一沟槽240径向向外形成。在一些实施例中,第二沟槽241也可以是环形的,并且中央通道238、第一沟槽240和第二沟槽241可围绕通过混合歧管235的中心轴同心地对准。第二沟槽241可经由先前描述的通道243与端口239流体地耦接。通道243可延伸至在第二沟槽241内的一个或多个位置,且可从第二沟槽的底部进入第二沟槽241(虽然在其他的实施例中通道243可通过沟槽的侧壁进入沟槽241)。通过从第二沟槽241的下方进入,第二沟槽241的深度可以被最小化,这可以减小形成的通道的空间,并且可以限制被传递的前驱物的扩散以增加传递的均匀性。
第二沟槽241可被限定在第一外侧壁610(该第一外侧壁可替代地为第二内侧壁)与外半径(该外半径由混合歧管235的主体来限定)之间。在实施例中,第一外侧壁610可沿着混合歧管235的第一表面236限定第一沟槽240和第二沟槽241中的每一者。类似于第一内侧壁605的轮廓,第一外侧壁610也可由在第一外侧壁靠近第二沟槽241的一侧上沿着第一表面236的斜面或倒角面来表征。第一外侧壁610也可限定多个孔608,该孔被限定为通过壁以提供在第二沟槽241与第一沟槽240之间的流体通路。类似于通过第一内侧壁605的孔,孔608可被限定为位于沿着第一外侧壁610或通过第一外侧壁610的任何地方,并且可被限定为通过倒角部分。因此,通过端口239传递的前驱物可流入第二沟槽241、可通过孔608进入第一沟槽240,并且可通过孔606进入中央通道238,其中前驱物可与被传递通过适配器220的前驱物混合。
孔608可包含被限定为通过第一外侧壁610的任何数目的孔,并且孔606可包含被限定为通过第一内侧壁605的任何数目的孔。在一些实施例中,通过每个壁的孔的数目可以不相等。举例而言,在一些实施例中,通过第一内侧壁605的孔606的数目可大于通过第一外侧壁的孔608的数目,并且在一些实施例中,孔606的数目可以是孔608的数目的两倍或者更多。此外,孔608可相对于孔606径向地偏移,以使得没有任何的孔经由从混合歧管235的中心轴延伸的半径与任何的孔606成一直线。此类孔和通道设计可提供通过混合歧管的递归的流动,从而改善了将附加的前驱物传递至中央通道238的过程,并且可提供通过每个孔606的更为均匀的传递。混合歧管235也可限定附加的沟槽615,该沟槽可位于第二沟槽241的径向向外处,并且可被配置以接收弹性组件或o形环。
图7图示根据本技术的一些实施例的通过图6的线段B-B的混合歧管235的示意性的横截面图。横截面示例说明了孔608,如它们被限定为通过第一外侧壁610以提供从第二沟槽241至第一沟槽240的流体通路。此外,图7示例说明一些实施例,其中孔608通过第一外侧壁彼此间隔开整个直径。孔608的间隔也大致上相同,所以端口239在两个孔608之间等距离地间隔开。先前描述的通道243可以在类似的位置处进入第二沟槽241以与每个孔608相距相同或基本上相同的距离。
图8图示根据本技术的一些实施例的通过图6的线段C-C的混合歧管235的示意性的横截面图。横截面示例说明孔606,如它们被限定为通过第一内侧壁605以提供从第一沟槽240至中央通道238的流体通路。孔606和孔608可分别地延伸通过第一内侧壁和第一外侧壁的倒角部分,并且可以以垂直于倒角的角度的角度或以一些其他的倾斜角度来延伸。通过包含通过特征(例如为第一外侧壁610)的倾斜角度,传递可提供在前驱物上升以流动通过下一组的孔之前进一步地分配前驱物的流动。这也可能限制形成孔,或以其他的方式损坏第一表面236的机械加工效果。混合歧管235可提供一种设计,该设计提供前驱物与延伸通过中央通道238的一种或多种前驱物的更为均匀的混合。
如同先前解释的,用于并入多种前驱物的组件可包含一个或多个挡板(例如,在上文中描述的第一挡板229和/或第二挡板249)。被传递通过系统的前驱物可以在相对较低的压力下传递,这可以以层流提供前驱物。这可以减少当传递前驱物时的混合,这可能会影响在基板级别执行的工艺。举例而言,在没有充分地混合前驱物以提供所有的前驱物的均匀的传递的情况下,则可能无法在整个基板上均匀地发生蚀刻、沉积,或其他工艺。因此,一些实施例可包含一个或多个挡板以促进前驱物的混合,而使得所提供的材料在其进入处理腔室时更均质地分布。
然而,并入额外的组件可能会影响通过系统的压力降,这可能会影响在远程等离子体单元中的等离子体产生。举例而言,在腔室中的处理条件可经配置以在相对较低的压力下(例如,低于或大约50Torr)操作,并且可经配置以在低于或大约30Torr、低于或大约20Torr、低于或大约10Torr、低于或大约5Torr、低于或大约3Torr,或更低的压力下操作。向上移动通过腔室的组件和远程等离子体单元堆叠可能会增加在远程等离子体单元处的压力,其中每个组件可能会增加额外的压力降。因此,在远程等离子体单元处的压力可能高于在腔室中的操作压力。
当在单元处的操作条件低于特定的阈值压力时,远程等离子体单元可操作以引燃(strike)等离子体,其中该阈值压力可以是在上文中的标识的压力中的任何一者,或低于包含在上文中的范围中的任何一者中的任何特定的压力。若在处理区域与等离子体单元之间并入太多的组件,在组件群更上方处的压力可能更高,并且在某些情况下,由于高于阈值的压力的缘故,可能不会产生等离子体。尽管在某些情况下可在处理区域内减小操作压力以适应此种影响,但是进一步地减小腔室压力可能会对于工艺操作产生不利的影响。在一些配置中,根据与腔室一起操作的泵送系统,减小腔室压力可能不可行。因此,根据本技术的一些实施例的挡板可经配置以产生跨越挡板的低于5Torr或大约5Torr的压力降,同时增加前驱物的混合,并且在一些实施例中可经配置以产生低于3Torr(或大约3Torr)、低于2Torr(或大约2Torr)、低于1Torr(或大约1Torr)、低于0.5Torr(或大约0.5Torr),或在一些实施例中更低的压力降。
为了促进混合,同时将在整个挡板上的压力影响最小化,根据本技术的一些实施例的挡板可由一个或多个孔轮廓来表征。举例而言,根据本技术的实施例的挡板可限定通过板的一个或多个孔,并且实际上可包含:可增加前驱物的停留时间,或产生一定量的混合,同时限制在整个板上的压力降,或基于系统配置将压力降保持在临界值以下,以将在远程等离子体单元处的压力保持在可以形成等离子体的临界值以下的任何的孔轮廓。
根据本技术的一些实施例的系统可包含:一个或多个挡板,该挡板可包含如同先前示例说明的两个挡板。挡板的数目和板的孔轮廓可由以下各项决定:跨越堆叠的组成的组件的总压力降、以及当使用该板中的一个或两个时由该板中的一个或两个所产生的影响。举例而言,挡板或板可将在混合歧管处或在腔室入口处的前驱物分布的不均匀性减小至小于10%(或大约10%),并且在一些实施例中可将不均匀性减小至小于9%(或大约9%)、小于8%(或大约8%)、小于7%(或大约7%)、小于6%(或大约6%)、小于5%(或大约5%)、小于4%(或大约4%)、小于3%(或大约3%)、小于2%(或大约2%)、小于1%(或大约1%)、或更小,其中不均匀性为零的情况可能与前驱物的完全均质的混合相关联。
由本技术所涵盖的示例性的系统可包含第一挡板或第二挡板中的任一个,以及在一些实施例中该系统可包含第一挡板或第二挡板中的二者。当包含两个挡板时,板可由相同或不同的孔轮廓和/或材料来表征。举例而言,挡板中的任一个或两者可由先前所述的任何的材料制成,该材料包含:一种或多种金属(该金属包含涂覆的金属(例如,涂覆镍的铝)),或陶瓷。可使用任何的其他的材料,该材料可对于被传递的前驱物中的一种或多种具有抵抗性。此外,挡板可由与挡板嵌入其中的组件相类似或不同的材料制成。举例而言,第一挡板229可以是与适配器220相同或不同的材料,并且第二挡板249可以是与混合歧管235相同或不同的材料。
类似地,任一个挡板可由任何数目的孔轮廓来表征,该孔轮廓可执行一种或多种作用,例如,增加前驱物的停留时间、使得前驱物流动通过特定的图案,或引起前驱物的特定的移动。这些方面中的任何一者可增加前驱物的混合以减小混合物的不均匀性。在一些实施例中,一个或两个挡板可以是(或可包含):多孔介质,其中在该多孔介质中不存在任何的特定的孔轮廓,但是多孔介质可以使得停留时间增加,这可使得混合得到改善。该介质可具有孔隙率,该孔隙率被配置成致使预先确定的压力降增加,这可以改善混合,同时将在远程等离子体单元处的压力降保持在阈值以下以点燃等离子体。
任一个挡板可包含被限定为从第一表面通过与第一表面相对的第二表面的一个或多个孔,该第一表面可以是上游表面,并且该第二表面可以是下游表面。挡板可具有在整个挡板上分布的一个或多个孔。举例而言,任何的挡板可包含:被限定为通过挡板的一个或多个基本上为圆柱形的孔,且该孔可相似于示例说明于图3至图5中的轮廓。举例而言,挡板可具有在示例说明的图案中限定的多个孔,该孔可与相邻的组件的邻近的图案对准,或可与该图案不同来引起阻塞,从而可以促进混合。此外,可以以任何其他的轮廓(例如,在图9中示例说明的)形成孔。图9A至图9D图示根据本技术的一些实施例的示例性的挡板900的示意性的平面图。应理解示例说明的孔轮廓并非旨在限制根据本技术的实施例的挡板,而是仅旨在图示由本技术涵盖的各种孔轮廓中的一些。
图9A和图9B示例说明由三角形的轮廓来表征的孔,该三角形的轮廓具有圆角以促进制造。该两个图像旨在示例说明:在示例性的挡板中的孔可被定位在通过挡板的中心轴附近(例如,图9B中的孔920),以及从中心轴径向向外(例如,利用图9A的孔910来示例说明)。应理解到类似地涵盖了任何的其他的孔形状,其中包含了圆形的孔轮廓、泪滴状的孔轮廓,或任何的其他的可制造的孔轮廓。因此,利用示例说明于图9A中的轮廓,流入挡板的前驱物可以在中心处集中,这可促进混合。此外,利用示例说明于图9B中的轮廓,流入挡板的前驱物可能会撞击到中间的屏障,并在通过挡板之前向外扩散,这可增加停留时间和在挡板处的混合。可以选择孔的方向以适应来自相邻的组件的上游孔轮廓。举例而言,利用具有径向向外分布的孔的上游组件,更为集中的分布可被用于挡板,这可以改善混合。可类似地使用上游/下游孔分布的任何的其他的组合,这可提供改善的混合,同时限制对于压力降的影响。如先前所述,尽管在附图中分别地示例说明六个和三个孔,应理解到在本技术的实施例中可以使用任何数目的孔或孔尺寸。
挡板也可包含:孔,该孔可类似于通过挡板的通道,并且可影响通过挡板的分布。举例而言,图9C和图9D示例说明孔轮廓930和940,该孔轮廓经配置以提供一定量的气体旋转,该气体通过挡板而分布,并且该孔轮廓可影响通过挡板的流动。附图图示可以使用任何的尺寸或分布的孔,该孔的尺寸或分布可影响停留时间或保持特定的通过挡板的传导。如图所示,可形成通过挡板的通道,该通道从在挡板的一个表面处的入口延伸至在挡板的相对的表面处的出口。如所示,出口可相对于入口径向偏移,这可以引起一定量的前驱物旋转,且可以改善组件的混合。径向偏移可以使得垂直于挡板的表面并且延伸通过孔的入口的中心的轴可以不穿过孔的出口的中心,并且可以取决于偏移量而完全地不延伸通过出口。可以包含任何量的偏移,其中较大的偏移可增加前驱物的旋转量,这可增加混合。
当包含两个挡板时,可以使用任何的两个孔轮廓。举例而言,一个挡板可包含旋转通道,而另一个挡板可包含直孔。此外,一个挡板可包含经配置以引起在第一方向上的旋转的旋转通道,而第二挡板可包含经配置以引起在相反的方向上的旋转的旋转通道。可以理解到可利用任何数目的组合,并且该组合被本技术所涵盖。通过包含一个或多个挡板,混合的前驱物的不均匀性可被减小,并且在一些实施例中可被基本上或实质上消除。
图10根据本技术的一些实施例示出将前驱物传递通过处理腔室的方法1000的操作。方法1000可在系统200中执行,并且可允许在腔室外面的改善的前驱物混合,同时保护组件免受蚀刻剂的损害。虽然腔室的组件可能暴露于可能随着时间造成磨损的蚀刻剂,本技术可对于这些组件作出限制而使其成为可以更容易更换和维修的组件。举例而言,本技术可限制远程等离子体单元的内部组件的暴露,这可允许特定的保护被应用于远程等离子体单元。
方法1000可包含在操作1005中形成含氟的前驱物的远程等离子体。前驱物可被传递至远程等离子体单元,而使其解离以产生等离子体流出物。在实施例中,远程等离子体单元可被涂覆或内衬有可承受与含氟的流出物的接触的氧化物或其他的材料。在实施例中,除了载气之外,没有其他的蚀刻剂前驱物可被传递通过远程等离子体单元,这可以保护单元而不受到损坏,并且允许调整等离子体功率以提供前驱物的特定的解离,这可能对于正在执行的特定的工艺是有益的。经配置以产生不同的蚀刻剂的等离子体流出物的其他的实施例可以内衬有对于该前驱物或前驱物的组合可呈现惰性的不同的材料。
在操作1010处,含氟的前驱物的等离子体流出物可流入与远程等离子体单元耦接的适配器。在操作1015处,含氢的前驱物可流入适配器。适配器可经配置以提供在适配器内的含氟的前驱物和含氢的前驱物的混合,以在操作1020处产生第一混合物,含氟的前驱物和含氢的前驱物可以通过如同先前描述的挡板来进行进一步的混合。在操作1025处,第一混合物可从适配器流入混合歧管。在操作1030处,第三前驱物可流入混合歧管。第三前驱物可包含附加的含氢的前驱物、附加的含卤素的前驱物,或前驱物的其他的组合。混合歧管可经配置以执行第三前驱物与第一混合物的第二阶段的混合,这可以产生第二混合物1035。
随后,包含所有的三种前驱物的第二混合物可从混合歧管被传递至半导体处理腔室(尽管前驱物可以可选地通过如上所述的附加的挡板)。如先前论述,在别处描述的附加的组件可被使用以控制蚀刻剂的传递和分布。应理解到所标识的前驱物仅为在所描述的腔室中使用的适合的前驱物的示例。在整个公开中论述的腔室和材料可在任何的数目的其他的处理操作中使用,该处理操作可受益于分离前驱物并在传递至处理腔室之前将该前驱物混合。
在前面的描述中,为了解释的目的,已经阐述了许多的细节,以提供本技术的各种实施例的理解。然而,对于本领域技术人员而言将为显而易的是,可以在没有这些细节中的一些或具有额外的细节的情况下实施某些实施例。
已经公开了数个实施例,本领域技术人员将认识到在不背离实施例的精神的情况下,可以使用各种修改、替代性的构造,及等效者。此外,为了避免不必要地混淆本技术,没有描述许多的众所周知的工艺和组件。因此,前文的描述不应被认为是限制本技术的范围。
在提供数值范围的情况下,应理解的是,除非上下文另外明确地指出,在此范围的上限与下限之间的每个中间值至下限单位的最小分数也被具体地公开。包含在陈述的范围中的任何的陈述的数值或未陈述的中间值与在该陈述的范围中的任何其他的陈述的数值或未陈述的中间值之间的任何的较窄的范围。那些较小的范围的上限和下限可以独立地包含在范围中,或排除在该范围之外,并且在较小的范围中包含任一个限制值、不包含任何的限制值,或包含两个限制值的每个范围也被涵盖在技术内(其受到在陈述的范围中的任何的特定排除的限制值的限制)。在陈述的范围包含限制值中的一者或两者的情况中,排除那些包含的限制值中的任一者或两者的范围也包含在内。
如同在本文中和在随附的权利要求中使用的,单数形式“一(a)”、“一个(an)”和“该(the)”包含复数引用(除非上下文另外清楚地指出)。因此,例如,对于“一层(a layer)”的引用包含多个此类层,并且对于“该前驱物(the precursor)”的引用包含对于本领域技术人员已知的一种或多种前驱物及其等效物的引用等等。
此外,当在此说明书中和在后续的权利要求中使用时,词语“包含(comprise)”、“包含(comprising)”、“包含有(contain)”、“包含有(containing)”、“包括(include)”以及“包括(including)”旨在指定陈述的特征、整数、组件或操作的存在,但是它们不排除一个或多个其他的特征、整数、组件、操作、动作或组的存在或增加。
Claims (14)
1.一种处理系统,包含:
处理腔室;
远程等离子体单元,所述远程等离子体单元与所述处理腔室耦接;
挡板,所述挡板并入于所述处理腔室与所述远程等离子体单元之间;以及
混合歧管,所述混合歧管耦接在所述远程等离子体单元与所述处理腔室之间,其中:
所述混合歧管由第一端和与所述第一端相对的第二端表征,
所述混合歧管与所述处理腔室在所述第二端处耦接,
所述混合歧管限定通过所述混合歧管的中央通道,
所述混合歧管限定沿着所述混合歧管的外部的端口,
所述端口与限定在面向所述远程等离子体单元的所述混合歧管的第一外部表面内的第一沟槽流体地耦接,
所述第一沟槽由在第一内侧壁处的内半径以及在第一外侧壁处的外半径表征,所述第一外侧壁从所述第一内侧壁径向向外定位,
所述挡板被定位在所述混合歧管的上游处,
所述第一沟槽提供通过所述第一内侧壁到所述中央通道的流体通路,
所述第一外部表面限定第二沟槽,所述第二沟槽从所述第一沟槽径向向外定位,并且
所述端口与所述第二沟槽流体地耦接。
2.如权利要求1所述的处理系统,其中所述第二沟槽由在第二内侧壁处的内半径表征,其中所述第二内侧壁进一步限定所述第一沟槽的所述外半径,并且其中所述第二内侧壁限定多个孔,所述孔被限定为通过所述第二内侧壁并且提供通往所述第一沟槽的流体通路。
3.如权利要求1所述的处理系统,其中所述挡板是第一挡板,并且其中所述处理系统进一步包含第二挡板,所述第二挡板被定位在所述混合歧管的下游处,其中所述第一挡板和所述第二挡板各自限定一个或多个孔,并且其中所述第一挡板由与所述第二挡板不同的孔轮廓表征。
4.如权利要求1所述的处理系统,其中所述挡板限定通过所述挡板的多个孔,其中所述多个孔中的每个孔被限定为从所述挡板的第一表面作为入口通过与所述挡板的所述第一表面相对的所述挡板的第二表面作为出口,并且其中所述出口围绕垂直于所述挡板并且延伸通过所述入口的轴而相对于所述入口径向地偏移以限定通过每个孔的旋转通道。
5.如权利要求1所述的处理系统,其中所述挡板包含陶瓷或经涂覆的铝。
6.如权利要求1所述的处理系统,进一步包含隔离器,所述隔离器被耦接在所述混合歧管与所述远程等离子体单元之间,其中所述隔离器包含陶瓷。
7.如权利要求1所述的处理系统,进一步包含:
适配器,所述适配器耦接在所述混合歧管与所述远程等离子体单元之间,以及
间隔件,所述间隔件被定位在所述适配器与所述混合歧管之间。
8.如权利要求7所述的处理系统,其中所述适配器由第一端和与所述适配器的所述第一端相对的第二端表征,其中所述适配器限定中央通道,所述适配器的所述中央通道部分地通过所述适配器延伸,其中所述适配器限定通过所述适配器的外部的端口,其中所述适配器的所述外部的所述端口与被限定在所述适配器内的混合通道流体地耦接,并且其中所述混合通道与所述适配器的所述中央通道流体地耦接,并且其中所述挡板被安置在被限定在所述适配器的所述第二端中的凹部中。
9.一种处理系统,包含:
远程等离子体单元;
处理腔室,所述处理腔室包含:
气体箱,所述气体箱限定中央通道,
阻挡板,所述阻挡板与所述气体箱耦接,其中所述阻挡板限定通过所述阻挡板的多个孔,以及
面板,所述面板与所述阻挡板在所述面板的第一表面处耦接;
挡板;以及
混合歧管,所述混合歧管与所述气体箱耦接,其中:
所述混合歧管由第一端和与所述第一端相对的第二端表征,
所述混合歧管与所述处理腔室在所述第二端处耦接,
所述混合歧管限定通过所述混合歧管的中央通道,通过所述混合歧管的中央通道与被限定为通过所述气体箱的中央通道流体地耦接,
所述混合歧管沿着所述混合歧管的外部限定端口,
所述端口与被限定在面向所述远程等离子体单元的所述混合歧管的第一外部表面内的第一沟槽流体地耦接,
所述第一沟槽由在第一内侧壁处的内半径以及在第一外侧壁处的外半径表征,所述第一外侧壁从所述第一内侧壁径向向外定位,
所述第一沟槽提供通过所述第一内侧壁到限定在所述混合歧管中的所述中央通道的流体通路,
所述挡板被定位在所述混合歧管的上游处,
所述第一外部表面限定第二沟槽,所述第二沟槽从所述第一沟槽径向向外定位,并且
所述端口与所述第二沟槽流体地耦接。
10.如权利要求9所述的处理系统,进一步包含加热器,所述加热器围绕耦接至所述气体箱的混合歧管而在外部耦接至所述气体箱。
11.如权利要求9所述的处理系统,其中所述挡板是第一挡板,并且其中所述处理系统进一步包含第二挡板,所述第二挡板定位在所述混合歧管的下游处并且被安置在被限定在所述混合歧管的所述第二端中的凹部中。
12.如权利要求9所述的处理系统,进一步包含适配器,所述适配器与所述远程等离子体单元耦接,其中所述适配器由第一端和与所述适配器的所述第一端相对的第二端表征,其中所述适配器限定中央通道,所述适配器的所述中央通道从所述适配器的所述第一端通过所述适配器部分地延伸至所述适配器的中点,其中所述适配器限定从所述适配器的所述中点朝向所述适配器的所述第二端延伸的多个进入通道,并且其中所述多个进入通道围绕通过所述适配器的中心轴径向地分布。
13.如权利要求12所述的处理系统,其中所述适配器限定通过所述适配器的外部的端口,其中所述适配器的所述外部的所述端口与被限定在所述适配器内的混合通道流体地耦接,并且其中所述混合通道通过所述适配器的中央部分朝向所述适配器的所述第二端延伸。
14.如权利要求12所述的处理系统,其中所述适配器限定通过所述适配器的外部的端口,其中所述适配器的所述外部的所述端口与被限定在所述适配器内的混合通道流体地耦接,并且其中所述混合通道通过所述适配器的中央部分朝向所述适配器的所述中点延伸以流体地进入由所述适配器限定的所述中央通道。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/241,537 US11721527B2 (en) | 2019-01-07 | 2019-01-07 | Processing chamber mixing systems |
US16/241,537 | 2019-01-07 | ||
PCT/US2019/068930 WO2020146162A1 (en) | 2019-01-07 | 2019-12-30 | Processing chamber mixing systems |
Publications (2)
Publication Number | Publication Date |
---|---|
CN113287185A CN113287185A (zh) | 2021-08-20 |
CN113287185B true CN113287185B (zh) | 2023-11-14 |
Family
ID=71403659
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201980088280.7A Active CN113287185B (zh) | 2019-01-07 | 2019-12-30 | 处理腔室混合系统 |
Country Status (6)
Country | Link |
---|---|
US (1) | US11721527B2 (zh) |
JP (1) | JP7295246B2 (zh) |
KR (1) | KR102600385B1 (zh) |
CN (1) | CN113287185B (zh) |
TW (1) | TWI745826B (zh) |
WO (1) | WO2020146162A1 (zh) |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) * | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20230033101A (ko) * | 2021-08-27 | 2023-03-08 | 삼성전자주식회사 | 플라즈마 발생 장치 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6387207B1 (en) * | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
CN104981895A (zh) * | 2013-02-08 | 2015-10-14 | 应用材料公司 | 具有多个等离子体配置的半导体处理系统 |
CN105603390A (zh) * | 2014-09-26 | 2016-05-25 | 朗姆研究公司 | 具有主动冷却型格栅的气体分配装置 |
JP2016219803A (ja) * | 2015-05-22 | 2016-12-22 | ラム リサーチ コーポレーションLam Research Corporation | 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド |
Family Cites Families (1991)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2369620A (en) | 1941-03-07 | 1945-02-13 | Battelle Development Corp | Method of coating cupreous metal with tin |
US3451840A (en) | 1965-10-06 | 1969-06-24 | Us Air Force | Wire coated with boron nitride and boron |
US3401302A (en) | 1965-11-01 | 1968-09-10 | Humphreys Corp | Induction plasma generator including cooling means, gas flow means, and operating means therefor |
US3537474A (en) | 1968-02-19 | 1970-11-03 | Varian Associates | Push button vacuum control valve and vacuum system using same |
US3756511A (en) | 1971-02-02 | 1973-09-04 | Kogyo Kaihatsu Kenyusho | Nozzle and torch for plasma jet |
US3969077A (en) | 1971-12-16 | 1976-07-13 | Varian Associates | Alkali metal leak detection method and apparatus |
US4632857A (en) | 1974-05-24 | 1986-12-30 | Richardson Chemical Company | Electrolessly plated product having a polymetallic catalytic film underlayer |
US4232060A (en) | 1979-01-22 | 1980-11-04 | Richardson Chemical Company | Method of preparing substrate surface for electroless plating and products produced thereby |
US4397812A (en) | 1974-05-24 | 1983-08-09 | Richardson Chemical Company | Electroless nickel polyalloys |
US4006047A (en) | 1974-07-22 | 1977-02-01 | Amp Incorporated | Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates |
US3937857A (en) | 1974-07-22 | 1976-02-10 | Amp Incorporated | Catalyst for electroless deposition of metals |
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
US4190488A (en) | 1978-08-21 | 1980-02-26 | International Business Machines Corporation | Etching method using noble gas halides |
US4265943A (en) | 1978-11-27 | 1981-05-05 | Macdermid Incorporated | Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions |
US4234628A (en) | 1978-11-28 | 1980-11-18 | The Harshaw Chemical Company | Two-step preplate system for polymeric surfaces |
US4214946A (en) | 1979-02-21 | 1980-07-29 | International Business Machines Corporation | Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant |
US4361441A (en) | 1979-04-17 | 1982-11-30 | Plasma Holdings N.V. | Treatment of matter in low temperature plasmas |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
IT1130955B (it) | 1980-03-11 | 1986-06-18 | Oronzio De Nora Impianti | Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti |
US4361418A (en) | 1980-05-06 | 1982-11-30 | Risdon Corporation | High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions |
NL8004005A (nl) | 1980-07-11 | 1982-02-01 | Philips Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting. |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4368223A (en) | 1981-06-01 | 1983-01-11 | Asahi Glass Company, Ltd. | Process for preparing nickel layer |
DE3205345A1 (de) | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "verfahren zur herstellung von fluordotierten lichtleitfasern" |
US4585920A (en) | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
JPS591671A (ja) | 1982-05-28 | 1984-01-07 | Fujitsu Ltd | プラズマcvd装置 |
JPS59126778A (ja) | 1983-01-11 | 1984-07-21 | Tokyo Denshi Kagaku Kabushiki | プラズマエツチング方法及びその装置 |
JPS59222922A (ja) | 1983-06-01 | 1984-12-14 | Nippon Telegr & Teleph Corp <Ntt> | 気相成長装置 |
JPS6060060A (ja) | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4656052A (en) | 1984-02-13 | 1987-04-07 | Kyocera Corporation | Process for production of high-hardness boron nitride film |
US4656076A (en) | 1985-04-26 | 1987-04-07 | Triquint Semiconductors, Inc. | Self-aligned recessed gate process |
US4600464A (en) | 1985-05-01 | 1986-07-15 | International Business Machines Corporation | Plasma etching reactor with reduced plasma potential |
US4807016A (en) | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
US4610775A (en) | 1985-07-26 | 1986-09-09 | Westinghouse Electric Corp. | Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber |
JPS6245119A (ja) | 1985-08-23 | 1987-02-27 | Matsushita Electric Ind Co Ltd | ドライエツチング装置 |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US4668335A (en) | 1985-08-30 | 1987-05-26 | Advanced Micro Devices, Inc. | Anti-corrosion treatment for patterning of metallic layers |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4715937A (en) | 1986-05-05 | 1987-12-29 | The Board Of Trustees Of The Leland Stanford Junior University | Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63204726A (ja) | 1987-02-20 | 1988-08-24 | Anelva Corp | 真空処理装置 |
US5322976A (en) | 1987-02-24 | 1994-06-21 | Polyonics Corporation | Process for forming polyimide-metal laminates |
DE3856483T2 (de) | 1987-03-18 | 2002-04-18 | Kabushiki Kaisha Toshiba, Kawasaki | Verfahren zur Herstellung von Dünnschichten |
US4793897A (en) | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
US4786360A (en) | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US5198034A (en) | 1987-03-31 | 1993-03-30 | Epsilon Technology, Inc. | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
DE3884653T2 (de) | 1987-04-03 | 1994-02-03 | Fujitsu Ltd | Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant. |
US4913929A (en) | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
JP2598019B2 (ja) | 1987-06-01 | 1997-04-09 | 富士通株式会社 | 感光体の製造方法 |
US4753898A (en) | 1987-07-09 | 1988-06-28 | Motorola, Inc. | LDD CMOS process |
US4857140A (en) | 1987-07-16 | 1989-08-15 | Texas Instruments Incorporated | Method for etching silicon nitride |
US4820377A (en) | 1987-07-16 | 1989-04-11 | Texas Instruments Incorporated | Method for cleanup processing chamber and vacuum process module |
US4867841A (en) | 1987-07-16 | 1989-09-19 | Texas Instruments Incorporated | Method for etch of polysilicon film |
US4904621A (en) | 1987-07-16 | 1990-02-27 | Texas Instruments Incorporated | Remote plasma generation process using a two-stage showerhead |
US4828649A (en) | 1987-07-16 | 1989-05-09 | Texas Instruments Incorporated | Method for etching an aluminum film doped with silicon |
JPS6432627A (en) | 1987-07-29 | 1989-02-02 | Hitachi Ltd | Low-temperature dry etching method |
US4919750A (en) | 1987-09-14 | 1990-04-24 | International Business Machines Corporation | Etching metal films with complexing chloride plasma |
US4810520A (en) | 1987-09-23 | 1989-03-07 | Magnetic Peripherals Inc. | Method for controlling electroless magnetic plating |
US5180435A (en) | 1987-09-24 | 1993-01-19 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer |
WO1989003587A1 (en) | 1987-10-14 | 1989-04-20 | The Furukawa Electric Co., Ltd. | Method and apparatus for thin film formation by plasma cvd |
US4981551A (en) | 1987-11-03 | 1991-01-01 | North Carolina State University | Dry etching of silicon carbide |
US4792378A (en) | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
JP2804037B2 (ja) | 1988-02-05 | 1998-09-24 | 株式会社東芝 | ドライエッチング方法 |
JPH01297141A (ja) | 1988-05-25 | 1989-11-30 | Canon Inc | マイクロ波プラズマ処理装置 |
US4900856A (en) | 1988-05-26 | 1990-02-13 | Ethyl Corporation | Preparation of metal halide-amine complexes |
JPH029115A (ja) | 1988-06-28 | 1990-01-12 | Mitsubishi Electric Corp | 半導体製造装置 |
JPH02114525A (ja) | 1988-10-24 | 1990-04-26 | Toshiba Corp | 有機化合物膜の除去方法及び除去装置 |
JPH02114530A (ja) | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
KR930004115B1 (ko) | 1988-10-31 | 1993-05-20 | 후지쓰 가부시끼가이샤 | 애싱(ashing)처리방법 및 장치 |
EP0376252B1 (en) | 1988-12-27 | 1997-10-22 | Kabushiki Kaisha Toshiba | Method of removing an oxide film on a substrate |
US4985372A (en) | 1989-02-17 | 1991-01-15 | Tokyo Electron Limited | Method of forming conductive layer including removal of native oxide |
JP2823276B2 (ja) | 1989-03-18 | 1998-11-11 | 株式会社東芝 | X線マスクの製造方法および薄膜の内部応力制御装置 |
US4946903A (en) | 1989-03-27 | 1990-08-07 | The Research Foundation Of State University Of Ny | Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US4987856A (en) | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5270125A (en) | 1989-07-11 | 1993-12-14 | Redwood Microsystems, Inc. | Boron nutride membrane in wafer structure |
US4993358A (en) | 1989-07-28 | 1991-02-19 | Watkins-Johnson Company | Chemical vapor deposition reactor and method of operation |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
US4994404A (en) | 1989-08-28 | 1991-02-19 | Motorola, Inc. | Method for forming a lightly-doped drain (LDD) structure in a semiconductor device |
US4980018A (en) | 1989-11-14 | 1990-12-25 | Intel Corporation | Plasma etching process for refractory metal vias |
EP0447155B1 (en) | 1990-03-12 | 1995-07-26 | Ngk Insulators, Ltd. | Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters |
JP2960466B2 (ja) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
US5089441A (en) | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5147692A (en) | 1990-05-08 | 1992-09-15 | Macdermid, Incorporated | Electroless plating of nickel onto surfaces such as copper or fused tungston |
US5069938A (en) | 1990-06-07 | 1991-12-03 | Applied Materials, Inc. | Method of forming a corrosion-resistant protective coating on aluminum substrate |
US5238499A (en) | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5083030A (en) | 1990-07-18 | 1992-01-21 | Applied Photonics Research | Double-sided radiation-assisted processing apparatus |
US5235139A (en) | 1990-09-12 | 1993-08-10 | Macdermid, Incorprated | Method for fabricating printed circuits |
US5074456A (en) | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
KR930011413B1 (ko) | 1990-09-25 | 1993-12-06 | 가부시키가이샤 한도오따이 에네루기 겐큐쇼 | 펄스형 전자파를 사용한 플라즈마 cvd 법 |
DE69116058T2 (de) | 1990-09-27 | 1996-08-22 | At & T Corp | Verfahren zur Herstellung integrierter Schaltungen |
JPH04142738A (ja) | 1990-10-04 | 1992-05-15 | Sony Corp | ドライエッチング方法 |
JPH04355917A (ja) | 1990-10-12 | 1992-12-09 | Seiko Epson Corp | 半導体装置の製造装置 |
US5549780A (en) | 1990-10-23 | 1996-08-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for plasma processing and apparatus for plasma processing |
JPH0817171B2 (ja) | 1990-12-31 | 1996-02-21 | 株式会社半導体エネルギー研究所 | プラズマ発生装置およびそれを用いたエッチング方法 |
JP2640174B2 (ja) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
US5279705A (en) | 1990-11-28 | 1994-01-18 | Dainippon Screen Mfg. Co., Ltd. | Gaseous process for selectively removing silicon nitride film |
JP3206916B2 (ja) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス |
US5217559A (en) | 1990-12-10 | 1993-06-08 | Texas Instruments Incorporated | Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing |
US5578130A (en) | 1990-12-12 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for depositing a film |
EP0519079B1 (en) | 1991-01-08 | 1999-03-03 | Fujitsu Limited | Process for forming silicon oxide film |
JP2697315B2 (ja) | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | フッ素含有シリコン酸化膜の形成方法 |
JP2787142B2 (ja) | 1991-03-01 | 1998-08-13 | 上村工業 株式会社 | 無電解錫、鉛又はそれらの合金めっき方法 |
DE4107006A1 (de) | 1991-03-05 | 1992-09-10 | Siemens Ag | Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen |
US5897751A (en) | 1991-03-11 | 1999-04-27 | Regents Of The University Of California | Method of fabricating boron containing coatings |
US5330578A (en) | 1991-03-12 | 1994-07-19 | Semiconductor Energy Laboratory Co., Ltd. | Plasma treatment apparatus |
US5290383A (en) | 1991-03-24 | 1994-03-01 | Tokyo Electron Limited | Plasma-process system with improved end-point detecting scheme |
JPH05508266A (ja) | 1991-04-03 | 1993-11-18 | イーストマン・コダック・カンパニー | GaAsをドライエッチングするための高耐久性マスク |
EP0511448A1 (en) | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Method and apparatus for in-situ and on-line monitoring of a trench formation process |
JPH04341568A (ja) | 1991-05-16 | 1992-11-27 | Toshiba Corp | 薄膜形成方法及び薄膜形成装置 |
JP2699695B2 (ja) | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | 化学気相成長法 |
US5203911A (en) | 1991-06-24 | 1993-04-20 | Shipley Company Inc. | Controlled electroless plating |
US6077384A (en) | 1994-08-11 | 2000-06-20 | Applied Materials, Inc. | Plasma reactor having an inductive antenna coupling power through a parallel plate electrode |
US6074512A (en) | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
JPH0521393A (ja) | 1991-07-11 | 1993-01-29 | Sony Corp | プラズマ処理装置 |
JPH0562936A (ja) | 1991-09-03 | 1993-03-12 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマクリーニング方法 |
US5240497A (en) | 1991-10-08 | 1993-08-31 | Cornell Research Foundation, Inc. | Alkaline free electroless deposition |
US5318668A (en) | 1991-10-24 | 1994-06-07 | Matsushita Electric Industrial Co., Ltd. | Dry etching method |
JPH05226480A (ja) | 1991-12-04 | 1993-09-03 | Nec Corp | 半導体装置の製造方法 |
US5279669A (en) | 1991-12-13 | 1994-01-18 | International Business Machines Corporation | Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5352636A (en) | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5300463A (en) | 1992-03-06 | 1994-04-05 | Micron Technology, Inc. | Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers |
JP3084497B2 (ja) | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
JP2773530B2 (ja) | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2792335B2 (ja) | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | 半導体装置の製造方法 |
US5274917A (en) | 1992-06-08 | 1994-01-04 | The Whitaker Corporation | Method of making connector with monolithic multi-contact array |
US5880036A (en) | 1992-06-15 | 1999-03-09 | Micron Technology, Inc. | Method for enhancing oxide to nitride selectivity through the use of independent heat control |
KR100293830B1 (ko) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법 |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
US5534072A (en) | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3688726B2 (ja) | 1992-07-17 | 2005-08-31 | 株式会社東芝 | 半導体装置の製造方法 |
US5380560A (en) | 1992-07-28 | 1995-01-10 | International Business Machines Corporation | Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition |
US5248371A (en) | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
US5292370A (en) | 1992-08-14 | 1994-03-08 | Martin Marietta Energy Systems, Inc. | Coupled microwave ECR and radio-frequency plasma source for plasma processing |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5326427A (en) | 1992-09-11 | 1994-07-05 | Lsi Logic Corporation | Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation |
US5306530A (en) | 1992-11-23 | 1994-04-26 | Associated Universities, Inc. | Method for producing high quality thin layer films on substrates |
JP2809018B2 (ja) | 1992-11-26 | 1998-10-08 | 日本電気株式会社 | 半導体装置およびその製造方法 |
KR100238629B1 (ko) | 1992-12-17 | 2000-01-15 | 히가시 데쓰로 | 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치 |
US5500249A (en) | 1992-12-22 | 1996-03-19 | Applied Materials, Inc. | Uniform tungsten silicide films produced by chemical vapor deposition |
US5756402A (en) | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5453124A (en) | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5366585A (en) | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5345999A (en) | 1993-03-17 | 1994-09-13 | Applied Materials, Inc. | Method and apparatus for cooling semiconductor wafers |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
JP3236111B2 (ja) | 1993-03-31 | 2001-12-10 | キヤノン株式会社 | プラズマ処理装置及び処理方法 |
US5800686A (en) | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
JP2664866B2 (ja) | 1993-04-09 | 1997-10-22 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 窒化ホウ素をエッチングする方法 |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
EP0628644B1 (en) | 1993-05-27 | 2003-04-02 | Applied Materials, Inc. | Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices |
US5591269A (en) | 1993-06-24 | 1997-01-07 | Tokyo Electron Limited | Vacuum processing apparatus |
US5292682A (en) | 1993-07-06 | 1994-03-08 | Eastman Kodak Company | Method of making two-phase charge coupled device |
US5413670A (en) | 1993-07-08 | 1995-05-09 | Air Products And Chemicals, Inc. | Method for plasma etching or cleaning with diluted NF3 |
US5560779A (en) | 1993-07-12 | 1996-10-01 | Olin Corporation | Apparatus for synthesizing diamond films utilizing an arc plasma |
WO1995002900A1 (en) | 1993-07-15 | 1995-01-26 | Astarix, Inc. | Aluminum-palladium alloy for initiation of electroless plating |
EP0637063B1 (en) | 1993-07-30 | 1999-11-03 | Applied Materials, Inc. | Method for depositing silicon nitride on silicium surfaces |
US5483920A (en) | 1993-08-05 | 1996-01-16 | Board Of Governors Of Wayne State University | Method of forming cubic boron nitride films |
US5685946A (en) | 1993-08-11 | 1997-11-11 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices |
US5468597A (en) | 1993-08-25 | 1995-11-21 | Shipley Company, L.L.C. | Selective metallization process |
US5865896A (en) | 1993-08-27 | 1999-02-02 | Applied Materials, Inc. | High density plasma CVD reactor with combined inductive and capacitive coupling |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
US5384284A (en) | 1993-10-01 | 1995-01-24 | Micron Semiconductor, Inc. | Method to form a low resistant bond pad interconnect |
SE501888C2 (sv) | 1993-10-18 | 1995-06-12 | Ladislav Bardos | En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden |
US5505816A (en) | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
US5415890A (en) | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
US5403434A (en) | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
JP3188363B2 (ja) | 1994-01-21 | 2001-07-16 | エフエスアイ・インターナショナル・インコーポレーテッド | 循環クーラントを用いた温度コントローラ及びそのための温度制御方法 |
US5399237A (en) | 1994-01-27 | 1995-03-21 | Applied Materials, Inc. | Etching titanium nitride using carbon-fluoride and carbon-oxide gas |
US5451259A (en) | 1994-02-17 | 1995-09-19 | Krogh; Ole D. | ECR plasma source for remote processing |
US5454170A (en) | 1994-03-02 | 1995-10-03 | Vlsi Technology Inc. | Robot to pedestal alignment head |
US5439553A (en) | 1994-03-30 | 1995-08-08 | Penn State Research Foundation | Controlled etching of oxides via gas phase reactions |
DE69531880T2 (de) | 1994-04-28 | 2004-09-09 | Applied Materials, Inc., Santa Clara | Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US6110838A (en) | 1994-04-29 | 2000-08-29 | Texas Instruments Incorporated | Isotropic polysilicon plus nitride stripping |
US5531835A (en) | 1994-05-18 | 1996-07-02 | Applied Materials, Inc. | Patterned susceptor to reduce electrostatic force in a CVD chamber |
US5628829A (en) | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5580421A (en) | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US5767373A (en) | 1994-06-16 | 1998-06-16 | Novartis Finance Corporation | Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms |
JPH088228A (ja) * | 1994-06-20 | 1996-01-12 | Fujitsu Ltd | ドライエッチング装置 |
US5580385A (en) | 1994-06-30 | 1996-12-03 | Texas Instruments, Incorporated | Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber |
JP3501524B2 (ja) | 1994-07-01 | 2004-03-02 | 東京エレクトロン株式会社 | 処理装置の真空排気システム |
JP3411678B2 (ja) | 1994-07-08 | 2003-06-03 | 東京エレクトロン株式会社 | 処理装置 |
US5592358A (en) | 1994-07-18 | 1997-01-07 | Applied Materials, Inc. | Electrostatic chuck for magnetic flux processing |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
JPH08107101A (ja) | 1994-10-03 | 1996-04-23 | Fujitsu Ltd | プラズマ処理装置及びプラズマ処理方法 |
US5597439A (en) | 1994-10-26 | 1997-01-28 | Applied Materials, Inc. | Process gas inlet and distribution passages |
TW344897B (en) | 1994-11-30 | 1998-11-11 | At&T Tcorporation | A process for forming gate oxides possessing different thicknesses on a semiconductor substrate |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
CN1053764C (zh) | 1994-12-09 | 2000-06-21 | 中国科学院微电子中心 | 束致变蚀方法 |
EP0795048B1 (en) | 1994-12-19 | 2000-03-15 | Alcan International Limited | Cleaning aluminium workpieces |
US5792376A (en) | 1995-01-06 | 1998-08-11 | Kabushiki Kaisha Toshiba | Plasma processing apparatus and plasma processing method |
US5772770A (en) | 1995-01-27 | 1998-06-30 | Kokusai Electric Co, Ltd. | Substrate processing apparatus |
JPH08279495A (ja) | 1995-02-07 | 1996-10-22 | Seiko Epson Corp | プラズマ処理装置及びその方法 |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US5670066A (en) | 1995-03-17 | 1997-09-23 | Lam Research Corporation | Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5556521A (en) | 1995-03-24 | 1996-09-17 | Sony Corporation | Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source |
JPH08264510A (ja) | 1995-03-27 | 1996-10-11 | Toshiba Corp | シリコン窒化膜のエッチング方法およびエッチング装置 |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
JP3360098B2 (ja) | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
JP3270852B2 (ja) | 1995-04-20 | 2002-04-02 | 東京エレクトロン株式会社 | 圧力調整装置及びこれを用いた部屋の連通方法 |
TW434745B (en) | 1995-06-07 | 2001-05-16 | Tokyo Electron Ltd | Plasma processing apparatus |
US20010028922A1 (en) | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
JP3599204B2 (ja) | 1995-06-08 | 2004-12-08 | アネルバ株式会社 | Cvd装置 |
JP2814370B2 (ja) | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5997962A (en) | 1995-06-30 | 1999-12-07 | Tokyo Electron Limited | Plasma process utilizing an electrostatic chuck |
US5968379A (en) | 1995-07-14 | 1999-10-19 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability and related methods |
US6022446A (en) | 1995-08-21 | 2000-02-08 | Shan; Hongching | Shallow magnetic fields for generating circulating electrons to enhance plasma processing |
US6197364B1 (en) | 1995-08-22 | 2001-03-06 | International Business Machines Corporation | Production of electroless Co(P) with designed coercivity |
US5755859A (en) | 1995-08-24 | 1998-05-26 | International Business Machines Corporation | Cobalt-tin alloys and their applications for devices, chip interconnections and packaging |
US6053982A (en) | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5716506A (en) | 1995-10-06 | 1998-02-10 | Board Of Trustees Of The University Of Illinois | Electrochemical sensors for gas detection |
JPH09106898A (ja) | 1995-10-09 | 1997-04-22 | Anelva Corp | プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法 |
US5635086A (en) | 1995-10-10 | 1997-06-03 | The Esab Group, Inc. | Laser-plasma arc metal cutting apparatus |
JPH09106899A (ja) | 1995-10-11 | 1997-04-22 | Anelva Corp | プラズマcvd装置及び方法並びにドライエッチング装置及び方法 |
US5814238A (en) | 1995-10-12 | 1998-09-29 | Sandia Corporation | Method for dry etching of transition metals |
US5910340A (en) | 1995-10-23 | 1999-06-08 | C. Uyemura & Co., Ltd. | Electroless nickel plating solution and method |
US6015724A (en) | 1995-11-02 | 2000-01-18 | Semiconductor Energy Laboratory Co. | Manufacturing method of a semiconductor device |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5648125A (en) | 1995-11-16 | 1997-07-15 | Cane; Frank N. | Electroless plating process for the manufacture of printed circuit boards |
US5846598A (en) | 1995-11-30 | 1998-12-08 | International Business Machines Corporation | Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating |
US5756400A (en) | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5733816A (en) | 1995-12-13 | 1998-03-31 | Micron Technology, Inc. | Method for depositing a tungsten layer on silicon |
US6261637B1 (en) | 1995-12-15 | 2001-07-17 | Enthone-Omi, Inc. | Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication |
EP0811083B1 (en) | 1995-12-19 | 2000-05-31 | FSI International | Electroless deposition of metal films with spray processor |
US5883012A (en) | 1995-12-21 | 1999-03-16 | Motorola, Inc. | Method of etching a trench into a semiconductor substrate |
US5679606A (en) | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
DE69623651T2 (de) | 1995-12-27 | 2003-04-24 | Lam Research Corp., Fremont | Verfahren zur füllung von gräben auf einer halbleiterscheibe |
WO1997024760A1 (fr) | 1995-12-28 | 1997-07-10 | Nippon Sanso Corporation | Procede et dispositif de transfert de substrats en plaques minces |
US5824599A (en) | 1996-01-16 | 1998-10-20 | Cornell Research Foundation, Inc. | Protected encapsulation of catalytic layer for electroless copper interconnect |
US5891513A (en) | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US6036878A (en) | 1996-02-02 | 2000-03-14 | Applied Materials, Inc. | Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US6004884A (en) | 1996-02-15 | 1999-12-21 | Lam Research Corporation | Methods and apparatus for etching semiconductor wafers |
US6200412B1 (en) | 1996-02-16 | 2001-03-13 | Novellus Systems, Inc. | Chemical vapor deposition system including dedicated cleaning gas injection |
TW335517B (en) | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US5656093A (en) | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
JPH09260356A (ja) | 1996-03-22 | 1997-10-03 | Toshiba Corp | ドライエッチング方法 |
US6065425A (en) | 1996-03-25 | 2000-05-23 | Canon Kabushiki Kaisha | Plasma process apparatus and plasma process method |
DE69739101D1 (de) | 1996-03-25 | 2008-12-24 | S George Lesinski | Microantriebsbefestigung für implantierbares hörhilfegerät |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US5843847A (en) | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
US6176667B1 (en) | 1996-04-30 | 2001-01-23 | Applied Materials, Inc. | Multideck wafer processing system |
KR100230981B1 (ko) | 1996-05-08 | 1999-11-15 | 김광호 | 반도체장치 제조공정의 플라즈마 식각 방법 |
US5660957A (en) | 1996-05-16 | 1997-08-26 | Fujitsu Limited | Electron-beam treatment procedure for patterned mask layers |
US5863376A (en) | 1996-06-05 | 1999-01-26 | Lam Research Corporation | Temperature controlling method and apparatus for a plasma processing chamber |
US6048798A (en) | 1996-06-05 | 2000-04-11 | Lam Research Corporation | Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
TW409152B (en) | 1996-06-13 | 2000-10-21 | Samsung Electronic | Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film |
US5846373A (en) | 1996-06-28 | 1998-12-08 | Lam Research Corporation | Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber |
US5885358A (en) * | 1996-07-09 | 1999-03-23 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5846883A (en) | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
US5868897A (en) | 1996-07-31 | 1999-02-09 | Toyo Technologies, Inc. | Device and method for processing a plasma to alter the surface of a substrate using neutrals |
JPH1079372A (ja) | 1996-09-03 | 1998-03-24 | Matsushita Electric Ind Co Ltd | プラズマ処理方法及びプラズマ処理装置 |
US5661093A (en) | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5888906A (en) | 1996-09-16 | 1999-03-30 | Micron Technology, Inc. | Plasmaless dry contact cleaning method using interhalogen compounds |
US5747373A (en) | 1996-09-24 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Nitride-oxide sidewall spacer for salicide formation |
US5846375A (en) | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US5835334A (en) | 1996-09-30 | 1998-11-10 | Lam Research | Variable high temperature chuck for high density plasma chemical vapor deposition |
US5904827A (en) | 1996-10-15 | 1999-05-18 | Reynolds Tech Fabricators, Inc. | Plating cell with rotary wiper and megasonic transducer |
US6308654B1 (en) | 1996-10-18 | 2001-10-30 | Applied Materials, Inc. | Inductively coupled parallel-plate plasma reactor with a conical dome |
US5951776A (en) | 1996-10-25 | 1999-09-14 | Applied Materials, Inc. | Self aligning lift mechanism |
KR100237825B1 (ko) | 1996-11-05 | 2000-01-15 | 윤종용 | 반도체장치 제조설비의 페디스탈 |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5968587A (en) | 1996-11-13 | 1999-10-19 | Applied Materials, Inc. | Systems and methods for controlling the temperature of a vapor deposition apparatus |
US6114216A (en) | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US6019848A (en) | 1996-11-13 | 2000-02-01 | Applied Materials, Inc. | Lid assembly for high temperature processing chamber |
US5939831A (en) | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US5935340A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Method and apparatus for gettering fluorine from chamber material surfaces |
US5963840A (en) | 1996-11-13 | 1999-10-05 | Applied Materials, Inc. | Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions |
US5873781A (en) | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
US5882786A (en) | 1996-11-15 | 1999-03-16 | C3, Inc. | Gemstones formed of silicon carbide with diamond coating |
US5830805A (en) | 1996-11-18 | 1998-11-03 | Cornell Research Foundation | Electroless deposition equipment or apparatus and method of performing electroless deposition |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US6152070A (en) | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
FR2756663B1 (fr) | 1996-12-04 | 1999-02-26 | Berenguer Marc | Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface |
US5951896A (en) | 1996-12-04 | 1999-09-14 | Micro C Technologies, Inc. | Rapid thermal processing heater technology and method of use |
US6312554B1 (en) | 1996-12-05 | 2001-11-06 | Applied Materials, Inc. | Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber |
JPH10172792A (ja) | 1996-12-05 | 1998-06-26 | Tokyo Electron Ltd | プラズマ処理装置 |
US5843538A (en) | 1996-12-09 | 1998-12-01 | John L. Raymond | Method for electroless nickel plating of metal substrates |
DE19651646C2 (de) | 1996-12-12 | 2002-07-11 | Deutsch Zentr Luft & Raumfahrt | Verfahren zum Einblasen einer ersten und zweiten Brennstoffkomponente und Einblaskopf |
US6120640A (en) | 1996-12-19 | 2000-09-19 | Applied Materials, Inc. | Boron carbide parts and coatings in a plasma reactor |
US5948702A (en) | 1996-12-19 | 1999-09-07 | Texas Instruments Incorporated | Selective removal of TixNy |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
KR100234539B1 (ko) | 1996-12-24 | 1999-12-15 | 윤종용 | 반도체장치 제조용 식각 장치 |
US5788825A (en) | 1996-12-30 | 1998-08-04 | Samsung Electronics Co., Ltd. | Vacuum pumping system for a sputtering device |
US5955037A (en) | 1996-12-31 | 1999-09-21 | Atmi Ecosys Corporation | Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases |
DE19700231C2 (de) | 1997-01-07 | 2001-10-04 | Geesthacht Gkss Forschung | Vorrichtung zum Filtern und Trennen von Strömungsmedien |
TW415970B (en) | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US5913147A (en) | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
JPH10223608A (ja) | 1997-02-04 | 1998-08-21 | Sony Corp | 半導体装置の製造方法 |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US6479373B2 (en) | 1997-02-20 | 2002-11-12 | Infineon Technologies Ag | Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases |
DE19706682C2 (de) | 1997-02-20 | 1999-01-14 | Bosch Gmbh Robert | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6328803B2 (en) | 1997-02-21 | 2001-12-11 | Micron Technology, Inc. | Method and apparatus for controlling rate of pressure change in a vacuum process chamber |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US6267074B1 (en) | 1997-02-24 | 2001-07-31 | Foi Corporation | Plasma treatment systems |
US5789300A (en) | 1997-02-25 | 1998-08-04 | Advanced Micro Devices, Inc. | Method of making IGFETs in densely and sparsely populated areas of a substrate |
KR100295518B1 (ko) | 1997-02-25 | 2001-11-30 | 아끼구사 나오유끼 | 질화실리콘층의에칭방법및반도체장치의제조방법 |
US6039834A (en) | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
TW418461B (en) | 1997-03-07 | 2001-01-11 | Tokyo Electron Ltd | Plasma etching device |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
TW376547B (en) | 1997-03-27 | 1999-12-11 | Matsushita Electric Ind Co Ltd | Method and apparatus for plasma processing |
US5786276A (en) | 1997-03-31 | 1998-07-28 | Applied Materials, Inc. | Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2 |
US6017414A (en) | 1997-03-31 | 2000-01-25 | Lam Research Corporation | Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
JPH10284360A (ja) | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
US5866483A (en) | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US6174450B1 (en) | 1997-04-16 | 2001-01-16 | Lam Research Corporation | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
US6143158A (en) | 1997-04-25 | 2000-11-07 | Fuji Photo Film Co., Ltd. | Method for producing an aluminum support for a lithographic printing plate |
US6204200B1 (en) | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
US5969422A (en) | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure |
US6083344A (en) | 1997-05-29 | 2000-07-04 | Applied Materials, Inc. | Multi-zone RF inductively coupled source configuration |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US6706334B1 (en) | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5885749A (en) | 1997-06-20 | 1999-03-23 | Clear Logic, Inc. | Method of customizing integrated circuits by selective secondary deposition of layer interconnect material |
US5933757A (en) | 1997-06-23 | 1999-08-03 | Lsi Logic Corporation | Etch process selective to cobalt silicide for formation of integrated circuit structures |
US6815633B1 (en) | 1997-06-26 | 2004-11-09 | Applied Science & Technology, Inc. | Inductively-coupled toroidal plasma source |
US6388226B1 (en) | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6518155B1 (en) | 1997-06-30 | 2003-02-11 | Intel Corporation | Device structure and method for reducing silicide encroachment |
US6184121B1 (en) | 1997-07-10 | 2001-02-06 | International Business Machines Corporation | Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same |
US5944049A (en) | 1997-07-15 | 1999-08-31 | Applied Materials, Inc. | Apparatus and method for regulating a pressure in a chamber |
JPH1136076A (ja) | 1997-07-16 | 1999-02-09 | Tokyo Electron Ltd | Cvd成膜装置およびcvd成膜方法 |
US5982100A (en) | 1997-07-28 | 1999-11-09 | Pars, Inc. | Inductively coupled plasma reactor |
US5814365A (en) | 1997-08-15 | 1998-09-29 | Micro C Technologies, Inc. | Reactor and method of processing a semiconductor substate |
US6090212A (en) | 1997-08-15 | 2000-07-18 | Micro C Technologies, Inc. | Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate |
US6007635A (en) | 1997-11-26 | 1999-12-28 | Micro C Technologies, Inc. | Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing |
US5926737A (en) | 1997-08-19 | 1999-07-20 | Tokyo Electron Limited | Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing |
US6080446A (en) | 1997-08-21 | 2000-06-27 | Anelva Corporation | Method of depositing titanium nitride thin film and CVD deposition apparatus |
US6258170B1 (en) | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6063688A (en) | 1997-09-29 | 2000-05-16 | Intel Corporation | Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition |
US6161500A (en) | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
US6364957B1 (en) | 1997-10-09 | 2002-04-02 | Applied Materials, Inc. | Support assembly with thermal expansion compensation |
US6688375B1 (en) | 1997-10-14 | 2004-02-10 | Applied Materials, Inc. | Vacuum processing system having improved substrate heating and cooling |
GB9722028D0 (en) | 1997-10-17 | 1997-12-17 | Shipley Company Ll C | Plating of polymers |
US6110556A (en) * | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
US6379575B1 (en) | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US6136693A (en) | 1997-10-27 | 2000-10-24 | Chartered Semiconductor Manufacturing Ltd. | Method for planarized interconnect vias using electroless plating and CMP |
US6063712A (en) | 1997-11-25 | 2000-05-16 | Micron Technology, Inc. | Oxide etch and method of etching |
US6136165A (en) | 1997-11-26 | 2000-10-24 | Cvc Products, Inc. | Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition |
US5849639A (en) | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6077780A (en) | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6143476A (en) | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6083844A (en) | 1997-12-22 | 2000-07-04 | Lam Research Corporation | Techniques for etching an oxide layer |
US6415858B1 (en) | 1997-12-31 | 2002-07-09 | Temptronic Corporation | Temperature control system for a workpiece chuck |
US6406759B1 (en) | 1998-01-08 | 2002-06-18 | The University Of Tennessee Research Corporation | Remote exposure of workpieces using a recirculated plasma |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US6635578B1 (en) | 1998-02-09 | 2003-10-21 | Applied Materials, Inc | Method of operating a dual chamber reactor with neutral density decoupled from ion density |
US6074514A (en) | 1998-02-09 | 2000-06-13 | Applied Materials, Inc. | High selectivity etch using an external plasma discharge |
US5932077A (en) | 1998-02-09 | 1999-08-03 | Reynolds Tech Fabricators, Inc. | Plating cell with horizontal product load mechanism |
US6627532B1 (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6186091B1 (en) | 1998-02-11 | 2001-02-13 | Silicon Genesis Corporation | Shielded platen design for plasma immersion ion implantation |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6197688B1 (en) | 1998-02-12 | 2001-03-06 | Motorola Inc. | Interconnect structure in a semiconductor device and method of formation |
US6171661B1 (en) | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
US6892669B2 (en) | 1998-02-26 | 2005-05-17 | Anelva Corporation | CVD apparatus |
JP4151862B2 (ja) | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
US6551939B2 (en) | 1998-03-17 | 2003-04-22 | Anneal Corporation | Plasma surface treatment method and resulting device |
US5920792A (en) | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6197181B1 (en) | 1998-03-20 | 2001-03-06 | Semitool, Inc. | Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6565729B2 (en) | 1998-03-20 | 2003-05-20 | Semitool, Inc. | Method for electrochemically depositing metal on a semiconductor workpiece |
US6602434B1 (en) | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6203657B1 (en) | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
JP2002510878A (ja) | 1998-04-02 | 2002-04-09 | アプライド マテリアルズ インコーポレイテッド | 低k誘電体をエッチングする方法 |
JP2976965B2 (ja) | 1998-04-02 | 1999-11-10 | 日新電機株式会社 | 成膜方法及び成膜装置 |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6174810B1 (en) | 1998-04-06 | 2001-01-16 | Motorola, Inc. | Copper interconnect structure and method of formation |
US6117245A (en) | 1998-04-08 | 2000-09-12 | Applied Materials, Inc. | Method and apparatus for controlling cooling and heating fluids for a gas distribution plate |
US5997649A (en) | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6184489B1 (en) | 1998-04-13 | 2001-02-06 | Nec Corporation | Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles |
US6113771A (en) | 1998-04-21 | 2000-09-05 | Applied Materials, Inc. | Electro deposition chemistry |
US6416647B1 (en) | 1998-04-21 | 2002-07-09 | Applied Materials, Inc. | Electro-chemical deposition cell for face-up processing of single semiconductor substrates |
US6077386A (en) | 1998-04-23 | 2000-06-20 | Sandia Corporation | Method and apparatus for monitoring plasma processing operations |
US6179924B1 (en) | 1998-04-28 | 2001-01-30 | Applied Materials, Inc. | Heater for use in substrate processing apparatus to deposit tungsten |
US6093594A (en) | 1998-04-29 | 2000-07-25 | Advanced Micro Devices, Inc. | CMOS optimization method utilizing sacrificial sidewall spacer |
US6081414A (en) | 1998-05-01 | 2000-06-27 | Applied Materials, Inc. | Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6218288B1 (en) | 1998-05-11 | 2001-04-17 | Micron Technology, Inc. | Multiple step methods for forming conformal layers |
US6509283B1 (en) | 1998-05-13 | 2003-01-21 | National Semiconductor Corporation | Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon |
KR100505310B1 (ko) | 1998-05-13 | 2005-08-04 | 동경 엘렉트론 주식회사 | 성막 장치 및 방법 |
US6007785A (en) | 1998-05-20 | 1999-12-28 | Academia Sinica | Apparatus for efficient ozone generation |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100296137B1 (ko) | 1998-06-16 | 2001-08-07 | 박종섭 | 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법 |
US6148761A (en) | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6302964B1 (en) | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
JP2003517190A (ja) | 1998-06-30 | 2003-05-20 | セミトウール・インコーポレーテツド | ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法 |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
JP2000026975A (ja) | 1998-07-09 | 2000-01-25 | Komatsu Ltd | 表面処理装置 |
KR100265866B1 (ko) | 1998-07-11 | 2000-12-01 | 황철주 | 반도체 제조장치 |
US6182603B1 (en) | 1998-07-13 | 2001-02-06 | Applied Komatsu Technology, Inc. | Surface-treated shower head for use in a substrate processing chamber |
US6063683A (en) | 1998-07-27 | 2000-05-16 | Acer Semiconductor Manufacturing, Inc. | Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells |
US6436816B1 (en) | 1998-07-31 | 2002-08-20 | Industrial Technology Research Institute | Method of electroless plating copper on nitride barrier |
US6162370A (en) | 1998-08-28 | 2000-12-19 | Ashland Inc. | Composition and method for selectively etching a silicon nitride film |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6440863B1 (en) | 1998-09-04 | 2002-08-27 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming patterned oxygen containing plasma etchable layer |
US6165912A (en) | 1998-09-17 | 2000-12-26 | Cfmt, Inc. | Electroless metal deposition of electronic components in an enclosable vessel |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
JP3725708B2 (ja) | 1998-09-29 | 2005-12-14 | 株式会社東芝 | 半導体装置 |
US6170429B1 (en) | 1998-09-30 | 2001-01-09 | Lam Research Corporation | Chamber liner for semiconductor process chambers |
US6277733B1 (en) | 1998-10-05 | 2001-08-21 | Texas Instruments Incorporated | Oxygen-free, dry plasma process for polymer removal |
JP3764594B2 (ja) | 1998-10-12 | 2006-04-12 | 株式会社日立製作所 | プラズマ処理方法 |
US6180523B1 (en) | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6228758B1 (en) | 1998-10-14 | 2001-05-08 | Advanced Micro Devices, Inc. | Method of making dual damascene conductive interconnections and integrated circuit device comprising same |
US6251802B1 (en) | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6107199A (en) | 1998-10-24 | 2000-08-22 | International Business Machines Corporation | Method for improving the morphology of refractory metal thin films |
US6454860B2 (en) * | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
JP3064268B2 (ja) | 1998-10-29 | 2000-07-12 | アプライド マテリアルズ インコーポレイテッド | 成膜方法及び装置 |
US6176198B1 (en) | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6462371B1 (en) | 1998-11-24 | 2002-10-08 | Micron Technology Inc. | Films doped with carbon for use in integrated circuit technology |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6228233B1 (en) | 1998-11-30 | 2001-05-08 | Applied Materials, Inc. | Inflatable compliant bladder assembly |
US6251236B1 (en) | 1998-11-30 | 2001-06-26 | Applied Materials, Inc. | Cathode contact ring for electrochemical deposition |
US6258220B1 (en) | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6015747A (en) | 1998-12-07 | 2000-01-18 | Advanced Micro Device | Method of metal/polysilicon gate formation in a field effect transistor |
US6242349B1 (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
DE59914708D1 (de) | 1998-12-24 | 2008-05-08 | Atmel Germany Gmbh | Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches |
DE19901210A1 (de) | 1999-01-14 | 2000-07-27 | Siemens Ag | Halbleiterbauelement und Verfahren zu dessen Herstellung |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
TW428256B (en) | 1999-01-25 | 2001-04-01 | United Microelectronics Corp | Structure of conducting-wire layer and its fabricating method |
JP3330554B2 (ja) | 1999-01-27 | 2002-09-30 | 松下電器産業株式会社 | エッチング方法 |
US6245669B1 (en) | 1999-02-05 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | High selectivity Si-rich SiON etch-stop layer |
US6740247B1 (en) | 1999-02-05 | 2004-05-25 | Massachusetts Institute Of Technology | HF vapor phase wafer cleaning and oxide etching |
KR100322545B1 (ko) | 1999-02-10 | 2002-03-18 | 윤종용 | 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법 |
US6010962A (en) | 1999-02-12 | 2000-01-04 | Taiwan Semiconductor Manufacturing Company | Copper chemical-mechanical-polishing (CMP) dishing |
US6245670B1 (en) | 1999-02-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure |
TW469534B (en) | 1999-02-23 | 2001-12-21 | Matsushita Electric Ind Co Ltd | Plasma processing method and apparatus |
US6291282B1 (en) | 1999-02-26 | 2001-09-18 | Texas Instruments Incorporated | Method of forming dual metal gate structures or CMOS devices |
TW582050B (en) | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
US6136163A (en) | 1999-03-05 | 2000-10-24 | Applied Materials, Inc. | Apparatus for electro-chemical deposition with thermal anneal chamber |
US6312995B1 (en) | 1999-03-08 | 2001-11-06 | Advanced Micro Devices, Inc. | MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration |
US6468604B1 (en) | 1999-03-17 | 2002-10-22 | Anelva Corporation | Method for manufacturing a titanium nitride thin film |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6797189B2 (en) | 1999-03-25 | 2004-09-28 | Hoiman (Raymond) Hung | Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon |
US6144099A (en) | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
JP2000290777A (ja) | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | ガス処理装置、バッフル部材、及びガス処理方法 |
US6263830B1 (en) | 1999-04-12 | 2001-07-24 | Matrix Integrated Systems, Inc. | Microwave choke for remote plasma generator |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6450116B1 (en) | 1999-04-22 | 2002-09-17 | Applied Materials, Inc. | Apparatus for exposing a substrate to plasma radicals |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6110832A (en) | 1999-04-28 | 2000-08-29 | International Business Machines Corporation | Method and apparatus for slurry polishing |
JP3965258B2 (ja) | 1999-04-30 | 2007-08-29 | 日本碍子株式会社 | 半導体製造装置用のセラミックス製ガス供給構造 |
US6541671B1 (en) | 2002-02-13 | 2003-04-01 | The Regents Of The University Of California | Synthesis of 2H- and 13C-substituted dithanes |
JP3099066B1 (ja) | 1999-05-07 | 2000-10-16 | 東京工業大学長 | 薄膜構造体の製造方法 |
US6310755B1 (en) | 1999-05-07 | 2001-10-30 | Applied Materials, Inc. | Electrostatic chuck having gas cavity and method |
US6490146B2 (en) | 1999-05-07 | 2002-12-03 | Applied Materials Inc. | Electrostatic chuck bonded to base with a bond layer and method |
JP3482904B2 (ja) | 1999-05-10 | 2004-01-06 | 松下電器産業株式会社 | プラズマ処理方法及び装置 |
EP1198610A4 (en) | 1999-05-14 | 2004-04-07 | Univ California | PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES |
US7091605B2 (en) | 2001-09-21 | 2006-08-15 | Eastman Kodak Company | Highly moisture-sensitive electronic device element and method for fabrication |
US6129829A (en) | 1999-05-14 | 2000-10-10 | Thompson; Donald E. | Electrostatic filter for dielectric fluid |
JP2000331993A (ja) | 1999-05-19 | 2000-11-30 | Mitsubishi Electric Corp | プラズマ処理装置 |
EP1879213B1 (en) | 1999-05-26 | 2012-03-14 | Tokyo Electron Limited | Plasma processing apparatus |
US6323128B1 (en) | 1999-05-26 | 2001-11-27 | International Business Machines Corporation | Method for forming Co-W-P-Au films |
JP3320685B2 (ja) | 1999-06-02 | 2002-09-03 | 株式会社半導体先端テクノロジーズ | 微細パターン形成方法 |
US6916399B1 (en) | 1999-06-03 | 2005-07-12 | Applied Materials Inc | Temperature controlled window with a fluid supply system |
US6565661B1 (en) | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US20020033233A1 (en) | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6161576A (en) | 1999-06-23 | 2000-12-19 | Mks Instruments, Inc. | Integrated turbo pump and control valve system |
US6110530A (en) | 1999-06-25 | 2000-08-29 | Applied Materials, Inc. | CVD method of depositing copper films by using improved organocopper precursor blend |
FR2795555B1 (fr) | 1999-06-28 | 2002-12-13 | France Telecom | Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique |
US6277752B1 (en) | 1999-06-28 | 2001-08-21 | Taiwan Semiconductor Manufacturing Company | Multiple etch method for forming residue free patterned hard mask layer |
US6242360B1 (en) | 1999-06-29 | 2001-06-05 | Lam Research Corporation | Plasma processing system apparatus, and method for delivering RF power to a plasma processing |
US6444083B1 (en) | 1999-06-30 | 2002-09-03 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof |
US6415736B1 (en) | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6258223B1 (en) | 1999-07-09 | 2001-07-10 | Applied Materials, Inc. | In-situ electroless copper seed layer enhancement in an electroplating system |
US6516815B1 (en) | 1999-07-09 | 2003-02-11 | Applied Materials, Inc. | Edge bead removal/spin rinse dry (EBR/SRD) module |
US6352081B1 (en) | 1999-07-09 | 2002-03-05 | Applied Materials, Inc. | Method of cleaning a semiconductor device processing chamber after a copper etch process |
US6351013B1 (en) | 1999-07-13 | 2002-02-26 | Advanced Micro Devices, Inc. | Low-K sub spacer pocket formation for gate capacitance reduction |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6237527B1 (en) | 1999-08-06 | 2001-05-29 | Axcelis Technologies, Inc. | System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate |
US6235643B1 (en) | 1999-08-10 | 2001-05-22 | Applied Materials, Inc. | Method for etching a trench having rounded top and bottom corners in a silicon substrate |
EP1077480B1 (en) | 1999-08-17 | 2008-11-12 | Applied Materials, Inc. | Method and apparatus to enhance properties of Si-O-C low K films |
EP1214459B1 (en) | 1999-08-17 | 2009-01-07 | Tokyo Electron Limited | Pulsed plasma processing method and apparatus |
EP1077274A1 (en) | 1999-08-17 | 2001-02-21 | Applied Materials, Inc. | Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes |
EP1077479A1 (en) | 1999-08-17 | 2001-02-21 | Applied Materials, Inc. | Post-deposition treatment to enchance properties of Si-O-C low K film |
US6602806B1 (en) | 1999-08-17 | 2003-08-05 | Applied Materials, Inc. | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film |
JP4220075B2 (ja) | 1999-08-20 | 2009-02-04 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US6322716B1 (en) | 1999-08-30 | 2001-11-27 | Cypress Semiconductor Corp. | Method for conditioning a plasma etch chamber |
US6375748B1 (en) | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
EP1083593A1 (en) | 1999-09-10 | 2001-03-14 | Interuniversitair Micro-Elektronica Centrum Vzw | Etching of silicon nitride by anhydrous halogen gas |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6548414B2 (en) | 1999-09-14 | 2003-04-15 | Infineon Technologies Ag | Method of plasma etching thin films of difficult to dry etch materials |
JP3514186B2 (ja) | 1999-09-16 | 2004-03-31 | 日新電機株式会社 | 薄膜形成方法及び装置 |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6432819B1 (en) | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6321587B1 (en) | 1999-10-15 | 2001-11-27 | Radian International Llc | Solid state fluorine sensor system and method |
US6423284B1 (en) | 1999-10-18 | 2002-07-23 | Advanced Technology Materials, Inc. | Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
KR100338768B1 (ko) | 1999-10-25 | 2002-05-30 | 윤종용 | 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치 |
DE29919142U1 (de) | 1999-10-30 | 2001-03-08 | Agrodyn Hochspannungstechnik GmbH, 33803 Steinhagen | Plasmadüse |
US6551924B1 (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch |
JP3366301B2 (ja) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
US6162302A (en) | 1999-11-16 | 2000-12-19 | Agilent Technologies | Method of cleaning quartz substrates using conductive solutions |
US8114245B2 (en) | 1999-11-26 | 2012-02-14 | Tadahiro Ohmi | Plasma etching device |
US6465350B1 (en) | 1999-11-29 | 2002-10-15 | Texas Instruments Incorporated | Aluminum nitride thin film formation on integrated circuits |
US6573194B2 (en) | 1999-11-29 | 2003-06-03 | Texas Instruments Incorporated | Method of growing surface aluminum nitride on aluminum films with low energy barrier |
US6599842B2 (en) | 1999-11-29 | 2003-07-29 | Applied Materials, Inc. | Method for rounding corners and removing damaged outer surfaces of a trench |
AU2905901A (en) | 1999-11-30 | 2001-06-12 | Regents Of The University Of California, The | Method for producing fluorinated diamond-like carbon films |
US6342453B1 (en) | 1999-12-03 | 2002-01-29 | Applied Materials, Inc. | Method for CVD process control for enhancing device performance |
DE10060002B4 (de) | 1999-12-07 | 2016-01-28 | Komatsu Ltd. | Vorrichtung zur Oberflächenbehandlung |
JP2001164371A (ja) | 1999-12-07 | 2001-06-19 | Nec Corp | プラズマcvd装置およびプラズマcvd成膜法 |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
JP3659101B2 (ja) | 1999-12-13 | 2005-06-15 | 富士ゼロックス株式会社 | 窒化物半導体素子及びその製造方法 |
JP4695238B2 (ja) | 1999-12-14 | 2011-06-08 | 東京エレクトロン株式会社 | 圧力制御方法 |
US6277763B1 (en) | 1999-12-16 | 2001-08-21 | Applied Materials, Inc. | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen |
KR100385133B1 (ko) | 1999-12-16 | 2003-05-22 | 엘지전자 주식회사 | 교환기의 셀 다중화/역다중화 시스템 |
US6225745B1 (en) | 1999-12-17 | 2001-05-01 | Axcelis Technologies, Inc. | Dual plasma source for plasma process chamber |
WO2001046492A1 (en) | 1999-12-22 | 2001-06-28 | Tokyo Electron Limited | Method and system for reducing damage to substrates during plasma processing with a resonator source |
US6534809B2 (en) | 1999-12-22 | 2003-03-18 | Agilent Technologies, Inc. | Hardmask designs for dry etching FeRAM capacitor stacks |
US6350697B1 (en) | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
US6238513B1 (en) | 1999-12-28 | 2001-05-29 | International Business Machines Corporation | Wafer lift assembly |
US6463782B1 (en) | 2000-01-13 | 2002-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-centering calibration tool and method of calibrating |
US6306246B1 (en) | 2000-01-14 | 2001-10-23 | Advanced Micro Devices, Inc. | Dual window optical port for improved end point detection |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6494959B1 (en) | 2000-01-28 | 2002-12-17 | Applied Materials, Inc. | Process and apparatus for cleaning a silicon surface |
JP3723712B2 (ja) | 2000-02-10 | 2005-12-07 | 株式会社日立国際電気 | 基板処理装置及び基板処理方法 |
US6743473B1 (en) | 2000-02-16 | 2004-06-01 | Applied Materials, Inc. | Chemical vapor deposition of barriers from novel precursors |
US6447636B1 (en) | 2000-02-16 | 2002-09-10 | Applied Materials, Inc. | Plasma reactor with dynamic RF inductive and capacitive coupling control |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
TW580735B (en) | 2000-02-21 | 2004-03-21 | Hitachi Ltd | Plasma treatment apparatus and treating method of sample material |
US6350320B1 (en) | 2000-02-22 | 2002-02-26 | Applied Materials, Inc. | Heater for processing chamber |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
EP1127957A1 (en) | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
US6391788B1 (en) | 2000-02-25 | 2002-05-21 | Applied Materials, Inc. | Two etchant etch method |
US6958098B2 (en) | 2000-02-28 | 2005-10-25 | Applied Materials, Inc. | Semiconductor wafer support lift-pin assembly |
JP2001319885A (ja) | 2000-03-02 | 2001-11-16 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体製造方法 |
JP3979791B2 (ja) | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6537707B1 (en) | 2000-03-15 | 2003-03-25 | Agilent Technologies, Inc. | Two-stage roughing and controlled deposition rates for fabricating laser ablation masks |
US7196283B2 (en) | 2000-03-17 | 2007-03-27 | Applied Materials, Inc. | Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
US6900596B2 (en) | 2002-07-09 | 2005-05-31 | Applied Materials, Inc. | Capacitively coupled plasma reactor with uniform radial distribution of plasma |
US6527968B1 (en) | 2000-03-27 | 2003-03-04 | Applied Materials Inc. | Two-stage self-cleaning silicon etch process |
JP3433721B2 (ja) | 2000-03-28 | 2003-08-04 | ティーディーケイ株式会社 | ドライエッチング方法及び微細加工方法 |
AU2001247685A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
JP4056195B2 (ja) | 2000-03-30 | 2008-03-05 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
JP2001284340A (ja) | 2000-03-30 | 2001-10-12 | Hitachi Kokusai Electric Inc | 半導体製造装置および半導体装置の製造方法 |
DE10016340C1 (de) | 2000-03-31 | 2001-12-06 | Promos Technologies Inc | Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen |
US6558564B1 (en) | 2000-04-05 | 2003-05-06 | Applied Materials Inc. | Plasma energy control by inducing plasma instability |
JP2001355074A (ja) | 2000-04-10 | 2001-12-25 | Sony Corp | 無電解メッキ処理方法およびその装置 |
US7892974B2 (en) | 2000-04-11 | 2011-02-22 | Cree, Inc. | Method of forming vias in silicon carbide and resulting devices and circuits |
KR20010096229A (ko) | 2000-04-18 | 2001-11-07 | 황 철 주 | 반도체 소자의 극박막 형성장치 및 그 형성방법 |
US6762129B2 (en) | 2000-04-19 | 2004-07-13 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, fabrication method for semiconductor device, and dry etching apparatus |
JP2001308023A (ja) | 2000-04-21 | 2001-11-02 | Tokyo Electron Ltd | 熱処理装置及び方法 |
US6329297B1 (en) | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
US6502530B1 (en) | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
JP2001313282A (ja) | 2000-04-28 | 2001-11-09 | Nec Corp | ドライエッチング方法 |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
KR100367662B1 (ko) | 2000-05-02 | 2003-01-10 | 주식회사 셈테크놀러지 | 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치 |
JP3662472B2 (ja) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
EP1435655A3 (en) | 2000-05-10 | 2004-07-14 | Ibiden Co., Ltd. | Electrostatic chuck |
US6679981B1 (en) | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
KR100638917B1 (ko) | 2000-05-17 | 2006-10-25 | 동경 엘렉트론 주식회사 | 처리 장치 부품의 조립 기구 및 그 조립 방법 |
JP3448737B2 (ja) | 2000-05-25 | 2003-09-22 | 住友重機械工業株式会社 | ウエハーチャック用冷却板及びウエハーチャック |
US6418874B1 (en) | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
US6645585B2 (en) | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
TW454429B (en) | 2000-05-31 | 2001-09-11 | Nanya Technology Corp | Plasma generator |
JP2002194547A (ja) | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
KR20010111058A (ko) | 2000-06-09 | 2001-12-15 | 조셉 제이. 스위니 | 전체 영역 온도 제어 정전기 척 및 그 제조방법 |
US6603269B1 (en) | 2000-06-13 | 2003-08-05 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
US6509623B2 (en) | 2000-06-15 | 2003-01-21 | Newport Fab, Llc | Microelectronic air-gap structures and methods of forming the same |
US6391753B1 (en) | 2000-06-20 | 2002-05-21 | Advanced Micro Devices, Inc. | Process for forming gate conductors |
US6531069B1 (en) | 2000-06-22 | 2003-03-11 | International Business Machines Corporation | Reactive Ion Etching chamber design for flip chip interconnections |
US6645550B1 (en) | 2000-06-22 | 2003-11-11 | Applied Materials, Inc. | Method of treating a substrate |
US6427623B2 (en) | 2000-06-23 | 2002-08-06 | Anelva Corporation | Chemical vapor deposition system |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6303418B1 (en) | 2000-06-30 | 2001-10-16 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer |
US6835278B2 (en) | 2000-07-07 | 2004-12-28 | Mattson Technology Inc. | Systems and methods for remote plasma clean |
DE10032607B4 (de) | 2000-07-07 | 2004-08-12 | Leo Elektronenmikroskopie Gmbh | Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät |
US6736987B1 (en) | 2000-07-12 | 2004-05-18 | Techbank Corporation | Silicon etching apparatus using XeF2 |
US6440870B1 (en) | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
KR100366623B1 (ko) | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | 반도체 기판 또는 lcd 기판의 세정방법 |
WO2002009171A1 (fr) | 2000-07-25 | 2002-01-31 | Ibiden Co., Ltd. | Substrat ceramique pour appareil de fabrication/inspection de semi-conducteurs, element chauffant en ceramique, dispositif de retenue electrostatique sans attache et substrat pour testeur de tranches |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6939434B2 (en) | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20020185226A1 (en) | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6412437B1 (en) | 2000-08-18 | 2002-07-02 | Micron Technology, Inc. | Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process |
US6446572B1 (en) | 2000-08-18 | 2002-09-10 | Tokyo Electron Limited | Embedded plasma source for plasma density improvement |
US6800830B2 (en) | 2000-08-18 | 2004-10-05 | Hitachi Kokusai Electric, Inc. | Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6459066B1 (en) | 2000-08-25 | 2002-10-01 | Board Of Regents, The University Of Texas System | Transmission line based inductively coupled plasma source with stable impedance |
US6372657B1 (en) | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
JP2002075972A (ja) | 2000-09-04 | 2002-03-15 | Hitachi Ltd | 半導体装置の製造方法 |
JP4484345B2 (ja) | 2000-09-11 | 2010-06-16 | 東京エレクトロン株式会社 | 半導体装置及びその製造方法 |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
JP4717295B2 (ja) | 2000-10-04 | 2011-07-06 | 株式会社半導体エネルギー研究所 | ドライエッチング装置及びエッチング方法 |
US6461974B1 (en) | 2000-10-06 | 2002-10-08 | Lam Research Corporation | High temperature tungsten etching process |
DK200001497A (da) | 2000-10-08 | 2002-04-09 | Scanavo As | Opbevaringsindretning for en databærer |
JP2002115068A (ja) | 2000-10-11 | 2002-04-19 | Applied Materials Inc | シャワーヘッド、基板処理装置および基板製造方法 |
KR100375102B1 (ko) | 2000-10-18 | 2003-03-08 | 삼성전자주식회사 | 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치 |
US6403491B1 (en) | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6610362B1 (en) | 2000-11-20 | 2003-08-26 | Intel Corporation | Method of forming a carbon doped oxide layer on a substrate |
KR100382725B1 (ko) | 2000-11-24 | 2003-05-09 | 삼성전자주식회사 | 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법 |
AUPR179500A0 (en) | 2000-11-30 | 2000-12-21 | Saintech Pty Limited | Ion source |
US6291348B1 (en) | 2000-11-30 | 2001-09-18 | Advanced Micro Devices, Inc. | Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed |
US6544340B2 (en) | 2000-12-08 | 2003-04-08 | Applied Materials, Inc. | Heater with detachable ceramic top plate |
US6448537B1 (en) | 2000-12-11 | 2002-09-10 | Eric Anton Nering | Single-wafer process chamber thermal convection processes |
US6692903B2 (en) | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
US6461972B1 (en) | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
US6537429B2 (en) | 2000-12-29 | 2003-03-25 | Lam Research Corporation | Diamond coatings on reactor wall and method of manufacturing thereof |
US6533910B2 (en) | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US6500772B2 (en) | 2001-01-08 | 2002-12-31 | International Business Machines Corporation | Methods and materials for depositing films on semiconductor substrates |
US20020124867A1 (en) | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
FR2819341B1 (fr) | 2001-01-11 | 2003-06-27 | St Microelectronics Sa | Procede d'integration d'une cellule dram |
US6879981B2 (en) | 2001-01-16 | 2005-04-12 | Corigin Ltd. | Sharing live data with a non cooperative DBMS |
US6849854B2 (en) | 2001-01-18 | 2005-02-01 | Saintech Pty Ltd. | Ion source |
US6358827B1 (en) | 2001-01-19 | 2002-03-19 | Taiwan Semiconductor Manufacturing Company | Method of forming a squared-off, vertically oriented polysilicon spacer gate |
JP4644943B2 (ja) | 2001-01-23 | 2011-03-09 | 東京エレクトロン株式会社 | 処理装置 |
US6743732B1 (en) | 2001-01-26 | 2004-06-01 | Taiwan Semiconductor Manufacturing Company | Organic low K dielectric etch with NH3 chemistry |
US6893969B2 (en) | 2001-02-12 | 2005-05-17 | Lam Research Corporation | Use of ammonia for etching organic low-k dielectrics |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
JP4657473B2 (ja) | 2001-03-06 | 2011-03-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6348407B1 (en) | 2001-03-15 | 2002-02-19 | Chartered Semiconductor Manufacturing Inc. | Method to improve adhesion of organic dielectrics in dual damascene interconnects |
KR100423953B1 (ko) | 2001-03-19 | 2004-03-24 | 디지웨이브 테크놀러지스 주식회사 | 화학기상증착장치 |
US6886491B2 (en) | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
JP5013353B2 (ja) | 2001-03-28 | 2012-08-29 | 隆 杉野 | 成膜方法及び成膜装置 |
US6670278B2 (en) | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US20020177321A1 (en) | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
FR2823032B1 (fr) | 2001-04-03 | 2003-07-11 | St Microelectronics Sa | Resonateur electromecanique a poutre vibrante |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
JP3707394B2 (ja) | 2001-04-06 | 2005-10-19 | ソニー株式会社 | 無電解メッキ方法 |
US6761796B2 (en) | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
CN1304643C (zh) | 2001-04-20 | 2007-03-14 | 克里斯铝轧制品有限公司 | 镀覆和预处理铝件方法 |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
EP1391140B1 (en) | 2001-04-30 | 2012-10-10 | Lam Research Corporation | Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support |
US6914009B2 (en) | 2001-05-07 | 2005-07-05 | Applied Materials Inc | Method of making small transistor lengths |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US20020170678A1 (en) | 2001-05-18 | 2002-11-21 | Toshio Hayashi | Plasma processing apparatus |
US20020197823A1 (en) | 2001-05-18 | 2002-12-26 | Yoo Jae-Yoon | Isolation method for semiconductor device |
US6717189B2 (en) | 2001-06-01 | 2004-04-06 | Ebara Corporation | Electroless plating liquid and semiconductor device |
US6573606B2 (en) | 2001-06-14 | 2003-06-03 | International Business Machines Corporation | Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect |
JP2004533123A (ja) | 2001-06-14 | 2004-10-28 | マトソン テクノロジー インコーポレーテッド | 銅接続用の障壁エンハンスメント工程 |
US6506291B2 (en) | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
US20060191637A1 (en) | 2001-06-21 | 2006-08-31 | John Zajac | Etching Apparatus and Process with Thickness and Uniformity Control |
US6685803B2 (en) | 2001-06-22 | 2004-02-03 | Applied Materials, Inc. | Plasma treatment of processing gases |
US6770166B1 (en) | 2001-06-29 | 2004-08-03 | Lam Research Corp. | Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor |
US20030000647A1 (en) | 2001-06-29 | 2003-01-02 | Applied Materials, Inc. | Substrate processing chamber |
KR100400044B1 (ko) | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
US6596599B1 (en) | 2001-07-16 | 2003-07-22 | Taiwan Semiconductor Manufacturing Company | Gate stack for high performance sub-micron CMOS devices |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6846745B1 (en) | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
JP3914452B2 (ja) | 2001-08-07 | 2007-05-16 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US6984288B2 (en) | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
US7179556B2 (en) | 2001-08-10 | 2007-02-20 | Denso Corporation | Fuel cell system |
KR20040018558A (ko) | 2001-08-13 | 2004-03-03 | 가부시키 가이샤 에바라 세이사꾸쇼 | 반도체장치와 그 제조방법 및 도금액 |
US20030038305A1 (en) | 2001-08-21 | 2003-02-27 | Wasshuber Christoph A. | Method for manufacturing and structure of transistor with low-k spacer |
US6753506B2 (en) | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
US6762127B2 (en) | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
WO2003018867A1 (en) | 2001-08-29 | 2003-03-06 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
US7199328B2 (en) | 2001-08-29 | 2007-04-03 | Tokyo Electron Limited | Apparatus and method for plasma processing |
US6796314B1 (en) | 2001-09-07 | 2004-09-28 | Novellus Systems, Inc. | Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process |
KR100441297B1 (ko) | 2001-09-14 | 2004-07-23 | 주성엔지니어링(주) | 리모트 플라즈마를 이용하는 ccp형 pecvd장치 |
US20030054608A1 (en) | 2001-09-17 | 2003-03-20 | Vanguard International Semiconductor Corporation | Method for forming shallow trench isolation in semiconductor device |
US6555467B2 (en) | 2001-09-28 | 2003-04-29 | Sharp Laboratories Of America, Inc. | Method of making air gaps copper interconnect |
US6462372B1 (en) | 2001-10-09 | 2002-10-08 | Silicon-Based Technology Corp. | Scaled stack-gate flash memory device |
US6656837B2 (en) | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
EP1302988A3 (de) | 2001-10-12 | 2007-01-24 | Bayer MaterialScience AG | Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung |
US6855906B2 (en) | 2001-10-16 | 2005-02-15 | Adam Alexander Brailove | Induction plasma reactor |
US20030072639A1 (en) | 2001-10-17 | 2003-04-17 | Applied Materials, Inc. | Substrate support |
KR100433091B1 (ko) | 2001-10-23 | 2004-05-28 | 주식회사 하이닉스반도체 | 반도체소자의 도전배선 형성방법 |
JP3759895B2 (ja) | 2001-10-24 | 2006-03-29 | 松下電器産業株式会社 | エッチング方法 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20030087488A1 (en) | 2001-11-07 | 2003-05-08 | Tokyo Electron Limited | Inductively coupled plasma source for improved process uniformity |
JP4040284B2 (ja) | 2001-11-08 | 2008-01-30 | 住友大阪セメント株式会社 | プラズマ発生用電極内蔵型サセプタ及びその製造方法 |
JP2003158080A (ja) | 2001-11-22 | 2003-05-30 | Mitsubishi Electric Corp | 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法 |
KR100443121B1 (ko) | 2001-11-29 | 2004-08-04 | 삼성전자주식회사 | 반도체 공정의 수행 방법 및 반도체 공정 장치 |
US7017514B1 (en) * | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
KR100641762B1 (ko) | 2001-12-07 | 2006-11-06 | 동경 엘렉트론 주식회사 | 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법 |
JP4392852B2 (ja) | 2001-12-07 | 2010-01-06 | 東京エレクトロン株式会社 | プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置 |
US6905968B2 (en) | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
WO2003052808A2 (en) | 2001-12-13 | 2003-06-26 | Applied Materials, Inc. | Self-aligned contact etch with high sensitivity to nitride shoulder |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6605874B2 (en) | 2001-12-19 | 2003-08-12 | Intel Corporation | Method of making semiconductor device using an interconnect |
WO2003054912A1 (en) | 2001-12-20 | 2003-07-03 | Tokyo Electron Limited | Method and apparatus comprising a magnetic filter for plasma processing a workpiece |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20030116439A1 (en) | 2001-12-21 | 2003-06-26 | International Business Machines Corporation | Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices |
JP2003197615A (ja) | 2001-12-26 | 2003-07-11 | Tokyo Electron Ltd | プラズマ処理装置およびそのクリーニング方法 |
KR100442167B1 (ko) | 2001-12-26 | 2004-07-30 | 주성엔지니어링(주) | 자연산화막 제거방법 |
KR100484258B1 (ko) | 2001-12-27 | 2005-04-22 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US20030124842A1 (en) | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6828241B2 (en) | 2002-01-07 | 2004-12-07 | Applied Materials, Inc. | Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source |
US6942929B2 (en) | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6730175B2 (en) | 2002-01-22 | 2004-05-04 | Applied Materials, Inc. | Ceramic substrate support |
US6869880B2 (en) | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US20040060514A1 (en) | 2002-01-25 | 2004-04-01 | Applied Materials, Inc. A Delaware Corporation | Gas distribution showerhead |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
TWI239794B (en) | 2002-01-30 | 2005-09-11 | Alps Electric Co Ltd | Plasma processing apparatus and method |
US7226504B2 (en) | 2002-01-31 | 2007-06-05 | Sharp Laboratories Of America, Inc. | Method to form thick relaxed SiGe layer with trench structure |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7033447B2 (en) | 2002-02-08 | 2006-04-25 | Applied Materials, Inc. | Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus |
US7048814B2 (en) | 2002-02-08 | 2006-05-23 | Applied Materials, Inc. | Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus |
US20080213496A1 (en) | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US7479304B2 (en) | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US6821348B2 (en) | 2002-02-14 | 2004-11-23 | 3M Innovative Properties Company | In-line deposition processes for circuit fabrication |
US6656848B1 (en) | 2002-02-22 | 2003-12-02 | Scientific Systems Research Limited | Plasma chamber conditioning |
JP3921234B2 (ja) | 2002-02-28 | 2007-05-30 | キヤノンアネルバ株式会社 | 表面処理装置及びその製造方法 |
US6677167B2 (en) | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
US6646233B2 (en) | 2002-03-05 | 2003-11-11 | Hitachi High-Technologies Corporation | Wafer stage for wafer processing apparatus and wafer processing method |
US20060252265A1 (en) | 2002-03-06 | 2006-11-09 | Guangxiang Jin | Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control |
US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
US7252011B2 (en) | 2002-03-11 | 2007-08-07 | Mks Instruments, Inc. | Surface area deposition trap |
US7256370B2 (en) | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
JP3813562B2 (ja) | 2002-03-15 | 2006-08-23 | 富士通株式会社 | 半導体装置及びその製造方法 |
US20040003828A1 (en) | 2002-03-21 | 2004-01-08 | Jackson David P. | Precision surface treatments using dense fluids and a plasma |
US6913651B2 (en) | 2002-03-22 | 2005-07-05 | Blue29, Llc | Apparatus and method for electroless deposition of materials on semiconductor substrates |
JP4053326B2 (ja) | 2002-03-27 | 2008-02-27 | 東芝松下ディスプレイテクノロジー株式会社 | 薄膜トランジスタの製造方法 |
US6883733B1 (en) | 2002-03-28 | 2005-04-26 | Novellus Systems, Inc. | Tapered post, showerhead design to improve mixing on dual plenum showerheads |
US6541397B1 (en) | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US20030190426A1 (en) | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6921556B2 (en) | 2002-04-12 | 2005-07-26 | Asm Japan K.K. | Method of film deposition using single-wafer-processing type CVD |
US6616967B1 (en) | 2002-04-15 | 2003-09-09 | Texas Instruments Incorporated | Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process |
US6897532B1 (en) | 2002-04-15 | 2005-05-24 | Cypress Semiconductor Corp. | Magnetic tunneling junction configuration and a method for making the same |
US6818562B2 (en) | 2002-04-19 | 2004-11-16 | Applied Materials Inc | Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
JP3773189B2 (ja) | 2002-04-24 | 2006-05-10 | 独立行政法人科学技術振興機構 | 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置 |
KR100448714B1 (ko) | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 |
US6794889B2 (en) | 2002-04-26 | 2004-09-21 | Agilent Technologies, Inc. | Unified apparatus and method to assure probe card-to-wafer parallelism in semiconductor automatic wafer test, probe card measurement systems, and probe card manufacturing |
US6528409B1 (en) | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6908862B2 (en) | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
JP2003324072A (ja) | 2002-05-07 | 2003-11-14 | Nec Electronics Corp | 半導体製造装置 |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
TW538497B (en) | 2002-05-16 | 2003-06-21 | Nanya Technology Corp | Method to form a bottle-shaped trench |
US6825051B2 (en) | 2002-05-17 | 2004-11-30 | Asm America, Inc. | Plasma etch resistant coating and process |
JP2003338491A (ja) | 2002-05-21 | 2003-11-28 | Mitsubishi Electric Corp | プラズマ処理装置および半導体装置の製造方法 |
US6500728B1 (en) | 2002-05-24 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Shallow trench isolation (STI) module to improve contact etch process window |
US6673200B1 (en) | 2002-05-30 | 2004-01-06 | Lsi Logic Corporation | Method of reducing process plasma damage using optical spectroscopy |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
WO2003107409A1 (ja) | 2002-06-01 | 2003-12-24 | 積水化学工業株式会社 | 酸化膜形成方法及び酸化膜形成装置 |
KR100434110B1 (ko) | 2002-06-04 | 2004-06-04 | 삼성전자주식회사 | 반도체 장치의 제조방법 |
US20030230385A1 (en) | 2002-06-13 | 2003-12-18 | Applied Materials, Inc. | Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
US7311797B2 (en) | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
DE10229037A1 (de) | 2002-06-28 | 2004-01-29 | Robert Bosch Gmbh | Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung |
US20040072446A1 (en) | 2002-07-02 | 2004-04-15 | Applied Materials, Inc. | Method for fabricating an ultra shallow junction of a field effect transistor |
US6767844B2 (en) | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
US6838125B2 (en) | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US7357138B2 (en) | 2002-07-18 | 2008-04-15 | Air Products And Chemicals, Inc. | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US7988398B2 (en) | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
US6818561B1 (en) | 2002-07-30 | 2004-11-16 | Advanced Micro Devices, Inc. | Control methodology using optical emission spectroscopy derived data, system for performing same |
EP1585999A4 (en) | 2002-08-02 | 2008-09-17 | E A Fischione Instr Inc | METHOD AND DEVICE FOR PREPARING SAMPLES FOR MICROSCOPY |
US20040058293A1 (en) | 2002-08-06 | 2004-03-25 | Tue Nguyen | Assembly line processing system |
US6921555B2 (en) | 2002-08-06 | 2005-07-26 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US20060040055A1 (en) | 2002-08-06 | 2006-02-23 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US20060046412A1 (en) | 2002-08-06 | 2006-03-02 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
JP3861036B2 (ja) | 2002-08-09 | 2006-12-20 | 三菱重工業株式会社 | プラズマcvd装置 |
US7541270B2 (en) | 2002-08-13 | 2009-06-02 | Micron Technology, Inc. | Methods for forming openings in doped silicon dioxide |
US20040033677A1 (en) | 2002-08-14 | 2004-02-19 | Reza Arghavani | Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier |
US7192486B2 (en) * | 2002-08-15 | 2007-03-20 | Applied Materials, Inc. | Clog-resistant gas delivery system |
US6781173B2 (en) | 2002-08-29 | 2004-08-24 | Micron Technology, Inc. | MRAM sense layer area control |
US6946033B2 (en) | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
JP3991315B2 (ja) | 2002-09-17 | 2007-10-17 | キヤノンアネルバ株式会社 | 薄膜形成装置及び方法 |
JP3832409B2 (ja) | 2002-09-18 | 2006-10-11 | 住友電気工業株式会社 | ウエハー保持体及び半導体製造装置 |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
JP4260450B2 (ja) | 2002-09-20 | 2009-04-30 | 東京エレクトロン株式会社 | 真空処理装置における静電チャックの製造方法 |
US7166200B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US20070051471A1 (en) | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
US6991959B2 (en) | 2002-10-10 | 2006-01-31 | Asm Japan K.K. | Method of manufacturing silicon carbide film |
KR100500852B1 (ko) | 2002-10-10 | 2005-07-12 | 최대규 | 원격 플라즈마 발생기 |
JP4606713B2 (ja) | 2002-10-17 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US6699380B1 (en) | 2002-10-18 | 2004-03-02 | Applied Materials Inc. | Modular electrochemical processing system |
TW587139B (en) | 2002-10-18 | 2004-05-11 | Winbond Electronics Corp | Gas distribution system and method for the plasma gas in the chamber |
US7628897B2 (en) | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6853043B2 (en) | 2002-11-04 | 2005-02-08 | Applied Materials, Inc. | Nitrogen-free antireflective coating for use with photolithographic patterning |
JP2004165317A (ja) | 2002-11-12 | 2004-06-10 | Renesas Technology Corp | 半導体装置およびその製造方法 |
EP1420080A3 (en) | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
KR100862658B1 (ko) | 2002-11-15 | 2008-10-10 | 삼성전자주식회사 | 반도체 처리 시스템의 가스 주입 장치 |
US6861332B2 (en) | 2002-11-21 | 2005-03-01 | Intel Corporation | Air gap interconnect method |
US6902628B2 (en) | 2002-11-25 | 2005-06-07 | Applied Materials, Inc. | Method of cleaning a coated process chamber component |
JP2004179426A (ja) | 2002-11-27 | 2004-06-24 | Tokyo Electron Ltd | 基板処理装置のクリーニング方法 |
US6713873B1 (en) | 2002-11-27 | 2004-03-30 | Intel Corporation | Adhesion between dielectric materials |
TW561068B (en) | 2002-11-29 | 2003-11-11 | Au Optronics Corp | Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof |
US7347901B2 (en) | 2002-11-29 | 2008-03-25 | Tokyo Electron Limited | Thermally zoned substrate holder assembly |
US7396773B1 (en) | 2002-12-06 | 2008-07-08 | Cypress Semiconductor Company | Method for cleaning a gate stack |
US20040118344A1 (en) | 2002-12-20 | 2004-06-24 | Lam Research Corporation | System and method for controlling plasma with an adjustable coupling to ground circuit |
DE10260352A1 (de) | 2002-12-20 | 2004-07-15 | Infineon Technologies Ag | Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung |
US20040118519A1 (en) | 2002-12-20 | 2004-06-24 | Applied Materials, Inc. | Blocker plate bypass design to improve clean rate at the edge of the chamber |
US6806949B2 (en) | 2002-12-31 | 2004-10-19 | Tokyo Electron Limited | Monitoring material buildup on system components by optical emission |
KR100964398B1 (ko) | 2003-01-03 | 2010-06-17 | 삼성전자주식회사 | 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치 |
US6720213B1 (en) | 2003-01-15 | 2004-04-13 | International Business Machines Corporation | Low-K gate spacers by fluorine implantation |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7500445B2 (en) | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US7316761B2 (en) | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
US7205248B2 (en) | 2003-02-04 | 2007-04-17 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
US7078351B2 (en) | 2003-02-10 | 2006-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist intensive patterning and processing |
US20060137613A1 (en) | 2004-01-27 | 2006-06-29 | Shigeru Kasai | Plasma generating apparatus, plasma generating method and remote plasma processing apparatus |
US7604708B2 (en) | 2003-02-14 | 2009-10-20 | Applied Materials, Inc. | Cleaning of native oxide with hydrogen-containing radicals |
US6982175B2 (en) | 2003-02-14 | 2006-01-03 | Unaxis Usa Inc. | End point detection in time division multiplexed etch processes |
US20040195208A1 (en) | 2003-02-15 | 2004-10-07 | Pavel Elizabeth G. | Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal |
US6969619B1 (en) | 2003-02-18 | 2005-11-29 | Novellus Systems, Inc. | Full spectrum endpoint detection |
KR100739837B1 (ko) | 2003-02-19 | 2007-07-13 | 마쯔시다덴기산교 가부시키가이샤 | 불순물 도입 방법 및 불순물 도입 장치 |
US20040163590A1 (en) * | 2003-02-24 | 2004-08-26 | Applied Materials, Inc. | In-situ health check of liquid injection vaporizer |
US7212078B2 (en) | 2003-02-25 | 2007-05-01 | Tokyo Electron Limited | Method and assembly for providing impedance matching network and network assembly |
US20040163601A1 (en) | 2003-02-26 | 2004-08-26 | Masanori Kadotani | Plasma processing apparatus |
DE10308870B4 (de) | 2003-02-28 | 2006-07-27 | Austriamicrosystems Ag | Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
CN100388434C (zh) | 2003-03-12 | 2008-05-14 | 东京毅力科创株式会社 | 半导体处理用的基板保持结构和等离子体处理装置 |
US6951821B2 (en) | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US20040182315A1 (en) | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Reduced maintenance chemical oxide removal (COR) processing system |
JP2004296467A (ja) | 2003-03-25 | 2004-10-21 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US20040187787A1 (en) | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US6844929B2 (en) | 2003-04-09 | 2005-01-18 | Phase Shift Technology | Apparatus and method for holding and transporting thin opaque plates |
US7037376B2 (en) | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
KR100789063B1 (ko) | 2003-04-11 | 2007-12-26 | 호야 가부시키가이샤 | 크롬계 박막의 에칭방법 및 포토마스크의 제조방법 |
US7126225B2 (en) | 2003-04-15 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling |
US6872909B2 (en) | 2003-04-16 | 2005-03-29 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
TWI227565B (en) | 2003-04-16 | 2005-02-01 | Au Optronics Corp | Low temperature poly-Si thin film transistor and method of manufacturing the same |
JP5404984B2 (ja) | 2003-04-24 | 2014-02-05 | 東京エレクトロン株式会社 | プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置 |
US20040211357A1 (en) | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US7008877B2 (en) | 2003-05-05 | 2006-03-07 | Unaxis Usa Inc. | Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias |
US6903511B2 (en) | 2003-05-06 | 2005-06-07 | Zond, Inc. | Generation of uniformly-distributed plasma |
DE10320472A1 (de) | 2003-05-08 | 2004-12-02 | Kolektor D.O.O. | Plasmabehandlung zur Reinigung von Kupfer oder Nickel |
US7045020B2 (en) | 2003-05-22 | 2006-05-16 | Applied Materials, Inc. | Cleaning a component of a process chamber |
US6713835B1 (en) | 2003-05-22 | 2004-03-30 | International Business Machines Corporation | Method for manufacturing a multi-level interconnect structure |
KR100965758B1 (ko) | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US8580076B2 (en) | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
US20040237897A1 (en) | 2003-05-27 | 2004-12-02 | Hiroji Hanawa | High-Frequency electrostatically shielded toroidal plasma and radical source |
WO2004107394A2 (ja) | 2003-05-27 | 2004-12-09 | Matsushita Electric Works, Ltd. | プラズマ処理装置、プラズマ生成用の反応器の製造方法、及びプラズマ処理方法 |
JP4108633B2 (ja) | 2003-06-20 | 2008-06-25 | シャープ株式会社 | 薄膜トランジスタおよびその製造方法ならびに電子デバイス |
US7067432B2 (en) | 2003-06-26 | 2006-06-27 | Applied Materials, Inc. | Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing |
KR100853388B1 (ko) | 2003-06-27 | 2008-08-21 | 도쿄엘렉트론가부시키가이샤 | 클리닝 방법 및 기판 처리 방법 |
US7151277B2 (en) | 2003-07-03 | 2006-12-19 | The Regents Of The University Of California | Selective etching of silicon carbide films |
JP4245996B2 (ja) | 2003-07-07 | 2009-04-02 | 株式会社荏原製作所 | 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置 |
US7368392B2 (en) | 2003-07-10 | 2008-05-06 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode |
US6995073B2 (en) | 2003-07-16 | 2006-02-07 | Intel Corporation | Air gap integration |
JP3866694B2 (ja) | 2003-07-30 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | Lsiデバイスのエッチング方法および装置 |
US7256134B2 (en) | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
JP4239750B2 (ja) | 2003-08-13 | 2009-03-18 | セイコーエプソン株式会社 | マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ |
US20050035455A1 (en) | 2003-08-14 | 2005-02-17 | Chenming Hu | Device with low-k dielectric in close proximity thereto and its method of fabrication |
US7182816B2 (en) | 2003-08-18 | 2007-02-27 | Tokyo Electron Limited | Particulate reduction using temperature-controlled chamber shield |
US7361865B2 (en) | 2003-08-27 | 2008-04-22 | Kyocera Corporation | Heater for heating a wafer and method for fabricating the same |
US7521000B2 (en) | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US6903031B2 (en) | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
KR20060064067A (ko) | 2003-09-03 | 2006-06-12 | 동경 엘렉트론 주식회사 | 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법 |
US7282244B2 (en) | 2003-09-05 | 2007-10-16 | General Electric Company | Replaceable plate expanded thermal plasma apparatus and method |
KR100518594B1 (ko) | 2003-09-09 | 2005-10-04 | 삼성전자주식회사 | 로컬 sonos형 비휘발성 메모리 소자 및 그 제조방법 |
US7030034B2 (en) | 2003-09-18 | 2006-04-18 | Micron Technology, Inc. | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum |
JP2005101141A (ja) | 2003-09-24 | 2005-04-14 | Renesas Technology Corp | 半導体集積回路装置およびその製造方法 |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7371688B2 (en) | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
US7071532B2 (en) | 2003-09-30 | 2006-07-04 | International Business Machines Corporation | Adjustable self-aligned air gap dielectric for low capacitance wiring |
KR20030083663A (ko) | 2003-10-04 | 2003-10-30 | 삼영플랜트주식회사 | 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치 |
JP4399227B2 (ja) | 2003-10-06 | 2010-01-13 | 株式会社フジキン | チャンバの内圧制御装置及び内圧被制御式チャンバ |
US20050087517A1 (en) | 2003-10-09 | 2005-04-28 | Andrew Ott | Adhesion between carbon doped oxide and etch stop layers |
US7408225B2 (en) | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US7581511B2 (en) | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7125792B2 (en) | 2003-10-14 | 2006-10-24 | Infineon Technologies Ag | Dual damascene structure and method |
US7465358B2 (en) | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US20070111519A1 (en) | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
JP2005129666A (ja) | 2003-10-22 | 2005-05-19 | Canon Inc | 処理方法及び装置 |
JP4306403B2 (ja) | 2003-10-23 | 2009-08-05 | 東京エレクトロン株式会社 | シャワーヘッド構造及びこれを用いた成膜装置 |
JP2005129688A (ja) | 2003-10-23 | 2005-05-19 | Hitachi Ltd | 半導体装置の製造方法 |
US7053994B2 (en) | 2003-10-28 | 2006-05-30 | Lam Research Corporation | Method and apparatus for etch endpoint detection |
KR100561848B1 (ko) | 2003-11-04 | 2006-03-16 | 삼성전자주식회사 | 헬리컬 공진기형 플라즈마 처리 장치 |
US7709392B2 (en) | 2003-11-05 | 2010-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low K dielectric surface damage control |
JP4273932B2 (ja) | 2003-11-07 | 2009-06-03 | 株式会社島津製作所 | 表面波励起プラズマcvd装置 |
US20050103267A1 (en) | 2003-11-14 | 2005-05-19 | Hur Gwang H. | Flat panel display manufacturing apparatus |
JP4256763B2 (ja) | 2003-11-19 | 2009-04-22 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US20050145341A1 (en) | 2003-11-19 | 2005-07-07 | Masaki Suzuki | Plasma processing apparatus |
JP4393844B2 (ja) | 2003-11-19 | 2010-01-06 | 東京エレクトロン株式会社 | プラズマ成膜装置及びプラズマ成膜方法 |
KR100558925B1 (ko) | 2003-11-24 | 2006-03-10 | 세메스 주식회사 | 웨이퍼 에지 식각 장치 |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US20050112876A1 (en) | 2003-11-26 | 2005-05-26 | Chih-Ta Wu | Method to form a robust TiCI4 based CVD TiN film |
US7431966B2 (en) | 2003-12-09 | 2008-10-07 | Micron Technology, Inc. | Atomic layer deposition method of depositing an oxide on a substrate |
US7081407B2 (en) | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
KR100546401B1 (ko) | 2003-12-17 | 2006-01-26 | 삼성전자주식회사 | 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법 |
US7220497B2 (en) | 2003-12-18 | 2007-05-22 | Lam Research Corporation | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
US6958286B2 (en) | 2004-01-02 | 2005-10-25 | International Business Machines Corporation | Method of preventing surface roughening during hydrogen prebake of SiGe substrates |
US6893967B1 (en) | 2004-01-13 | 2005-05-17 | Advanced Micro Devices, Inc. | L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials |
US6852584B1 (en) | 2004-01-14 | 2005-02-08 | Tokyo Electron Limited | Method of trimming a gate electrode structure |
WO2005072211A2 (en) | 2004-01-20 | 2005-08-11 | Mattson Technology, Inc. | System and method for removal of photoresist and residues following contact etch with a stop layer present |
US20060033678A1 (en) | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7012027B2 (en) | 2004-01-27 | 2006-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Zirconium oxide and hafnium oxide etching using halogen containing chemicals |
US7064078B2 (en) | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
EP1720202A4 (en) | 2004-02-09 | 2009-04-29 | Found Advancement Int Science | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PHOTOGRAVIDE METHOD OF INSULATING FILM |
US7291550B2 (en) | 2004-02-13 | 2007-11-06 | Chartered Semiconductor Manufacturing Ltd. | Method to form a contact hole |
US7015415B2 (en) | 2004-02-18 | 2006-03-21 | Dry Plasma Systems, Inc. | Higher power density downstream plasma |
JP4707959B2 (ja) | 2004-02-20 | 2011-06-22 | 日本エー・エス・エム株式会社 | シャワープレート、プラズマ処理装置及びプラズマ処理方法 |
US20060054280A1 (en) | 2004-02-23 | 2006-03-16 | Jang Geun-Ha | Apparatus of manufacturing display substrate and showerhead assembly equipped therein |
JP4698251B2 (ja) | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20060051966A1 (en) | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20070123051A1 (en) | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
JP4879159B2 (ja) | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US8037896B2 (en) | 2004-03-09 | 2011-10-18 | Mks Instruments, Inc. | Pressure regulation in remote zones |
US7196342B2 (en) | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
US20060081337A1 (en) | 2004-03-12 | 2006-04-20 | Shinji Himori | Capacitive coupling plasma processing apparatus |
US7682985B2 (en) | 2004-03-17 | 2010-03-23 | Lam Research Corporation | Dual doped polysilicon and silicon germanium etch |
US7109521B2 (en) | 2004-03-18 | 2006-09-19 | Cree, Inc. | Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7697260B2 (en) | 2004-03-31 | 2010-04-13 | Applied Materials, Inc. | Detachable electrostatic chuck |
US7358192B2 (en) | 2004-04-08 | 2008-04-15 | Applied Materials, Inc. | Method and apparatus for in-situ film stack processing |
JP4761723B2 (ja) | 2004-04-12 | 2011-08-31 | 日本碍子株式会社 | 基板加熱装置 |
US7273526B2 (en) | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7785672B2 (en) | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US7018941B2 (en) | 2004-04-21 | 2006-03-28 | Applied Materials, Inc. | Post treatment of low k dielectric films |
TWI249774B (en) | 2004-04-23 | 2006-02-21 | Nanya Technology Corp | Forming method of self-aligned contact for semiconductor device |
JP3998003B2 (ja) | 2004-04-23 | 2007-10-24 | ソニー株式会社 | プラズマエッチング法 |
US7115974B2 (en) | 2004-04-27 | 2006-10-03 | Taiwan Semiconductor Manfacturing Company, Ltd. | Silicon oxycarbide and silicon carbonitride based materials for MOS devices |
US20050238807A1 (en) | 2004-04-27 | 2005-10-27 | Applied Materials, Inc. | Refurbishment of a coated chamber component |
US7708859B2 (en) | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20050241579A1 (en) | 2004-04-30 | 2005-11-03 | Russell Kidd | Face shield to improve uniformity of blanket CVD processes |
US7449220B2 (en) | 2004-04-30 | 2008-11-11 | Oc Oerlikon Blazers Ag | Method for manufacturing a plate-shaped workpiece |
WO2005112092A2 (en) | 2004-05-11 | 2005-11-24 | Applied Materials, Inc. | CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US20050252449A1 (en) * | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
KR100580584B1 (ko) | 2004-05-21 | 2006-05-16 | 삼성전자주식회사 | 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치 |
US7691686B2 (en) | 2004-05-21 | 2010-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US7049200B2 (en) | 2004-05-25 | 2006-05-23 | Applied Materials Inc. | Method for forming a low thermal budget spacer |
KR100624566B1 (ko) | 2004-05-31 | 2006-09-19 | 주식회사 하이닉스반도체 | 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법 |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
US7651583B2 (en) | 2004-06-04 | 2010-01-26 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US20050274324A1 (en) | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | Plasma processing apparatus and mounting unit thereof |
US20050274396A1 (en) | 2004-06-09 | 2005-12-15 | Hong Shih | Methods for wet cleaning quartz surfaces of components for plasma processing chambers |
US7226852B1 (en) | 2004-06-10 | 2007-06-05 | Lam Research Corporation | Preventing damage to low-k materials during resist stripping |
US7430496B2 (en) | 2004-06-16 | 2008-09-30 | Tokyo Electron Limited | Method and apparatus for using a pressure control system to monitor a plasma processing system |
US7253107B2 (en) | 2004-06-17 | 2007-08-07 | Asm International N.V. | Pressure control system |
US7122949B2 (en) | 2004-06-21 | 2006-10-17 | Neocera, Inc. | Cylindrical electron beam generating/triggering device and method for generation of electrons |
US20050284573A1 (en) | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
US7220687B2 (en) | 2004-06-25 | 2007-05-22 | Applied Materials, Inc. | Method to improve water-barrier performance by changing film surface morphology |
US20060005856A1 (en) | 2004-06-29 | 2006-01-12 | Applied Materials, Inc. | Reduction of reactive gas attack on substrate heater |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US7097779B2 (en) | 2004-07-06 | 2006-08-29 | Tokyo Electron Limited | Processing system and method for chemically treating a TERA layer |
CN101076614A (zh) | 2004-07-07 | 2007-11-21 | 莫门蒂夫性能材料股份有限公司 | 基底上的保护涂层及其制备方法 |
JP2006049817A (ja) | 2004-07-07 | 2006-02-16 | Showa Denko Kk | プラズマ処理方法およびプラズマエッチング方法 |
US7845309B2 (en) | 2004-07-13 | 2010-12-07 | Nordson Corporation | Ultra high speed uniform plasma processing system |
KR100614648B1 (ko) | 2004-07-15 | 2006-08-23 | 삼성전자주식회사 | 반도체 소자 제조에 사용되는 기판 처리 장치 |
KR100584485B1 (ko) | 2004-07-20 | 2006-05-29 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 부식 방지 방법 |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US20060016783A1 (en) | 2004-07-22 | 2006-01-26 | Dingjun Wu | Process for titanium nitride removal |
JP4492947B2 (ja) | 2004-07-23 | 2010-06-30 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7217626B2 (en) | 2004-07-26 | 2007-05-15 | Texas Instruments Incorporated | Transistor fabrication methods using dual sidewall spacers |
JP4579611B2 (ja) | 2004-07-26 | 2010-11-10 | 株式会社日立ハイテクノロジーズ | ドライエッチング方法 |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US20060021703A1 (en) | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7192863B2 (en) | 2004-07-30 | 2007-03-20 | Texas Instruments Incorporated | Method of eliminating etch ridges in a dual damascene process |
US7806077B2 (en) | 2004-07-30 | 2010-10-05 | Amarante Technologies, Inc. | Plasma nozzle array for providing uniform scalable microwave plasma generation |
US20060021574A1 (en) | 2004-08-02 | 2006-02-02 | Veeco Instruments Inc. | Multi-gas distribution injector for chemical vapor deposition reactors |
US20060024954A1 (en) | 2004-08-02 | 2006-02-02 | Zhen-Cheng Wu | Copper damascene barrier and capping layer |
JP4718141B2 (ja) | 2004-08-06 | 2011-07-06 | 東京エレクトロン株式会社 | 薄膜形成方法及び薄膜形成装置 |
US20060032833A1 (en) | 2004-08-10 | 2006-02-16 | Applied Materials, Inc. | Encapsulation of post-etch halogenic residue |
US7247570B2 (en) | 2004-08-19 | 2007-07-24 | Micron Technology, Inc. | Silicon pillars for vertical transistors |
US20060043066A1 (en) | 2004-08-26 | 2006-03-02 | Kamp Thomas A | Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches |
US20060042752A1 (en) | 2004-08-30 | 2006-03-02 | Rueger Neal R | Plasma processing apparatuses and methods |
US7449416B2 (en) | 2004-09-01 | 2008-11-11 | Axcelis Technologies, Inc. | Apparatus and plasma ashing process for increasing photoresist removal rate |
US7329576B2 (en) | 2004-09-02 | 2008-02-12 | Micron Technology, Inc. | Double-sided container capacitors using a sacrificial layer |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
JP2006108629A (ja) | 2004-09-10 | 2006-04-20 | Toshiba Corp | 半導体装置の製造方法 |
US20060292846A1 (en) | 2004-09-17 | 2006-12-28 | Pinto Gustavo A | Material management in substrate processing |
US7138767B2 (en) | 2004-09-30 | 2006-11-21 | Tokyo Electron Limited | Surface wave plasma processing system and method of using |
JP4467453B2 (ja) | 2004-09-30 | 2010-05-26 | 日本碍子株式会社 | セラミックス部材及びその製造方法 |
US7268084B2 (en) | 2004-09-30 | 2007-09-11 | Tokyo Electron Limited | Method for treating a substrate |
US7544251B2 (en) | 2004-10-07 | 2009-06-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7053003B2 (en) | 2004-10-27 | 2006-05-30 | Lam Research Corporation | Photoresist conditioning with hydrogen ramping |
JP2006128485A (ja) | 2004-10-29 | 2006-05-18 | Asm Japan Kk | 半導体処理装置 |
US20060093756A1 (en) | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US20060097397A1 (en) | 2004-11-10 | 2006-05-11 | Russell Stephen W | Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device |
US7618515B2 (en) | 2004-11-15 | 2009-11-17 | Tokyo Electron Limited | Focus ring, plasma etching apparatus and plasma etching method |
EP1662546A1 (en) | 2004-11-25 | 2006-05-31 | The European Community, represented by the European Commission | Inductively coupled plasma processing apparatus |
US7722737B2 (en) | 2004-11-29 | 2010-05-25 | Applied Materials, Inc. | Gas distribution system for improved transient phase deposition |
US7052553B1 (en) | 2004-12-01 | 2006-05-30 | Lam Research Corporation | Wet cleaning of electrostatic chucks |
US7256121B2 (en) | 2004-12-02 | 2007-08-14 | Texas Instruments Incorporated | Contact resistance reduction by new barrier stack process |
US20060118240A1 (en) | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
FR2878913B1 (fr) | 2004-12-03 | 2007-01-19 | Cit Alcatel | Controle des pressions partielles de gaz pour optimisation de procede |
JP2006193822A (ja) | 2004-12-16 | 2006-07-27 | Sharp Corp | めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法 |
US20060130971A1 (en) | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
JP2006179693A (ja) | 2004-12-22 | 2006-07-06 | Shin Etsu Chem Co Ltd | ヒータ付き静電チャック |
JP4191137B2 (ja) | 2004-12-24 | 2008-12-03 | 東京エレクトロン株式会社 | 基板処理装置のクリーニング方法 |
US7365016B2 (en) | 2004-12-27 | 2008-04-29 | Dalsa Semiconductor Inc. | Anhydrous HF release of process for MEMS devices |
KR100653722B1 (ko) | 2005-01-05 | 2006-12-05 | 삼성전자주식회사 | 저유전막을 갖는 반도체소자의 제조방법 |
US7465953B1 (en) | 2005-01-07 | 2008-12-16 | Board Of Regents, The University Of Texas System | Positioning of nanoparticles and fabrication of single election devices |
US7253123B2 (en) | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
KR100610019B1 (ko) | 2005-01-11 | 2006-08-08 | 삼성전자주식회사 | 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치 |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP4601439B2 (ja) | 2005-02-01 | 2010-12-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
GB0502149D0 (en) | 2005-02-02 | 2005-03-09 | Boc Group Inc | Method of operating a pumping system |
US7341943B2 (en) | 2005-02-08 | 2008-03-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post etch copper cleaning using dry plasma |
US20060183270A1 (en) | 2005-02-14 | 2006-08-17 | Tessera, Inc. | Tools and methods for forming conductive bumps on microelectronic elements |
JP4475136B2 (ja) | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
US7344912B1 (en) | 2005-03-01 | 2008-03-18 | Spansion Llc | Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene) |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP2006261217A (ja) | 2005-03-15 | 2006-09-28 | Canon Anelva Corp | 薄膜形成方法 |
JP4518986B2 (ja) | 2005-03-17 | 2010-08-04 | 東京エレクトロン株式会社 | 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体 |
US7514353B2 (en) | 2005-03-18 | 2009-04-07 | Applied Materials, Inc. | Contact metallization scheme using a barrier layer over a silicide layer |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US20060210723A1 (en) | 2005-03-21 | 2006-09-21 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
KR100610465B1 (ko) | 2005-03-25 | 2006-08-08 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US7442274B2 (en) | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
US20060215347A1 (en) | 2005-03-28 | 2006-09-28 | Tokyo Electron Limited | Processing apparatus and recording medium |
KR100689826B1 (ko) | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들 |
JP4860167B2 (ja) | 2005-03-30 | 2012-01-25 | 東京エレクトロン株式会社 | ロードロック装置,処理システム及び処理方法 |
US20060228889A1 (en) | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US7789962B2 (en) | 2005-03-31 | 2010-09-07 | Tokyo Electron Limited | Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
US7431856B2 (en) | 2005-05-18 | 2008-10-07 | National Research Council Of Canada | Nano-tip fabrication by spatially controlled etching |
KR100731164B1 (ko) | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
US20060266288A1 (en) | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
JP4853857B2 (ja) | 2005-06-15 | 2012-01-11 | 東京エレクトロン株式会社 | 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置 |
KR100676203B1 (ko) | 2005-06-21 | 2007-01-30 | 삼성전자주식회사 | 반도체 설비용 정전 척의 냉각 장치 |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
KR100915722B1 (ko) | 2005-06-23 | 2009-09-04 | 도쿄엘렉트론가부시키가이샤 | 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치 |
JP4554461B2 (ja) | 2005-07-26 | 2010-09-29 | 株式会社日立ハイテクノロジーズ | 半導体装置の製造方法 |
WO2007016013A2 (en) | 2005-07-27 | 2007-02-08 | Applied Materials, Inc. | Unique passivation technique for a cvd blocker plate to prevent particle formation |
US8366829B2 (en) | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US8709162B2 (en) | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US7833381B2 (en) | 2005-08-18 | 2010-11-16 | David Johnson | Optical emission interferometry for PECVD using a gas injection hole |
DE102006038885B4 (de) | 2005-08-24 | 2013-10-10 | Wonik Ips Co., Ltd. | Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht |
US20070056925A1 (en) | 2005-09-09 | 2007-03-15 | Lam Research Corporation | Selective etch of films with high dielectric constant with H2 addition |
WO2007035880A2 (en) | 2005-09-21 | 2007-03-29 | Applied Materials, Inc. | Method and apparatus for forming device features in an integrated electroless deposition system |
US20070066084A1 (en) | 2005-09-21 | 2007-03-22 | Cory Wajda | Method and system for forming a layer with controllable spstial variation |
US7718030B2 (en) | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
JP4823628B2 (ja) | 2005-09-26 | 2011-11-24 | 東京エレクトロン株式会社 | 基板処理方法および記録媒体 |
DE102005047081B4 (de) | 2005-09-30 | 2019-01-31 | Robert Bosch Gmbh | Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2 |
US8102123B2 (en) | 2005-10-04 | 2012-01-24 | Topanga Technologies, Inc. | External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy |
US7438534B2 (en) | 2005-10-07 | 2008-10-21 | Edwards Vacuum, Inc. | Wide range pressure control using turbo pump |
KR100703014B1 (ko) | 2005-10-26 | 2007-04-06 | 삼성전자주식회사 | 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법 |
EP1780779A3 (en) | 2005-10-28 | 2008-06-11 | Interuniversitair Microelektronica Centrum ( Imec) | A plasma for patterning advanced gate stacks |
US20070099806A1 (en) | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US7884032B2 (en) | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20070107750A1 (en) | 2005-11-14 | 2007-05-17 | Sawin Herbert H | Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers |
JP4918778B2 (ja) | 2005-11-16 | 2012-04-18 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
US7704887B2 (en) | 2005-11-22 | 2010-04-27 | Applied Materials, Inc. | Remote plasma pre-clean with low hydrogen pressure |
US7862683B2 (en) | 2005-12-02 | 2011-01-04 | Tokyo Electron Limited | Chamber dry cleaning |
KR100663668B1 (ko) | 2005-12-07 | 2007-01-09 | 주식회사 뉴파워 프라즈마 | 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치 |
US7662723B2 (en) | 2005-12-13 | 2010-02-16 | Lam Research Corporation | Methods and apparatus for in-situ substrate processing |
US7405160B2 (en) | 2005-12-13 | 2008-07-29 | Tokyo Electron Limited | Method of making semiconductor device |
JP4344949B2 (ja) | 2005-12-27 | 2009-10-14 | セイコーエプソン株式会社 | シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法 |
US7449538B2 (en) | 2005-12-30 | 2008-11-11 | Hynix Semiconductor Inc. | Hard mask composition and method for manufacturing semiconductor device |
US8088248B2 (en) | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
JP2007191792A (ja) | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
KR100712727B1 (ko) | 2006-01-26 | 2007-05-04 | 주식회사 아토 | 절연체를 이용한 샤워헤드 |
US20070169703A1 (en) | 2006-01-23 | 2007-07-26 | Brent Elliot | Advanced ceramic heater for substrate processing |
US8173228B2 (en) | 2006-01-27 | 2012-05-08 | Applied Materials, Inc. | Particle reduction on surfaces of chemical vapor deposition processing apparatus |
US7494545B2 (en) | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
KR100785164B1 (ko) | 2006-02-04 | 2007-12-11 | 위순임 | 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템 |
KR100678696B1 (ko) | 2006-02-08 | 2007-02-06 | 주식회사 뉴파워 프라즈마 | 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스 |
KR100752622B1 (ko) | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
CN101378850A (zh) | 2006-02-21 | 2009-03-04 | 应用材料股份有限公司 | 加强用于介电膜层的远程等离子体源清洁 |
US20070207275A1 (en) | 2006-02-21 | 2007-09-06 | Applied Materials, Inc. | Enhancement of remote plasma source clean for dielectric films |
US7713430B2 (en) | 2006-02-23 | 2010-05-11 | Micron Technology, Inc. | Using positive DC offset of bias RF to neutralize charge build-up of etch features |
US7520969B2 (en) | 2006-03-07 | 2009-04-21 | Applied Materials, Inc. | Notched deposition ring |
CA2644356A1 (en) | 2006-03-16 | 2007-09-27 | Novartis Ag | Heterocyclic organic compounds for the treatment of in particular melanoma |
US7977245B2 (en) | 2006-03-22 | 2011-07-12 | Applied Materials, Inc. | Methods for etching a dielectric barrier layer with high selectivity |
US7381651B2 (en) | 2006-03-22 | 2008-06-03 | Axcelis Technologies, Inc. | Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process |
US7628574B2 (en) | 2006-03-28 | 2009-12-08 | Arcus Technology, Inc. | Apparatus and method for processing substrates using one or more vacuum transfer chamber units |
US8343280B2 (en) | 2006-03-28 | 2013-01-01 | Tokyo Electron Limited | Multi-zone substrate temperature control system and method of operating |
US7743731B2 (en) | 2006-03-30 | 2010-06-29 | Tokyo Electron Limited | Reduced contaminant gas injection system and method of using |
US7906032B2 (en) | 2006-03-31 | 2011-03-15 | Tokyo Electron Limited | Method for conditioning a process chamber |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP5042517B2 (ja) | 2006-04-10 | 2012-10-03 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
CN100539080C (zh) | 2006-04-12 | 2009-09-09 | 中芯国际集成电路制造(上海)有限公司 | 通过自对准形成多晶硅浮栅结构的方法 |
US20070243714A1 (en) | 2006-04-18 | 2007-10-18 | Applied Materials, Inc. | Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step |
US7488685B2 (en) | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US8226769B2 (en) | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
US20070254169A1 (en) | 2006-04-28 | 2007-11-01 | Kamins Theodore I | Structures including organic self-assembled monolayers and methods of making the structures |
US7297564B1 (en) | 2006-05-02 | 2007-11-20 | Sharp Laboratories Of America, Inc. | Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors |
US7601607B2 (en) | 2006-05-15 | 2009-10-13 | Chartered Semiconductor Manufacturing, Ltd. | Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects |
JP5578389B2 (ja) | 2006-05-16 | 2014-08-27 | Nltテクノロジー株式会社 | 積層膜パターン形成方法及びゲート電極形成方法 |
US20070266946A1 (en) | 2006-05-22 | 2007-11-22 | Byung-Chul Choi | Semiconductor device manufacturing apparatus and method of using the same |
JP5119609B2 (ja) | 2006-05-25 | 2013-01-16 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体、並びに半導体装置 |
US20070277734A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7665951B2 (en) | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US7777152B2 (en) | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
JP5069427B2 (ja) | 2006-06-13 | 2012-11-07 | 北陸成型工業株式会社 | シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
US7932181B2 (en) | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US20070296967A1 (en) | 2006-06-27 | 2007-12-27 | Bhupendra Kumra Gupta | Analysis of component for presence, composition and/or thickness of coating |
US8114781B2 (en) | 2006-06-29 | 2012-02-14 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US7618889B2 (en) | 2006-07-18 | 2009-11-17 | Applied Materials, Inc. | Dual damascene fabrication with low k materials |
US9275887B2 (en) | 2006-07-20 | 2016-03-01 | Applied Materials, Inc. | Substrate processing with rapid temperature gradient control |
US20080029032A1 (en) | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
GB0615343D0 (en) | 2006-08-02 | 2006-09-13 | Point 35 Microstructures Ltd | Improved etch process |
GB0616131D0 (en) | 2006-08-14 | 2006-09-20 | Oxford Instr Plasma Technology | Surface processing apparatus |
US20080045030A1 (en) | 2006-08-15 | 2008-02-21 | Shigeru Tahara | Substrate processing method, substrate processing system and storage medium |
US20080124937A1 (en) | 2006-08-16 | 2008-05-29 | Songlin Xu | Selective etching method and apparatus |
KR100761757B1 (ko) | 2006-08-17 | 2007-09-28 | 삼성전자주식회사 | 막 형성 방법 |
KR100818708B1 (ko) | 2006-08-18 | 2008-04-01 | 주식회사 하이닉스반도체 | 표면 세정을 포함하는 반도체소자 제조방법 |
US8110787B1 (en) | 2006-08-23 | 2012-02-07 | ON Semiconductor Trading, Ltd | Image sensor with a reflective waveguide |
US7575007B2 (en) | 2006-08-23 | 2009-08-18 | Applied Materials, Inc. | Chamber recovery after opening barrier over copper |
US20080063810A1 (en) | 2006-08-23 | 2008-03-13 | Applied Materials, Inc. | In-situ process state monitoring of chamber |
US20080063798A1 (en) * | 2006-08-30 | 2008-03-13 | Kher Shreyas S | Precursors and hardware for cvd and ald |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7452766B2 (en) | 2006-08-31 | 2008-11-18 | Micron Technology, Inc. | Finned memory cells and the fabrication thereof |
KR100849929B1 (ko) | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치 |
US7297894B1 (en) | 2006-09-25 | 2007-11-20 | Tokyo Electron Limited | Method for multi-step temperature control of a substrate |
US20080075668A1 (en) | 2006-09-27 | 2008-03-27 | Goldstein Alan H | Security Device Using Reversibly Self-Assembling Systems |
CN101153396B (zh) | 2006-09-30 | 2010-06-09 | 中芯国际集成电路制造(上海)有限公司 | 等离子刻蚀方法 |
US7589950B2 (en) | 2006-10-13 | 2009-09-15 | Applied Materials, Inc. | Detachable electrostatic chuck having sealing assembly |
JP2008103645A (ja) | 2006-10-20 | 2008-05-01 | Toshiba Corp | 半導体装置の製造方法 |
US20080099147A1 (en) | 2006-10-26 | 2008-05-01 | Nyi Oo Myo | Temperature controlled multi-gas distribution assembly |
US7655571B2 (en) | 2006-10-26 | 2010-02-02 | Applied Materials, Inc. | Integrated method and apparatus for efficient removal of halogen residues from etched substrates |
JP2008109043A (ja) | 2006-10-27 | 2008-05-08 | Oki Electric Ind Co Ltd | 半導体装置の製造方法および半導体装置 |
US20080102640A1 (en) | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Etching oxide with high selectivity to titanium nitride |
US8002946B2 (en) | 2006-10-30 | 2011-08-23 | Applied Materials, Inc. | Mask etch plasma reactor with cathode providing a uniform distribution of etch rate |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7880232B2 (en) | 2006-11-01 | 2011-02-01 | Micron Technology, Inc. | Processes and apparatus having a semiconductor fin |
US7725974B2 (en) | 2006-11-02 | 2010-06-01 | Hughes Randall L | Shoe and foot cleaning and disinfecting system |
US20080178805A1 (en) | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
CN101542693A (zh) | 2006-12-11 | 2009-09-23 | 应用材料股份有限公司 | 干式光阻剥除方法及设备 |
US8702866B2 (en) | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
TWM318795U (en) | 2006-12-18 | 2007-09-11 | Lighthouse Technology Co Ltd | Package structure |
US20100059889A1 (en) | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
US7922863B2 (en) | 2006-12-22 | 2011-04-12 | Applied Materials, Inc. | Apparatus for integrated gas and radiation delivery |
JP5229711B2 (ja) | 2006-12-25 | 2013-07-03 | 国立大学法人名古屋大学 | パターン形成方法、および半導体装置の製造方法 |
US20080156631A1 (en) | 2006-12-27 | 2008-07-03 | Novellus Systems, Inc. | Methods of Producing Plasma in a Container |
JP2008163430A (ja) | 2006-12-28 | 2008-07-17 | Jtekt Corp | 高耐食性部材およびその製造方法 |
US20080157225A1 (en) | 2006-12-29 | 2008-07-03 | Suman Datta | SRAM and logic transistors with variable height multi-gate transistor architecture |
KR20080063988A (ko) | 2007-01-03 | 2008-07-08 | 삼성전자주식회사 | 중성빔을 이용한 식각장치 |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
JP5168907B2 (ja) | 2007-01-15 | 2013-03-27 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP4421618B2 (ja) | 2007-01-17 | 2010-02-24 | 東京エレクトロン株式会社 | フィン型電界効果トランジスタの製造方法 |
US7728364B2 (en) | 2007-01-19 | 2010-06-01 | International Business Machines Corporation | Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation |
JP4299863B2 (ja) | 2007-01-22 | 2009-07-22 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
US8444926B2 (en) | 2007-01-30 | 2013-05-21 | Applied Materials, Inc. | Processing chamber with heated chamber liner |
JP5048352B2 (ja) | 2007-01-31 | 2012-10-17 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
KR100878015B1 (ko) | 2007-01-31 | 2009-01-13 | 삼성전자주식회사 | 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법 |
KR100843236B1 (ko) | 2007-02-06 | 2008-07-03 | 삼성전자주식회사 | 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 |
JP2008205219A (ja) | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
US20080202892A1 (en) | 2007-02-27 | 2008-08-28 | Smith John M | Stacked process chambers for substrate vacuum processing tool |
CN100577866C (zh) | 2007-02-27 | 2010-01-06 | 中微半导体设备(上海)有限公司 | 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法 |
US20080216901A1 (en) | 2007-03-06 | 2008-09-11 | Mks Instruments, Inc. | Pressure control for vacuum processing system |
US20080216958A1 (en) | 2007-03-07 | 2008-09-11 | Novellus Systems, Inc. | Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same |
US7977249B1 (en) | 2007-03-07 | 2011-07-12 | Novellus Systems, Inc. | Methods for removing silicon nitride and other materials during fabrication of contacts |
WO2008112673A2 (en) | 2007-03-12 | 2008-09-18 | Tokyo Electron Limited | Dynamic temperature backside gas control for improved within-substrate processing uniformity |
JP4833890B2 (ja) | 2007-03-12 | 2011-12-07 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ分布補正方法 |
KR100853485B1 (ko) | 2007-03-19 | 2008-08-21 | 주식회사 하이닉스반도체 | 리세스 게이트를 갖는 반도체 소자의 제조 방법 |
US20080233709A1 (en) | 2007-03-22 | 2008-09-25 | Infineon Technologies North America Corp. | Method for removing material from a semiconductor |
US7815814B2 (en) | 2007-03-23 | 2010-10-19 | Tokyo Electron Limited | Method and system for dry etching a metal nitride |
WO2008123060A1 (ja) | 2007-03-28 | 2008-10-16 | Canon Anelva Corporation | 真空処理装置 |
JP4988402B2 (ja) | 2007-03-30 | 2012-08-01 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8235001B2 (en) | 2007-04-02 | 2012-08-07 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method for manufacturing semiconductor device |
JP5179476B2 (ja) | 2007-04-17 | 2013-04-10 | 株式会社アルバック | 成膜装置 |
JP5282419B2 (ja) | 2007-04-18 | 2013-09-04 | ソニー株式会社 | 半導体装置及びその製造方法 |
JP5135879B2 (ja) | 2007-05-21 | 2013-02-06 | 富士電機株式会社 | 炭化珪素半導体装置の製造方法 |
KR100777043B1 (ko) | 2007-05-22 | 2007-11-16 | 주식회사 테스 | 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법 |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US7807578B2 (en) | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
JP2008305871A (ja) | 2007-06-05 | 2008-12-18 | Spansion Llc | 半導体装置およびその製造方法 |
KR20080111627A (ko) | 2007-06-19 | 2008-12-24 | 삼성전자주식회사 | 플라즈마 공정장치 및 그 방법 |
US20090004873A1 (en) | 2007-06-26 | 2009-01-01 | Intevac, Inc. | Hybrid etch chamber with decoupled plasma controls |
US7585716B2 (en) | 2007-06-27 | 2009-09-08 | International Business Machines Corporation | High-k/metal gate MOSFET with reduced parasitic capacitance |
JP5008478B2 (ja) | 2007-06-27 | 2012-08-22 | 東京エレクトロン株式会社 | 基板処理装置およびシャワーヘッド |
TWI479559B (zh) | 2007-06-28 | 2015-04-01 | Quantum Global Tech Llc | 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備 |
KR100877107B1 (ko) | 2007-06-28 | 2009-01-07 | 주식회사 하이닉스반도체 | 반도체 소자의 층간절연막 형성방법 |
JP4438008B2 (ja) | 2007-06-29 | 2010-03-24 | 東京エレクトロン株式会社 | 基板処理装置 |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
US8197636B2 (en) | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
JP5660753B2 (ja) | 2007-07-13 | 2015-01-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマエッチング用高温カソード |
WO2009010909A1 (en) | 2007-07-19 | 2009-01-22 | Koninklijke Philips Electronics N.V. | Method, system and device for transmitting lighting device data |
DE102007033685A1 (de) | 2007-07-19 | 2009-01-22 | Robert Bosch Gmbh | Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat |
JP5077659B2 (ja) | 2007-07-20 | 2012-11-21 | ニチアス株式会社 | 触媒コンバーター及び触媒コンバーター用保持材 |
US8008166B2 (en) | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
EP2042516A1 (en) | 2007-09-27 | 2009-04-01 | Protaffin Biotechnologie AG | Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same |
US8108981B2 (en) | 2007-07-31 | 2012-02-07 | Applied Materials, Inc. | Method of making an electrostatic chuck with reduced plasma penetration and arcing |
US8367227B2 (en) | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
JP5251033B2 (ja) | 2007-08-14 | 2013-07-31 | ソニー株式会社 | 半導体装置の製造方法 |
JP4160104B1 (ja) | 2007-08-16 | 2008-10-01 | 株式会社アルバック | アッシング装置 |
WO2009025392A2 (en) | 2007-08-21 | 2009-02-26 | Panasonic Corporation | Plasma processing device and method of monitoring plasma discharge state in plasma processing device |
US8202393B2 (en) | 2007-08-29 | 2012-06-19 | Lam Research Corporation | Alternate gas delivery and evacuation system for plasma processing apparatuses |
US8765589B2 (en) | 2007-08-31 | 2014-07-01 | Tokyo Electron Limited | Semiconductor device manufacturing method |
TWI459851B (zh) | 2007-09-10 | 2014-11-01 | Ngk Insulators Ltd | heating equipment |
JP5148955B2 (ja) | 2007-09-11 | 2013-02-20 | 東京エレクトロン株式会社 | 基板載置機構及び基板処理装置 |
JP5347294B2 (ja) | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5169097B2 (ja) | 2007-09-14 | 2013-03-27 | 住友電気工業株式会社 | 半導体装置の製造装置および製造方法 |
US20120122319A1 (en) | 2007-09-19 | 2012-05-17 | Hironobu Shimizu | Coating method for coating reaction tube prior to film forming process |
US7781332B2 (en) | 2007-09-19 | 2010-08-24 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer |
JP5194125B2 (ja) | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
KR101070292B1 (ko) | 2007-09-28 | 2011-10-06 | 주식회사 하이닉스반도체 | 반도체장치의 리세스게이트 제조 방법 |
US20090084317A1 (en) | 2007-09-28 | 2009-04-02 | Applied Materials, Inc. | Atomic layer deposition chamber and components |
US8298931B2 (en) | 2007-09-28 | 2012-10-30 | Sandisk 3D Llc | Dual damascene with amorphous carbon for 3D deep via/trench application |
JP2009088229A (ja) | 2007-09-28 | 2009-04-23 | Tokyo Electron Ltd | 成膜装置、成膜方法、記憶媒体及びガス供給装置 |
JP2011500961A (ja) | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | 化学気相成長反応器 |
US7838390B2 (en) | 2007-10-12 | 2010-11-23 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein |
US20090095222A1 (en) | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
US20090095221A1 (en) | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8252696B2 (en) | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
US7871926B2 (en) | 2007-10-22 | 2011-01-18 | Applied Materials, Inc. | Methods and systems for forming at least one dielectric layer |
KR101449548B1 (ko) | 2007-10-26 | 2014-10-13 | 참엔지니어링(주) | 배플, 기판 지지장치 및 이를 구비하는 플라즈마 처리장치 |
KR101508026B1 (ko) | 2007-10-31 | 2015-04-08 | 램 리써치 코포레이션 | 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈 |
CN101889329B (zh) | 2007-10-31 | 2012-07-04 | 朗姆研究公司 | 长寿命可消耗氮化硅-二氧化硅等离子处理部件 |
US20100243165A1 (en) | 2007-11-01 | 2010-09-30 | Pyung-Yong Um | Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma |
JP5006938B2 (ja) | 2007-11-02 | 2012-08-22 | キヤノンアネルバ株式会社 | 表面処理装置およびその基板処理方法 |
US7964040B2 (en) | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
JP5150217B2 (ja) | 2007-11-08 | 2013-02-20 | 東京エレクトロン株式会社 | シャワープレート及び基板処理装置 |
CN104037065A (zh) | 2007-11-08 | 2014-09-10 | 朗姆研究公司 | 使用氧化物垫片减小节距 |
US20090120364A1 (en) | 2007-11-09 | 2009-05-14 | Applied Materials, Inc. | Gas mixing swirl insert assembly |
JP5172617B2 (ja) | 2007-11-12 | 2013-03-27 | シャープ株式会社 | 気相成長装置及び気相成長方法 |
US7704849B2 (en) | 2007-12-03 | 2010-04-27 | Micron Technology, Inc. | Methods of forming trench isolation in silicon of a semiconductor substrate by plasma |
FR2924501B1 (fr) | 2007-12-04 | 2010-02-05 | Commissariat Energie Atomique | Procede de reglage d'un circuit d'excitation et detection pour resonance magnetique nucleaire et circuit d'excitation et detection adapte a la mise en oeuvre d'un tel procede |
AU2008333222A1 (en) | 2007-12-04 | 2009-06-11 | Parabel Ag | Multilayer solar element |
JP5142692B2 (ja) | 2007-12-11 | 2013-02-13 | 株式会社東芝 | 不揮発性半導体記憶装置 |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
US8512509B2 (en) | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
US20090159213A1 (en) | 2007-12-19 | 2009-06-25 | Applied Materials, Inc. | Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
WO2009086013A2 (en) | 2007-12-21 | 2009-07-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US7989329B2 (en) | 2007-12-21 | 2011-08-02 | Applied Materials, Inc. | Removal of surface dopants from a substrate |
JP4974873B2 (ja) | 2007-12-26 | 2012-07-11 | 新光電気工業株式会社 | 静電チャック及び基板温調固定装置 |
US20090170331A1 (en) | 2007-12-27 | 2009-07-02 | International Business Machines Corporation | Method of forming a bottle-shaped trench by ion implantation |
TWI427697B (zh) | 2007-12-28 | 2014-02-21 | Tokyo Electron Ltd | 金屬膜及金屬氧化膜之蝕刻方法與半導體裝置之製造方法 |
US7910477B2 (en) | 2007-12-28 | 2011-03-22 | Texas Instruments Incorporated | Etch residue reduction by ash methodology |
JP2009170509A (ja) | 2008-01-11 | 2009-07-30 | Hitachi High-Technologies Corp | ヒータ内蔵静電チャックを備えたプラズマ処理装置 |
US8018023B2 (en) | 2008-01-14 | 2011-09-13 | Kabushiki Kaisha Toshiba | Trench sidewall protection by a carbon-rich layer in a semiconductor device |
US7998864B2 (en) | 2008-01-29 | 2011-08-16 | International Business Machines Corporation | Noble metal cap for interconnect structures |
TW200933812A (en) | 2008-01-30 | 2009-08-01 | Promos Technologies Inc | Process for forming trench isolation structure and semiconductor device produced thereby |
US20090191711A1 (en) | 2008-01-30 | 2009-07-30 | Ying Rui | Hardmask open process with enhanced cd space shrink and reduction |
CN101911253B (zh) | 2008-01-31 | 2012-08-22 | 应用材料公司 | 闭环mocvd沉积控制 |
US20090194810A1 (en) | 2008-01-31 | 2009-08-06 | Masahiro Kiyotoshi | Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof |
JP5224837B2 (ja) | 2008-02-01 | 2013-07-03 | 株式会社東芝 | 基板のプラズマ処理装置及びプラズマ処理方法 |
JP5250279B2 (ja) | 2008-02-23 | 2013-07-31 | 東京エレクトロン株式会社 | プローブ装置 |
US20090214825A1 (en) | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
KR101259484B1 (ko) | 2008-02-26 | 2013-05-06 | 쿄세라 코포레이션 | 웨이퍼 지지 부재와 그 제조 방법, 및 이것을 사용한 정전 척 |
US8066895B2 (en) | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
US8336891B2 (en) | 2008-03-11 | 2012-12-25 | Ngk Insulators, Ltd. | Electrostatic chuck |
US7906818B2 (en) | 2008-03-13 | 2011-03-15 | Micron Technology, Inc. | Memory array with a pair of memory-cell strings to a single conductive pillar |
JP5188849B2 (ja) | 2008-03-14 | 2013-04-24 | Sppテクノロジーズ株式会社 | プラズマ処理装置 |
US9520275B2 (en) | 2008-03-21 | 2016-12-13 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
US20110011341A1 (en) | 2008-03-24 | 2011-01-20 | Tokyo Electron Limited | Shower plate and plasma processing device using the same |
JP5352103B2 (ja) | 2008-03-27 | 2013-11-27 | 東京エレクトロン株式会社 | 熱処理装置および処理システム |
DE102008016425B4 (de) | 2008-03-31 | 2015-11-19 | Advanced Micro Devices, Inc. | Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials |
JP5026326B2 (ja) | 2008-04-04 | 2012-09-12 | 株式会社日立ハイテクノロジーズ | エッチング処理状態の判定方法、システム |
US20090258162A1 (en) | 2008-04-12 | 2009-10-15 | Applied Materials, Inc. | Plasma processing apparatus and method |
JP2009266952A (ja) | 2008-04-23 | 2009-11-12 | Seiko Epson Corp | デバイスの製造方法及び製造装置 |
US7977246B2 (en) | 2008-04-25 | 2011-07-12 | Applied Materials, Inc. | Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8398777B2 (en) | 2008-05-02 | 2013-03-19 | Applied Materials, Inc. | System and method for pedestal adjustment |
US20090275206A1 (en) | 2008-05-05 | 2009-11-05 | Applied Materials, Inc. | Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias |
US20090274590A1 (en) | 2008-05-05 | 2009-11-05 | Applied Materials, Inc. | Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed |
US20090277587A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090277874A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Method and apparatus for removing polymer from a substrate |
US8277670B2 (en) | 2008-05-13 | 2012-10-02 | Lam Research Corporation | Plasma process with photoresist mask pretreatment |
KR100999182B1 (ko) | 2008-05-20 | 2010-12-08 | 주식회사 뉴파워 프라즈마 | 내장 변압기를 갖는 플라즈마 반응기 |
KR100998011B1 (ko) | 2008-05-22 | 2010-12-03 | 삼성엘이디 주식회사 | 화학기상 증착장치 |
KR101006848B1 (ko) | 2008-05-28 | 2011-01-14 | 주식회사 코미코 | 기판 지지 장치 및 이를 포함하는 기판 처리 장치 |
DE102008026134A1 (de) | 2008-05-30 | 2009-12-17 | Advanced Micro Devices, Inc., Sunnyvale | Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen |
US7754601B2 (en) | 2008-06-03 | 2010-07-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor interconnect air gap formation process |
US20090302005A1 (en) | 2008-06-04 | 2009-12-10 | General Electric Company | Processes for texturing a surface prior to electroless plating |
KR20090128913A (ko) | 2008-06-11 | 2009-12-16 | 성균관대학교산학협력단 | 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법 |
JP2010003826A (ja) | 2008-06-19 | 2010-01-07 | Toshiba Corp | 半導体装置の製造方法 |
US7699935B2 (en) | 2008-06-19 | 2010-04-20 | Applied Materials, Inc. | Method and system for supplying a cleaning gas into a process chamber |
US8607731B2 (en) | 2008-06-23 | 2013-12-17 | Applied Materials, Inc. | Cathode with inner and outer electrodes at different heights |
JP5222040B2 (ja) | 2008-06-25 | 2013-06-26 | 東京エレクトロン株式会社 | マイクロ波プラズマ処理装置 |
CN101932750B (zh) | 2008-06-27 | 2014-05-07 | 三菱重工业株式会社 | 真空处理装置及真空处理装置的运转方法 |
JP5211332B2 (ja) | 2008-07-01 | 2013-06-12 | 株式会社ユーテック | プラズマcvd装置、dlc膜及び薄膜の製造方法 |
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
KR20110036933A (ko) | 2008-07-11 | 2011-04-12 | 어플라이드 머티어리얼스, 인코포레이티드 | Cvd 적용을 위한 챔버 구성요소 |
US8419960B2 (en) | 2008-07-11 | 2013-04-16 | Tokyo Electron Limited | Plasma processing apparatus and method |
WO2010008021A1 (ja) | 2008-07-15 | 2010-01-21 | キヤノンアネルバ株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8336188B2 (en) | 2008-07-17 | 2012-12-25 | Formfactor, Inc. | Thin wafer chuck |
US20100018648A1 (en) | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
JP2011253832A (ja) | 2008-07-24 | 2011-12-15 | Canon Anelva Corp | レジストトリミング方法及びトリミング装置 |
KR20100013980A (ko) | 2008-08-01 | 2010-02-10 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 형성 방법 |
US20100025370A1 (en) | 2008-08-04 | 2010-02-04 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
WO2010019430A2 (en) | 2008-08-12 | 2010-02-18 | Applied Materials, Inc. | Electrostatic chuck assembly |
EP2324687B1 (en) | 2008-08-20 | 2016-01-27 | Vision Dynamics Holding B.V. | Device for generating a plasma discharge for patterning the surface of a substrate |
US7882808B2 (en) | 2008-08-20 | 2011-02-08 | Crazy K Poultry + Livestock, LLC | Protective hen apron |
US8268729B2 (en) | 2008-08-21 | 2012-09-18 | International Business Machines Corporation | Smooth and vertical semiconductor fin structure |
JP2010047818A (ja) | 2008-08-25 | 2010-03-04 | Toshiba Corp | 半導体製造装置および半導体製造方法 |
KR100997502B1 (ko) | 2008-08-26 | 2010-11-30 | 금호석유화학 주식회사 | 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법 |
KR101025741B1 (ko) | 2008-09-02 | 2011-04-04 | 주식회사 하이닉스반도체 | 수직 채널 트랜지스터의 활성필라 제조방법 |
US8871645B2 (en) | 2008-09-11 | 2014-10-28 | Applied Materials, Inc. | Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof |
US8168268B2 (en) | 2008-12-12 | 2012-05-01 | Ovishinsky Innovation, LLC | Thin film deposition via a spatially-coordinated and time-synchronized process |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
US20100081285A1 (en) | 2008-09-30 | 2010-04-01 | Tokyo Electron Limited | Apparatus and Method for Improving Photoresist Properties |
US7968441B2 (en) | 2008-10-08 | 2011-06-28 | Applied Materials, Inc. | Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage |
US7928003B2 (en) | 2008-10-10 | 2011-04-19 | Applied Materials, Inc. | Air gap interconnects using carbon-based films |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US20100099263A1 (en) | 2008-10-20 | 2010-04-22 | Applied Materials, Inc. | Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects |
US8207470B2 (en) | 2008-10-20 | 2012-06-26 | Industry-University Cooperation Foundation Hanyang University | Apparatus for generating remote plasma |
WO2010048076A2 (en) | 2008-10-21 | 2010-04-29 | Applied Materials, Inc. | Plasma source for chamber cleaning and process |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US20100101727A1 (en) | 2008-10-27 | 2010-04-29 | Helin Ji | Capacitively coupled remote plasma source with large operating pressure range |
JP5396065B2 (ja) | 2008-10-28 | 2014-01-22 | 株式会社日立製作所 | 半導体装置の製造方法 |
US8206829B2 (en) | 2008-11-10 | 2012-06-26 | Applied Materials, Inc. | Plasma resistant coatings for plasma chamber components |
US20100116788A1 (en) | 2008-11-12 | 2010-05-13 | Lam Research Corporation | Substrate temperature control by using liquid controlled multizone substrate support |
US8043933B2 (en) | 2008-11-24 | 2011-10-25 | Applied Materials, Inc. | Integration sequences with top surface profile modification |
JP5358165B2 (ja) | 2008-11-26 | 2013-12-04 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US20100147219A1 (en) | 2008-12-12 | 2010-06-17 | Jui Hai Hsieh | High temperature and high voltage electrode assembly design |
US8540844B2 (en) | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8869741B2 (en) | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
JP2010154699A (ja) | 2008-12-26 | 2010-07-08 | Hitachi Ltd | 磁束可変型回転電機 |
US20100183825A1 (en) | 2008-12-31 | 2010-07-22 | Cambridge Nanotech Inc. | Plasma atomic layer deposition system and method |
KR101587601B1 (ko) | 2009-01-14 | 2016-01-25 | 삼성전자주식회사 | 비휘발성 메모리 장치의 제조 방법 |
US20100187694A1 (en) | 2009-01-28 | 2010-07-29 | Chen-Hua Yu | Through-Silicon Via Sidewall Isolation Structure |
US7964517B2 (en) | 2009-01-29 | 2011-06-21 | Texas Instruments Incorporated | Use of a biased precoat for reduced first wafer defects in high-density plasma process |
KR20100087915A (ko) | 2009-01-29 | 2010-08-06 | 삼성전자주식회사 | 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법 |
KR101795658B1 (ko) | 2009-01-31 | 2017-11-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭을 위한 방법 및 장치 |
KR101527195B1 (ko) | 2009-02-02 | 2015-06-10 | 삼성전자주식회사 | 수직 구조의 비휘발성 메모리 소자 |
JP5210191B2 (ja) | 2009-02-03 | 2013-06-12 | 東京エレクトロン株式会社 | 窒化珪素膜のドライエッチング方法 |
JP2010180458A (ja) | 2009-02-06 | 2010-08-19 | Kit:Kk | アルミニウム表面の酸化層形成方法及び半導体装置の製造方法 |
CN102365906B (zh) | 2009-02-13 | 2016-02-03 | 应用材料公司 | 用于等离子体腔室电极的rf总线与rf回流总线 |
KR101566922B1 (ko) | 2009-02-16 | 2015-11-09 | 삼성전자주식회사 | 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법 |
US8148749B2 (en) | 2009-02-19 | 2012-04-03 | Fairchild Semiconductor Corporation | Trench-shielded semiconductor device |
US20110048325A1 (en) | 2009-03-03 | 2011-03-03 | Sun Hong Choi | Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same |
US20100224322A1 (en) | 2009-03-03 | 2010-09-09 | Applied Materials, Inc. | Endpoint detection for a reactor chamber using a remote plasma chamber |
US9378930B2 (en) | 2009-03-05 | 2016-06-28 | Applied Materials, Inc. | Inductively coupled plasma reactor having RF phase control and methods of use thereof |
EP2409313A1 (de) | 2009-03-17 | 2012-01-25 | Roth & Rau AG | Substratbearbeitungsanlage und substratbearbeitungsverfahren |
KR101539699B1 (ko) | 2009-03-19 | 2015-07-27 | 삼성전자주식회사 | 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법 |
US8312839B2 (en) | 2009-03-24 | 2012-11-20 | Applied Materials, Inc. | Mixing frequency at multiple feeding points |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP5657262B2 (ja) | 2009-03-27 | 2015-01-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5501807B2 (ja) | 2009-03-31 | 2014-05-28 | 東京エレクトロン株式会社 | 処理装置 |
KR101534357B1 (ko) | 2009-03-31 | 2015-07-06 | 도쿄엘렉트론가부시키가이샤 | 기판 지지 장치 및 기판 지지 방법 |
US8026179B2 (en) | 2009-04-09 | 2011-09-27 | Macronix International Co., Ltd. | Patterning method and integrated circuit structure |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US20100263588A1 (en) | 2009-04-15 | 2010-10-21 | Gan Zhiyin | Methods and apparatus for epitaxial growth of semiconductor materials |
US8193075B2 (en) | 2009-04-20 | 2012-06-05 | Applied Materials, Inc. | Remote hydrogen plasma with ion filter for terminating silicon dangling bonds |
WO2010123707A2 (en) | 2009-04-20 | 2010-10-28 | Applied Materials, Inc. | Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls |
US9431237B2 (en) | 2009-04-20 | 2016-08-30 | Applied Materials, Inc. | Post treatment methods for oxide layers on semiconductor devices |
SG174993A1 (en) | 2009-04-21 | 2011-11-28 | Applied Materials Inc | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US8110889B2 (en) | 2009-04-28 | 2012-02-07 | Applied Materials, Inc. | MOCVD single chamber split process for LED manufacturing |
US8623141B2 (en) | 2009-05-18 | 2014-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Piping system and control for semiconductor processing |
KR101360876B1 (ko) | 2009-06-03 | 2014-02-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 식각을 위한 방법 및 장치 |
US8753447B2 (en) | 2009-06-10 | 2014-06-17 | Novellus Systems, Inc. | Heat shield for heater in semiconductor processing apparatus |
US8492292B2 (en) | 2009-06-29 | 2013-07-23 | Applied Materials, Inc. | Methods of forming oxide layers on substrates |
WO2011009002A2 (en) | 2009-07-15 | 2011-01-20 | Applied Materials, Inc. | Flow control features of cvd chambers |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
KR101095119B1 (ko) | 2009-08-19 | 2011-12-16 | 삼성전기주식회사 | 다이 패키지 및 그 제조방법 |
US9299539B2 (en) | 2009-08-21 | 2016-03-29 | Lam Research Corporation | Method and apparatus for measuring wafer bias potential |
JP2013503414A (ja) | 2009-08-26 | 2013-01-31 | ビーコ・インスツルメンツ・インコーポレーテッド | 磁気記録媒体上にパターンを製造するためのシステム |
CN102414801A (zh) | 2009-08-27 | 2012-04-11 | 应用材料公司 | 在原位腔室清洁后的处理腔室去污方法 |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
WO2011027515A1 (ja) | 2009-09-02 | 2011-03-10 | 積水化学工業株式会社 | シリコン含有膜のエッチング方法 |
US20120171852A1 (en) | 2009-09-04 | 2012-07-05 | Applied Materials, Inc | Remote hydrogen plasma source of silicon containing film deposition |
US20110061812A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US20110061810A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US20110065276A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
JP5648349B2 (ja) | 2009-09-17 | 2015-01-07 | 東京エレクトロン株式会社 | 成膜装置 |
US8216640B2 (en) | 2009-09-25 | 2012-07-10 | Hermes-Epitek Corporation | Method of making showerhead for semiconductor processing apparatus |
US8329587B2 (en) | 2009-10-05 | 2012-12-11 | Applied Materials, Inc. | Post-planarization densification |
US9449859B2 (en) | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
TWI430714B (zh) | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
EP2315028A1 (en) | 2009-10-26 | 2011-04-27 | Atlas Antibodies AB | PODXL protein in colorectal cancer |
KR101757922B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
KR101928402B1 (ko) | 2009-10-30 | 2018-12-12 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 및 반도체 장치의 제작방법 |
CN102598131B (zh) | 2009-11-04 | 2016-04-13 | 应用材料公司 | 用于图案化的磁盘媒体应用的等离子体离子注入工艺 |
JP5257328B2 (ja) | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US8455364B2 (en) | 2009-11-06 | 2013-06-04 | International Business Machines Corporation | Sidewall image transfer using the lithographic stack as the mandrel |
WO2011056281A1 (en) | 2009-11-06 | 2011-05-12 | Rambus Inc. | Three-dimensional memory array stacking structure |
US8771538B2 (en) | 2009-11-18 | 2014-07-08 | Applied Materials, Inc. | Plasma source design |
US8742665B2 (en) | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
KR20110054840A (ko) | 2009-11-18 | 2011-05-25 | 주식회사 아토 | 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 |
WO2011066508A2 (en) | 2009-11-30 | 2011-06-03 | Applied Materials, Inc. | Chamber for processing hard disk drive substrates |
US8604697B2 (en) | 2009-12-09 | 2013-12-10 | Jehara Corporation | Apparatus for generating plasma |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
US8202803B2 (en) | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
WO2011070945A1 (ja) | 2009-12-11 | 2011-06-16 | 株式会社アルバック | 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法 |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US20110140229A1 (en) | 2009-12-16 | 2011-06-16 | Willy Rachmady | Techniques for forming shallow trench isolation |
US8274017B2 (en) | 2009-12-18 | 2012-09-25 | Applied Materials, Inc. | Multifunctional heater/chiller pedestal for wide range wafer temperature control |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
JP4927158B2 (ja) | 2009-12-25 | 2012-05-09 | 東京エレクトロン株式会社 | 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置 |
US20110303146A1 (en) | 2009-12-28 | 2011-12-15 | Osamu Nishijima | Plasma doping apparatus |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP5710209B2 (ja) | 2010-01-18 | 2015-04-30 | 東京エレクトロン株式会社 | 電磁波給電機構およびマイクロ波導入機構 |
JP5166458B2 (ja) | 2010-01-22 | 2013-03-21 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP5608384B2 (ja) | 2010-02-05 | 2014-10-15 | 東京エレクトロン株式会社 | 半導体装置の製造方法及びプラズマエッチング装置 |
ATE551439T1 (de) | 2010-02-08 | 2012-04-15 | Roth & Rau Ag | PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE |
US8946828B2 (en) | 2010-02-09 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having elevated structure and method of manufacturing the same |
US8361338B2 (en) | 2010-02-11 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hard mask removal method |
US20110198034A1 (en) | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
JP5476152B2 (ja) | 2010-02-16 | 2014-04-23 | 積水化学工業株式会社 | 窒化シリコンのエッチング方法及び装置 |
US8456009B2 (en) | 2010-02-18 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure having an air-gap region and a method of manufacturing the same |
JP5662079B2 (ja) | 2010-02-24 | 2015-01-28 | 東京エレクトロン株式会社 | エッチング処理方法 |
US20110207332A1 (en) | 2010-02-25 | 2011-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film coated process kits for semiconductor manufacturing tools |
KR101214758B1 (ko) | 2010-02-26 | 2012-12-21 | 성균관대학교산학협력단 | 식각 방법 |
CN102844848A (zh) | 2010-03-05 | 2012-12-26 | 应用材料公司 | 通过自由基成分化学气相沉积的共形层 |
US9175394B2 (en) | 2010-03-12 | 2015-11-03 | Applied Materials, Inc. | Atomic layer deposition chamber with multi inject |
US8354660B2 (en) | 2010-03-16 | 2013-01-15 | Sandisk 3D Llc | Bottom electrodes for use with metal oxide resistivity switching layers |
JP5450187B2 (ja) | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8435902B2 (en) | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US20130012030A1 (en) | 2010-03-17 | 2013-01-10 | Applied Materials, Inc. | Method and apparatus for remote plasma source assisted silicon-containing film deposition |
US8574447B2 (en) | 2010-03-31 | 2013-11-05 | Lam Research Corporation | Inorganic rapid alternating process for silicon etch |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256421A1 (en) | 2010-04-16 | 2011-10-20 | United Technologies Corporation | Metallic coating for single crystal alloys |
US8288268B2 (en) | 2010-04-29 | 2012-10-16 | International Business Machines Corporation | Microelectronic structure including air gap |
US20110265951A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Twin chamber processing system |
US8562742B2 (en) | 2010-04-30 | 2013-10-22 | Applied Materials, Inc. | Apparatus for radial delivery of gas to a chamber and methods of use thereof |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US20110265884A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Twin chamber processing system with shared vacuum pump |
US8721798B2 (en) | 2010-04-30 | 2014-05-13 | Applied Materials, Inc. | Methods for processing substrates in process systems having shared resources |
US20110278260A1 (en) | 2010-05-14 | 2011-11-17 | Applied Materials, Inc. | Inductive plasma source with metallic shower head using b-field concentrator |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US20140154668A1 (en) | 2010-05-21 | 2014-06-05 | The Trustees Of Princeton University | Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same. |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8373239B2 (en) | 2010-06-08 | 2013-02-12 | International Business Machines Corporation | Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric |
JP5751895B2 (ja) | 2010-06-08 | 2015-07-22 | 株式会社日立国際電気 | 半導体装置の製造方法、クリーニング方法および基板処理装置 |
JP2011258768A (ja) | 2010-06-09 | 2011-12-22 | Sumitomo Electric Ind Ltd | 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法 |
US20110304078A1 (en) | 2010-06-14 | 2011-12-15 | Applied Materials, Inc. | Methods for removing byproducts from load lock chambers |
US8928061B2 (en) | 2010-06-30 | 2015-01-06 | SanDisk Technologies, Inc. | Three dimensional NAND device with silicide containing floating gates |
US8349681B2 (en) | 2010-06-30 | 2013-01-08 | Sandisk Technologies Inc. | Ultrahigh density monolithic, three dimensional vertical NAND memory device |
US20120009796A1 (en) | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
JP5463224B2 (ja) | 2010-07-09 | 2014-04-09 | 日本発條株式会社 | 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート |
KR101202352B1 (ko) | 2010-07-19 | 2012-11-16 | 삼성디스플레이 주식회사 | 유기 발광 표시 장치 및 이의 제조 방법 |
US8338211B2 (en) | 2010-07-27 | 2012-12-25 | Amtech Systems, Inc. | Systems and methods for charging solar cell layers |
US8278203B2 (en) | 2010-07-28 | 2012-10-02 | Sandisk Technologies Inc. | Metal control gate formation in non-volatile storage |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
JP5198611B2 (ja) | 2010-08-12 | 2013-05-15 | 株式会社東芝 | ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法 |
US8222125B2 (en) | 2010-08-12 | 2012-07-17 | Ovshinsky Innovation, Llc | Plasma deposition of amorphous semiconductors at microwave frequencies |
WO2012052858A1 (en) | 2010-08-16 | 2012-04-26 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Etching of oxide materials |
US20120017989A1 (en) | 2010-08-24 | 2012-01-26 | Pai-Chun Chang | Metal and metal oxide surface texturing |
KR20120022251A (ko) | 2010-09-01 | 2012-03-12 | 삼성전자주식회사 | 플라즈마 식각방법 및 그의 장치 |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US8580699B2 (en) | 2010-09-10 | 2013-11-12 | Applied Materials, Inc. | Embedded catalyst for atomic layer deposition of silicon oxide |
US20120088356A1 (en) | 2010-09-14 | 2012-04-12 | Applied Materials, Inc. | Integrated platform for in-situ doping and activation of substrates |
KR20120029291A (ko) | 2010-09-16 | 2012-03-26 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US8840754B2 (en) | 2010-09-17 | 2014-09-23 | Lam Research Corporation | Polar regions for electrostatic de-chucking with lift pins |
WO2012039932A2 (en) | 2010-09-21 | 2012-03-29 | Applied Materials, Inc. | Methods for forming layers on a substrate |
US8633423B2 (en) | 2010-10-14 | 2014-01-21 | Applied Materials, Inc. | Methods and apparatus for controlling substrate temperature in a process chamber |
KR101209003B1 (ko) | 2010-10-14 | 2012-12-06 | 주식회사 유진테크 | 3차원 구조의 메모리 소자를 제조하는 방법 및 장치 |
US8183134B2 (en) | 2010-10-19 | 2012-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces |
US20120097330A1 (en) | 2010-10-20 | 2012-04-26 | Applied Materials, Inc. | Dual delivery chamber design |
US9123762B2 (en) | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
JP5544343B2 (ja) | 2010-10-29 | 2014-07-09 | 東京エレクトロン株式会社 | 成膜装置 |
WO2012058377A2 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Methods for etching oxide layers using process gas pulsing |
US9111994B2 (en) | 2010-11-01 | 2015-08-18 | Magnachip Semiconductor, Ltd. | Semiconductor device and method of fabricating the same |
WO2012061593A2 (en) | 2010-11-03 | 2012-05-10 | Applied Materials, Inc. | Apparatus and methods for deposition of silicon carbide and silicon carbonitride films |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US8389416B2 (en) | 2010-11-22 | 2013-03-05 | Tokyo Electron Limited | Process for etching silicon with selectivity to silicon-germanium |
KR20120058962A (ko) | 2010-11-30 | 2012-06-08 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US8475103B2 (en) | 2010-12-09 | 2013-07-02 | Hamilton Sundstand Corporation | Sealing washer assembly for large diameter holes on flat surfaces |
US8470713B2 (en) | 2010-12-13 | 2013-06-25 | International Business Machines Corporation | Nitride etch for improved spacer uniformity |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
JP5728221B2 (ja) | 2010-12-24 | 2015-06-03 | 東京エレクトロン株式会社 | 基板処理方法及び記憶媒体 |
US20120177846A1 (en) | 2011-01-07 | 2012-07-12 | Applied Materials, Inc. | Radical steam cvd |
KR101246170B1 (ko) | 2011-01-13 | 2013-03-25 | 국제엘렉트릭코리아 주식회사 | 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치 |
KR101529578B1 (ko) | 2011-01-14 | 2015-06-19 | 성균관대학교산학협력단 | 플라즈마 기판 처리 장치 및 방법 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8363476B2 (en) | 2011-01-19 | 2013-01-29 | Macronix International Co., Ltd. | Memory device, manufacturing method and operating method of the same |
US9018692B2 (en) | 2011-01-19 | 2015-04-28 | Macronix International Co., Ltd. | Low cost scalable 3D memory |
WO2012098871A1 (ja) | 2011-01-20 | 2012-07-26 | 東京エレクトロン株式会社 | 真空処理装置 |
US8723423B2 (en) | 2011-01-25 | 2014-05-13 | Advanced Energy Industries, Inc. | Electrostatic remote plasma source |
US9068265B2 (en) | 2011-02-01 | 2015-06-30 | Applied Materials, Inc. | Gas distribution plate with discrete protective elements |
KR101732936B1 (ko) | 2011-02-14 | 2017-05-08 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US20120216955A1 (en) | 2011-02-25 | 2012-08-30 | Toshiba Materials Co., Ltd. | Plasma processing apparatus |
US9281207B2 (en) | 2011-02-28 | 2016-03-08 | Inpria Corporation | Solution processible hardmasks for high resolution lithography |
WO2012148568A1 (en) | 2011-03-01 | 2012-11-01 | Applied Materials, Inc. | Method and apparatus for substrate transfer and radical confinement |
CN103403852B (zh) | 2011-03-01 | 2016-06-08 | 应用材料公司 | 双负载闸配置的消除及剥离处理腔室 |
TW201246362A (en) | 2011-03-01 | 2012-11-16 | Univ King Abdullah Sci & Tech | Silicon germanium mask for deep silicon etching |
EP2681088B1 (en) | 2011-03-02 | 2016-11-23 | Game Changers, Llc | Air cushion transport |
US9441296B2 (en) | 2011-03-04 | 2016-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
FR2972563B1 (fr) | 2011-03-07 | 2013-03-01 | Altis Semiconductor Snc | Procédé de traitement d'une couche de nitrure de métal oxydée |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US20120238108A1 (en) | 2011-03-14 | 2012-09-20 | Applied Materials, Inc. | Two-stage ozone cure for dielectric films |
TWI534291B (zh) | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
KR101884003B1 (ko) | 2011-03-22 | 2018-07-31 | 어플라이드 머티어리얼스, 인코포레이티드 | 화학 기상 증착 챔버를 위한 라이너 조립체 |
US9330953B2 (en) | 2011-03-23 | 2016-05-03 | Sumitomo Osaka Cement Co., Ltd. | Electrostatic chuck device |
US8980418B2 (en) | 2011-03-24 | 2015-03-17 | Uchicago Argonne, Llc | Sequential infiltration synthesis for advanced lithography |
JP5815967B2 (ja) | 2011-03-31 | 2015-11-17 | 東京エレクトロン株式会社 | 基板洗浄装置及び真空処理システム |
JP6003011B2 (ja) | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5864879B2 (ja) | 2011-03-31 | 2016-02-17 | 東京エレクトロン株式会社 | 基板処理装置及びその制御方法 |
US9196463B2 (en) | 2011-04-07 | 2015-11-24 | Varian Semiconductor Equipment Associates, Inc. | System and method for plasma monitoring using microwaves |
US8460569B2 (en) | 2011-04-07 | 2013-06-11 | Varian Semiconductor Equipment Associates, Inc. | Method and system for post-etch treatment of patterned substrate features |
US20120258607A1 (en) | 2011-04-11 | 2012-10-11 | Lam Research Corporation | E-Beam Enhanced Decoupled Source for Semiconductor Processing |
US8815720B2 (en) | 2011-04-12 | 2014-08-26 | Varian Semiconductor Equipment Associates, Inc. | Method of etching a workpiece |
US9695510B2 (en) | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
US8415250B2 (en) | 2011-04-29 | 2013-04-09 | International Business Machines Corporation | Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device |
US8298954B1 (en) | 2011-05-06 | 2012-10-30 | International Business Machines Corporation | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
US20120285621A1 (en) | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
EP2707375A4 (en) | 2011-05-13 | 2015-01-07 | Greenct Canada | MONO-METALLIC GROUP-11 PRECURSOR COMPOUNDS AND USE THEREOF IN A METAL SEPARATION |
US9012283B2 (en) | 2011-05-16 | 2015-04-21 | International Business Machines Corporation | Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture |
US8663389B2 (en) | 2011-05-21 | 2014-03-04 | Andrew Peter Clarke | Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor |
JP5563522B2 (ja) | 2011-05-23 | 2014-07-30 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
KR101390900B1 (ko) | 2011-05-31 | 2014-04-30 | 세메스 주식회사 | 기판처리장치 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9589772B2 (en) | 2011-06-09 | 2017-03-07 | Korea Basic Science Institute | Plasma generation source including belt-type magnet and thin film deposition system using this |
US8637372B2 (en) | 2011-06-29 | 2014-01-28 | GlobalFoundries, Inc. | Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate |
US8883637B2 (en) | 2011-06-30 | 2014-11-11 | Novellus Systems, Inc. | Systems and methods for controlling etch selectivity of various materials |
US9117867B2 (en) | 2011-07-01 | 2015-08-25 | Applied Materials, Inc. | Electrostatic chuck assembly |
US9054048B2 (en) | 2011-07-05 | 2015-06-09 | Applied Materials, Inc. | NH3 containing plasma nitridation of a layer on a substrate |
CN102867748B (zh) | 2011-07-06 | 2015-09-23 | 中国科学院微电子研究所 | 一种晶体管及其制作方法和包括该晶体管的半导体芯片 |
KR20110086540A (ko) | 2011-07-12 | 2011-07-28 | 조인숙 | 불소화합물을 이용한 필름의 선택적인 식각 방법 |
US8741775B2 (en) | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
US8617411B2 (en) | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
US8921177B2 (en) | 2011-07-22 | 2014-12-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating an integrated circuit device |
US8974601B2 (en) | 2011-07-29 | 2015-03-10 | Semes Co., Ltd. | Apparatuses, systems and methods for treating substrate |
US20130034666A1 (en) | 2011-08-01 | 2013-02-07 | Applied Materials, Inc. | Inductive plasma sources for wafer processing and chamber cleaning |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
KR101271247B1 (ko) | 2011-08-02 | 2013-06-07 | 주식회사 유진테크 | 에피택셜 공정을 위한 반도체 제조설비 |
CN102915902B (zh) | 2011-08-02 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 一种电容耦合式的等离子体处理装置及其基片加工方法 |
JP5893864B2 (ja) | 2011-08-02 | 2016-03-23 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US9117759B2 (en) | 2011-08-10 | 2015-08-25 | Micron Technology, Inc. | Methods of forming bulb-shaped trenches in silicon |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US20130217243A1 (en) | 2011-09-09 | 2013-08-22 | Applied Materials, Inc. | Doping of dielectric layers |
US8808562B2 (en) | 2011-09-12 | 2014-08-19 | Tokyo Electron Limited | Dry metal etching method |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US20130260564A1 (en) | 2011-09-26 | 2013-10-03 | Applied Materials, Inc. | Insensitive dry removal process for semiconductor integration |
US8664012B2 (en) | 2011-09-30 | 2014-03-04 | Tokyo Electron Limited | Combined silicon oxide etch and contamination removal process |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
EP2764408B1 (en) | 2011-10-06 | 2019-08-21 | ASML Netherlands B.V. | Chuck, lithography apparatus and method of using a chuck |
US9653267B2 (en) | 2011-10-06 | 2017-05-16 | Applied Materials, Inc. | Temperature controlled chamber liner |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130087309A1 (en) | 2011-10-11 | 2013-04-11 | Applied Materials, Inc. | Substrate support with temperature control |
JP5740281B2 (ja) | 2011-10-20 | 2015-06-24 | 東京エレクトロン株式会社 | 金属膜のドライエッチング方法 |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US20130107415A1 (en) | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | Electrostatic chuck |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US20130115372A1 (en) | 2011-11-08 | 2013-05-09 | Primestar Solar, Inc. | High emissivity distribution plate in vapor deposition apparatus and processes |
WO2013070438A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Precursor distribution features for improved deposition uniformity |
JP5779482B2 (ja) | 2011-11-15 | 2015-09-16 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8652298B2 (en) | 2011-11-21 | 2014-02-18 | Lam Research Corporation | Triode reactor design with multiple radiofrequency powers |
US8900364B2 (en) | 2011-11-29 | 2014-12-02 | Intermolecular, Inc. | High productivity vapor processing system |
US8440523B1 (en) | 2011-12-07 | 2013-05-14 | International Business Machines Corporation | Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch |
US20130149866A1 (en) | 2011-12-12 | 2013-06-13 | Texas Instruments Incorporated | Baffle plate for semiconductor processing apparatus |
US10825708B2 (en) | 2011-12-15 | 2020-11-03 | Applied Materials, Inc. | Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability |
KR20130072911A (ko) | 2011-12-22 | 2013-07-02 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
KR101878311B1 (ko) | 2011-12-30 | 2018-07-17 | 삼성전자주식회사 | high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자 |
US8603891B2 (en) | 2012-01-20 | 2013-12-10 | Micron Technology, Inc. | Methods for forming vertical memory devices and apparatuses |
US8747686B2 (en) | 2012-01-27 | 2014-06-10 | Applied Materials, Inc. | Methods of end point detection for substrate fabrication processes |
JP6010406B2 (ja) | 2012-01-27 | 2016-10-19 | 東京エレクトロン株式会社 | マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置 |
SG11201403527UA (en) | 2012-02-08 | 2014-09-26 | Iwatani Corp | Method for treating inner surface of chlorine trifluoride supply passage in apparatus using chlorine trifluoride |
US20130175654A1 (en) | 2012-02-10 | 2013-07-11 | Sylvain Muckenhirn | Bulk nanohole structures for thermoelectric devices and methods for making the same |
WO2013130191A1 (en) | 2012-02-29 | 2013-09-06 | Applied Materials, Inc. | Abatement and strip process chamber in a load lock configuration |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US8747610B2 (en) | 2012-03-30 | 2014-06-10 | Tokyo Electron Limited | Plasma source pumping and gas injection baffle |
US8937800B2 (en) | 2012-04-24 | 2015-01-20 | Applied Materials, Inc. | Electrostatic chuck with advanced RF and temperature uniformity |
US9948214B2 (en) | 2012-04-26 | 2018-04-17 | Applied Materials, Inc. | High temperature electrostatic chuck with real-time heat zone regulating capability |
US20130284369A1 (en) | 2012-04-26 | 2013-10-31 | Applied Materials, Inc. | Two-phase operation of plasma chamber by phase locked loop |
US9162236B2 (en) | 2012-04-26 | 2015-10-20 | Applied Materials, Inc. | Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus |
US9161428B2 (en) | 2012-04-26 | 2015-10-13 | Applied Materials, Inc. | Independent control of RF phases of separate coils of an inductively coupled plasma reactor |
KR20150013627A (ko) | 2012-04-26 | 2015-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치 |
JP6005579B2 (ja) | 2012-04-27 | 2016-10-12 | 日本碍子株式会社 | 半導体製造装置用部材 |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US9976215B2 (en) | 2012-05-01 | 2018-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor film formation apparatus and process |
JP2013235912A (ja) | 2012-05-08 | 2013-11-21 | Tokyo Electron Ltd | 被処理基体をエッチングする方法、及びプラズマエッチング装置 |
CN103388132B (zh) | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
US20130298942A1 (en) | 2012-05-14 | 2013-11-14 | Applied Materials, Inc. | Etch remnant removal |
KR101917815B1 (ko) | 2012-05-31 | 2018-11-13 | 에스케이하이닉스 주식회사 | 에어갭을 구비한 반도체장치 및 그 제조 방법 |
FR2991320B1 (fr) | 2012-06-05 | 2014-06-27 | Commissariat Energie Atomique | Procede de preparation d'amines methylees |
US8974164B2 (en) | 2012-06-26 | 2015-03-10 | Newfrey Llc | Plastic high heat fastener |
US9034773B2 (en) | 2012-07-02 | 2015-05-19 | Novellus Systems, Inc. | Removal of native oxide with high selectivity |
US8916477B2 (en) | 2012-07-02 | 2014-12-23 | Novellus Systems, Inc. | Polysilicon etch with high selectivity |
US8802572B2 (en) | 2012-07-10 | 2014-08-12 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
KR101989514B1 (ko) | 2012-07-11 | 2019-06-14 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9184030B2 (en) | 2012-07-19 | 2015-11-10 | Lam Research Corporation | Edge exclusion control with adjustable plasma exclusion zone ring |
US9631273B2 (en) | 2012-07-25 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for dielectric deposition process |
JP6160619B2 (ja) | 2012-08-01 | 2017-07-12 | Tdk株式会社 | フェライト磁性材料、フェライト焼結磁石及びモータ |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8772888B2 (en) | 2012-08-10 | 2014-07-08 | Avalanche Technology Inc. | MTJ MRAM with stud patterning |
US8747680B1 (en) | 2012-08-14 | 2014-06-10 | Everspin Technologies, Inc. | Method of manufacturing a magnetoresistive-based device |
WO2014116304A2 (en) | 2012-08-23 | 2014-07-31 | Applied Materials, Inc. | Method and hardware for cleaning uv chambers |
WO2014035933A1 (en) | 2012-08-28 | 2014-03-06 | Applied Materials, Inc. | Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices |
US20140062285A1 (en) | 2012-08-29 | 2014-03-06 | Mks Instruments, Inc. | Method and Apparatus for a Large Area Inductive Plasma Source |
US9121097B2 (en) | 2012-08-31 | 2015-09-01 | Novellus Systems, Inc. | Variable showerhead flow by varying internal baffle conductance |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
JP6027374B2 (ja) | 2012-09-12 | 2016-11-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びフィルタユニット |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9018022B2 (en) | 2012-09-24 | 2015-04-28 | Lam Research Corporation | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
TWI604528B (zh) | 2012-10-02 | 2017-11-01 | 應用材料股份有限公司 | 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻 |
TWI591712B (zh) | 2012-10-03 | 2017-07-11 | 應用材料股份有限公司 | 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻 |
KR102137617B1 (ko) | 2012-10-19 | 2020-07-24 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
CN103794460B (zh) | 2012-10-29 | 2016-12-21 | 中微半导体设备(上海)有限公司 | 用于半导体装置性能改善的涂层 |
US9165783B2 (en) | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
JP6035117B2 (ja) | 2012-11-09 | 2016-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9777564B2 (en) | 2012-12-03 | 2017-10-03 | Pyrophase, Inc. | Stimulating production from oil wells using an RF dipole antenna |
WO2014092856A1 (en) | 2012-12-14 | 2014-06-19 | The Penn State Research Foundation | Ultra-high speed anisotropic reactive ion etching |
US9982343B2 (en) | 2012-12-14 | 2018-05-29 | Applied Materials, Inc. | Apparatus for providing plasma to a process chamber |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10316409B2 (en) * | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
JP6173684B2 (ja) | 2012-12-25 | 2017-08-02 | 株式会社日立ハイテクノロジーズ | 半導体装置の製造方法 |
WO2014104753A1 (ko) | 2012-12-28 | 2014-07-03 | 주식회사 뉴파워 프라즈마 | 플라즈마 반응기 및 이를 이용한 플라즈마 점화 방법 |
JP6328931B2 (ja) | 2012-12-31 | 2018-05-23 | ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC | フォトレジストパターントリミング方法 |
US9165823B2 (en) | 2013-01-08 | 2015-10-20 | Macronix International Co., Ltd. | 3D stacking semiconductor device and manufacturing method thereof |
US9093389B2 (en) | 2013-01-16 | 2015-07-28 | Applied Materials, Inc. | Method of patterning a silicon nitride dielectric film |
JP6080571B2 (ja) | 2013-01-31 | 2017-02-15 | 東京エレクトロン株式会社 | 載置台及びプラズマ処理装置 |
US8970114B2 (en) | 2013-02-01 | 2015-03-03 | Lam Research Corporation | Temperature controlled window of a plasma processing chamber component |
JP2014154421A (ja) | 2013-02-12 | 2014-08-25 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法、および高周波発生器 |
US20140234466A1 (en) | 2013-02-21 | 2014-08-21 | HGST Netherlands B.V. | Imprint mold and method for making using sidewall spacer line doubling |
US9449795B2 (en) | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
TWI487004B (zh) | 2013-03-01 | 2015-06-01 | Winbond Electronics Corp | 圖案化的方法及記憶體元件的形成方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US8859433B2 (en) | 2013-03-11 | 2014-10-14 | International Business Machines Corporation | DSA grapho-epitaxy process with etch stop material |
US8946023B2 (en) | 2013-03-12 | 2015-02-03 | Sandisk Technologies Inc. | Method of making a vertical NAND device using sequential etching of multilayer stacks |
KR102021988B1 (ko) | 2013-03-12 | 2019-09-17 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
US20140262031A1 (en) | 2013-03-12 | 2014-09-18 | Sergey G. BELOSTOTSKIY | Multi-mode etch chamber source assembly |
US20140273451A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Tungsten deposition sequence |
TWI625424B (zh) | 2013-03-13 | 2018-06-01 | 應用材料股份有限公司 | 蝕刻包含過渡金屬的膜之方法 |
US20140273487A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Pulsed dc plasma etching process and apparatus |
US20140273525A1 (en) | 2013-03-13 | 2014-09-18 | Intermolecular, Inc. | Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films |
US9411237B2 (en) | 2013-03-14 | 2016-08-09 | Applied Materials, Inc. | Resist hardening and development processes for semiconductor device manufacturing |
US9006106B2 (en) | 2013-03-14 | 2015-04-14 | Applied Materials, Inc. | Method of removing a metal hardmask |
US9556507B2 (en) | 2013-03-14 | 2017-01-31 | Applied Materials, Inc. | Yttria-based material coated chemical vapor deposition chamber heater |
US9117670B2 (en) * | 2013-03-14 | 2015-08-25 | Sunedison Semiconductor Limited (Uen201334164H) | Inject insert liner assemblies for chemical vapor deposition systems and methods of using same |
US8946076B2 (en) | 2013-03-15 | 2015-02-03 | Micron Technology, Inc. | Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9276011B2 (en) | 2013-03-15 | 2016-03-01 | Micron Technology, Inc. | Cell pillar structures and integrated flows |
WO2014145263A1 (en) | 2013-03-15 | 2014-09-18 | Dr. Py Institute, Llc | Single-use needle assembly and method |
JP5386046B1 (ja) | 2013-03-27 | 2014-01-15 | エピクルー株式会社 | サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置 |
US10941501B2 (en) | 2013-03-29 | 2021-03-09 | Analytical Specialties, Inc. | Method and composition for metal finishing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US20140308758A1 (en) | 2013-04-10 | 2014-10-16 | Applied Materials, Inc. | Patterning magnetic memory |
US8748322B1 (en) | 2013-04-16 | 2014-06-10 | Applied Materials, Inc. | Silicon oxide recess etch |
US20140311581A1 (en) | 2013-04-19 | 2014-10-23 | Applied Materials, Inc. | Pressure controller configuration for semiconductor processing applications |
US9449797B2 (en) | 2013-05-07 | 2016-09-20 | Lam Research Corporation | Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface |
US9720022B2 (en) | 2015-05-19 | 2017-08-01 | Lam Research Corporation | Systems and methods for providing characteristics of an impedance matching model for use with matching networks |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US20140342569A1 (en) | 2013-05-16 | 2014-11-20 | Applied Materials, Inc. | Near surface etch selectivity enhancement |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
JP6002087B2 (ja) | 2013-05-29 | 2016-10-05 | 東京エレクトロン株式会社 | グラフェンの生成方法 |
US20140357083A1 (en) | 2013-05-31 | 2014-12-04 | Applied Materials, Inc. | Directed block copolymer self-assembly patterns for advanced photolithography applications |
JP6180799B2 (ja) | 2013-06-06 | 2017-08-16 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
KR102038647B1 (ko) | 2013-06-21 | 2019-10-30 | 주식회사 원익아이피에스 | 기판 지지 장치 및 이를 구비하는 기판 처리 장치 |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US8871651B1 (en) | 2013-07-12 | 2014-10-28 | Globalfoundries Inc. | Mask formation processing |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US8932947B1 (en) | 2013-07-23 | 2015-01-13 | Applied Materials, Inc. | Methods for forming a round bottom silicon trench recess for semiconductor applications |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
KR102154112B1 (ko) | 2013-08-01 | 2020-09-09 | 삼성전자주식회사 | 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법 |
US20150050812A1 (en) | 2013-08-13 | 2015-02-19 | Globalfoundries Inc. | Wafer-less auto clean of processing chamber |
US9543163B2 (en) | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
WO2015031163A1 (en) | 2013-08-27 | 2015-03-05 | Tokyo Electron Limited | Method for laterally trimming a hardmask |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP5837012B2 (ja) | 2013-09-12 | 2015-12-24 | ラピスセミコンダクタ株式会社 | モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム |
US9230980B2 (en) | 2013-09-15 | 2016-01-05 | Sandisk Technologies Inc. | Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9051655B2 (en) | 2013-09-16 | 2015-06-09 | Applied Materials, Inc. | Boron ionization for aluminum oxide etch enhancement |
US8980758B1 (en) | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
TWI720422B (zh) | 2013-09-27 | 2021-03-01 | 美商應用材料股份有限公司 | 實現無縫鈷間隙填充之方法 |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9214377B2 (en) | 2013-10-31 | 2015-12-15 | Applied Materials, Inc. | Methods for silicon recess structures in a substrate by utilizing a doping layer |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
SG11201600440VA (en) | 2013-11-06 | 2016-02-26 | Mattson Tech Inc | Novel mask removal process strategy for vertical nand device |
JP2017504955A (ja) | 2013-11-06 | 2017-02-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Dcバイアス変調による、粒子発生抑制装置 |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US8945414B1 (en) | 2013-11-13 | 2015-02-03 | Intermolecular, Inc. | Oxide removal by remote plasma treatment with fluorine and oxygen radicals |
US9330937B2 (en) | 2013-11-13 | 2016-05-03 | Intermolecular, Inc. | Etching of semiconductor structures that include titanium-based layers |
US9514953B2 (en) | 2013-11-20 | 2016-12-06 | Applied Materials, Inc. | Methods for barrier layer removal |
FR3013503B1 (fr) | 2013-11-20 | 2015-12-18 | Commissariat Energie Atomique | Procede de gravure selective d’un masque dispose sur un substrat silicie |
KR102237700B1 (ko) | 2013-11-27 | 2021-04-08 | 삼성전자주식회사 | 수직형 메모리 장치 및 그 제조 방법 |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US20150170926A1 (en) | 2013-12-16 | 2015-06-18 | David J. Michalak | Dielectric layers having ordered elongate pores |
US9312168B2 (en) * | 2013-12-16 | 2016-04-12 | Applied Materials, Inc. | Air gap structure integration using a processing system |
US20150170879A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
KR102102787B1 (ko) | 2013-12-17 | 2020-04-22 | 삼성전자주식회사 | 기판 처리 장치 및 블록커 플레이트 어셈블리 |
US20150171008A1 (en) | 2013-12-17 | 2015-06-18 | GLOBAL FOUNDRIES Singapore Ptd. Ltd. | Integrated circuits with dummy contacts and methods for producing such integrated circuits |
US20150170943A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9622375B2 (en) | 2013-12-31 | 2017-04-11 | Applied Materials, Inc. | Electrostatic chuck with external flow adjustments for improved temperature distribution |
US9111907B2 (en) | 2014-01-02 | 2015-08-18 | Globalfoundries Inc. | Silicide protection during contact metallization and resulting semiconductor structures |
KR102128465B1 (ko) | 2014-01-03 | 2020-07-09 | 삼성전자주식회사 | 수직 구조의 비휘발성 메모리 소자 |
US9945033B2 (en) | 2014-01-06 | 2018-04-17 | Applied Materials, Inc. | High efficiency inductively coupled plasma source with customized RF shield for plasma profile control |
US20150200042A1 (en) | 2014-01-10 | 2015-07-16 | Applied Materials, Inc. | Recessing ultra-low k dielectric using remote plasma source |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9299577B2 (en) | 2014-01-24 | 2016-03-29 | Applied Materials, Inc. | Methods for etching a dielectric barrier layer in a dual damascene structure |
US20150214066A1 (en) | 2014-01-27 | 2015-07-30 | Applied Materials, Inc. | Method for material removal in dry etch reactor |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9502218B2 (en) | 2014-01-31 | 2016-11-22 | Applied Materials, Inc. | RPS assisted RF plasma source for semiconductor processing |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9305749B2 (en) | 2014-02-10 | 2016-04-05 | Applied Materials, Inc. | Methods of directing magnetic fields in a plasma source, and associated systems |
JP6059165B2 (ja) | 2014-02-19 | 2017-01-11 | 東京エレクトロン株式会社 | エッチング方法、及びプラズマ処理装置 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9209031B2 (en) | 2014-03-07 | 2015-12-08 | Sandisk Technologies Inc. | Metal replacement process for low resistance source contacts in 3D NAND |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9190290B2 (en) | 2014-03-31 | 2015-11-17 | Applied Materials, Inc. | Halogen-free gas-phase silicon etch |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
KR102175763B1 (ko) | 2014-04-09 | 2020-11-09 | 삼성전자주식회사 | 반도체 메모리 장치 및 이의 제조 방법 |
US9177853B1 (en) | 2014-05-14 | 2015-11-03 | Sandisk Technologies Inc. | Barrier layer stack for bit line air gap formation |
CN104392963B (zh) | 2014-05-16 | 2017-07-11 | 中国科学院微电子研究所 | 三维半导体器件制造方法 |
US9520485B2 (en) | 2014-05-21 | 2016-12-13 | Macronix International Co., Ltd. | 3D independent double gate flash memory on bounded conductor layer |
US9881788B2 (en) | 2014-05-22 | 2018-01-30 | Lam Research Corporation | Back side deposition apparatus and applications |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US20150345029A1 (en) | 2014-05-28 | 2015-12-03 | Applied Materials, Inc. | Metal removal |
US10269541B2 (en) | 2014-06-02 | 2019-04-23 | Applied Materials, Inc. | Workpiece processing chamber having a thermal controlled microwave window |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
US9666449B2 (en) | 2014-06-17 | 2017-05-30 | Micron Technology, Inc. | Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation |
US20150371865A1 (en) | 2014-06-19 | 2015-12-24 | Applied Materials, Inc. | High selectivity gas phase silicon nitride removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US20150371861A1 (en) | 2014-06-23 | 2015-12-24 | Applied Materials, Inc. | Protective silicon oxide patterning |
US9502518B2 (en) | 2014-06-23 | 2016-11-22 | Stmicroelectronics, Inc. | Multi-channel gate-all-around FET |
KR102248205B1 (ko) | 2014-06-25 | 2021-05-04 | 삼성전자주식회사 | 수직 채널 및 에어 갭을 갖는 반도체 소자 |
US9768270B2 (en) | 2014-06-25 | 2017-09-19 | Sandisk Technologies Llc | Method of selectively depositing floating gate material in a memory device |
US10487399B2 (en) * | 2014-06-26 | 2019-11-26 | Applied Materials, Inc. | Atomic layer deposition chamber with counter-flow multi inject |
US10196741B2 (en) | 2014-06-27 | 2019-02-05 | Applied Materials, Inc. | Wafer placement and gap control optimization through in situ feedback |
KR20160002543A (ko) | 2014-06-30 | 2016-01-08 | 세메스 주식회사 | 기판 처리 장치 |
US9911579B2 (en) | 2014-07-03 | 2018-03-06 | Applied Materials, Inc. | Showerhead having a detachable high resistivity gas distribution plate |
US20160005833A1 (en) | 2014-07-03 | 2016-01-07 | Applied Materials, Inc. | Feol low-k spacers |
US10192717B2 (en) | 2014-07-21 | 2019-01-29 | Applied Materials, Inc. | Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US20160043099A1 (en) | 2014-08-05 | 2016-02-11 | Applied Materials, Inc. | Wordline 3d flash memory air gap |
US20160042968A1 (en) | 2014-08-05 | 2016-02-11 | Applied Materials, Inc. | Integrated oxide and si etch for 3d cell channel mobility improvements |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9460898B2 (en) * | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
JP6315809B2 (ja) | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US9558928B2 (en) | 2014-08-29 | 2017-01-31 | Lam Research Corporation | Contact clean in high-aspect ratio structures |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9735009B2 (en) | 2014-09-15 | 2017-08-15 | Applied Materials, Inc. | Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel |
JP5764246B1 (ja) | 2014-09-24 | 2015-08-19 | 株式会社日立国際電気 | 基板処理装置、ガス導入シャフト及びガス供給プレート |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US10083818B2 (en) | 2014-09-24 | 2018-09-25 | Applied Materials, Inc. | Auto frequency tuned remote plasma source |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
CN105448737A (zh) | 2014-09-30 | 2016-03-30 | 联华电子股份有限公司 | 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管 |
US20160099173A1 (en) | 2014-10-03 | 2016-04-07 | Applied Materials, Inc. | Methods for etching a barrier layer for an interconnection structure for semiconductor applications |
US10407771B2 (en) | 2014-10-06 | 2019-09-10 | Applied Materials, Inc. | Atomic layer deposition chamber with thermal lid |
US9240315B1 (en) | 2014-10-10 | 2016-01-19 | Applied Materials, Inc. | CVD oxide surface pre-conditioning by inductively coupled O2 plasma |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) * | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
CN107148661B (zh) * | 2014-10-17 | 2019-10-18 | 朗姆研究公司 | 包括用于可调气流控制的气体分流器的气体供应输送装置 |
US10008404B2 (en) | 2014-10-17 | 2018-06-26 | Applied Materials, Inc. | Electrostatic chuck assembly for high temperature processes |
US9652567B2 (en) | 2014-10-20 | 2017-05-16 | Lam Research Corporation | System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path |
US9825051B2 (en) | 2014-10-22 | 2017-11-21 | Sandisk Technologies Llc | Three dimensional NAND device containing fluorine doped layer and method of making thereof |
US9508529B2 (en) | 2014-10-23 | 2016-11-29 | Lam Research Corporation | System, method and apparatus for RF power compensation in a plasma processing system |
US9202708B1 (en) | 2014-10-24 | 2015-12-01 | Applied Materials, Inc. | Doped silicon oxide etch |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9368369B2 (en) | 2014-11-06 | 2016-06-14 | Applied Materials, Inc. | Methods for forming a self-aligned contact via selective lateral etch |
US9419135B2 (en) | 2014-11-13 | 2016-08-16 | Sandisk Technologies Llc | Three dimensional NAND device having reduced wafer bowing and method of making thereof |
US9466494B2 (en) | 2014-11-18 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective growth for high-aspect ration metal fill |
US9799509B2 (en) | 2014-11-26 | 2017-10-24 | Asm Ip Holding B.V. | Cyclic aluminum oxynitride deposition |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9951421B2 (en) | 2014-12-10 | 2018-04-24 | Lam Research Corporation | Inlet for effective mixing and purging |
US9536749B2 (en) | 2014-12-15 | 2017-01-03 | Lam Research Corporation | Ion energy control by RF pulse shape |
US20160181116A1 (en) | 2014-12-18 | 2016-06-23 | Lam Research Corporation | Selective nitride etch |
US9396961B2 (en) | 2014-12-22 | 2016-07-19 | Lam Research Corporation | Integrated etch/clean for dielectric etch applications |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US10134750B2 (en) | 2014-12-30 | 2018-11-20 | Toshiba Memory Corporation | Stacked type semiconductor memory device and method for manufacturing the same |
US9431268B2 (en) | 2015-01-05 | 2016-08-30 | Lam Research Corporation | Isotropic atomic layer etch for silicon and germanium oxides |
US9633867B2 (en) | 2015-01-05 | 2017-04-25 | Lam Research Corporation | Method and apparatus for anisotropic tungsten etching |
US9425041B2 (en) | 2015-01-06 | 2016-08-23 | Lam Research Corporation | Isotropic atomic layer etch for silicon oxides using no activation |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US9779919B2 (en) | 2015-01-09 | 2017-10-03 | Hitachi High-Technologies Corporation | Plasma processing apparatus and plasma processing method |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
JP2016134530A (ja) | 2015-01-20 | 2016-07-25 | 株式会社東芝 | 加工制御装置、加工制御プログラムおよび加工制御方法 |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US10354860B2 (en) | 2015-01-29 | 2019-07-16 | Versum Materials Us, Llc | Method and precursors for manufacturing 3D devices |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160237570A1 (en) | 2015-02-13 | 2016-08-18 | Applied Materials, Inc. | Gas delivery apparatus for process equipment |
JP6396822B2 (ja) | 2015-02-16 | 2018-09-26 | 東京エレクトロン株式会社 | プラズマ処理装置のサセプタの電位を制御する方法 |
US9275834B1 (en) | 2015-02-20 | 2016-03-01 | Applied Materials, Inc. | Selective titanium nitride etch |
US9343358B1 (en) | 2015-02-23 | 2016-05-17 | Sandisk Technologies Inc. | Three-dimensional memory device with stress compensation layer within a word line stack |
CN107548520B (zh) | 2015-02-24 | 2021-05-25 | 东芝存储器株式会社 | 半导体存储装置及其制造方法 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
TWI670749B (zh) | 2015-03-13 | 2019-09-01 | 美商應用材料股份有限公司 | 耦接至工藝腔室的電漿源 |
US9478433B1 (en) | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
KR102452593B1 (ko) | 2015-04-15 | 2022-10-11 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US20160307772A1 (en) | 2015-04-15 | 2016-10-20 | Applied Materials, Inc. | Spacer formation process with flat top profile |
US10049862B2 (en) | 2015-04-17 | 2018-08-14 | Lam Research Corporation | Chamber with vertical support stem for symmetric conductance and RF delivery |
US9576815B2 (en) | 2015-04-17 | 2017-02-21 | Applied Materials, Inc. | Gas-phase silicon nitride selective etch |
US9576788B2 (en) | 2015-04-24 | 2017-02-21 | Applied Materials, Inc. | Cleaning high aspect ratio vias |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10253412B2 (en) | 2015-05-22 | 2019-04-09 | Lam Research Corporation | Deposition apparatus including edge plenum showerhead assembly |
JP6295439B2 (ja) | 2015-06-02 | 2018-03-20 | パナソニックIpマネジメント株式会社 | プラズマ処理装置及び方法、電子デバイスの製造方法 |
CN107533978B (zh) | 2015-06-04 | 2021-01-08 | 东芝存储器株式会社 | 半导体存储装置及其制造方法 |
US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
JP2017017277A (ja) | 2015-07-06 | 2017-01-19 | 株式会社Screenホールディングス | 熱処理装置および熱処理方法 |
US9659791B2 (en) | 2015-07-16 | 2017-05-23 | Applied Materials, Inc. | Metal removal with reduced surface roughness |
US9564341B1 (en) | 2015-08-04 | 2017-02-07 | Applied Materials, Inc. | Gas-phase silicon oxide selective etch |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9659788B2 (en) | 2015-08-31 | 2017-05-23 | American Air Liquide, Inc. | Nitrogen-containing compounds for etching semiconductor structures |
US10147736B2 (en) | 2015-09-03 | 2018-12-04 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing same |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US9564338B1 (en) | 2015-09-08 | 2017-02-07 | Applied Materials, Inc. | Silicon-selective removal |
US9412752B1 (en) | 2015-09-22 | 2016-08-09 | Macronix International Co., Ltd. | Reference line and bit line structure for 3D memory |
US9460959B1 (en) | 2015-10-02 | 2016-10-04 | Applied Materials, Inc. | Methods for pre-cleaning conductive interconnect structures |
US9853101B2 (en) | 2015-10-07 | 2017-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained nanowire CMOS device and method of forming |
JP6868616B2 (ja) | 2015-10-08 | 2021-05-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 背面でのプラズマ点火が低減されたシャワーヘッド |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US20170133202A1 (en) | 2015-11-09 | 2017-05-11 | Lam Research Corporation | Computer addressable plasma density modification for etch and deposition processes |
US10043636B2 (en) | 2015-12-10 | 2018-08-07 | Lam Research Corporation | Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal |
US10861693B2 (en) | 2015-12-18 | 2020-12-08 | Applied Materials, Inc. | Cleaning method |
US9831097B2 (en) | 2015-12-18 | 2017-11-28 | Applied Materials, Inc. | Methods for selective etching of a silicon material using HF gas without nitrogen etchants |
US20170178899A1 (en) | 2015-12-18 | 2017-06-22 | Lam Research Corporation | Directional deposition on patterned structures |
KR20180097763A (ko) | 2016-01-20 | 2018-08-31 | 어플라이드 머티어리얼스, 인코포레이티드 | 측방향 하드마스크 리세스 감소를 위한 하이브리드 탄소 하드마스크 |
US10074730B2 (en) | 2016-01-28 | 2018-09-11 | International Business Machines Corporation | Forming stacked nanowire semiconductor device |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
TWI677593B (zh) | 2016-04-01 | 2019-11-21 | 美商應用材料股份有限公司 | 用於提供均勻流動的氣體的設備及方法 |
KR102649369B1 (ko) | 2016-04-11 | 2024-03-21 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
WO2017184223A1 (en) | 2016-04-22 | 2017-10-26 | Applied Materials, Inc. | Substrate support pedestal having plasma confinement features |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) * | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
GB201609119D0 (en) * | 2016-05-24 | 2016-07-06 | Spts Technologies Ltd | A method of cleaning a plasma processing module |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US9812462B1 (en) | 2016-06-07 | 2017-11-07 | Sandisk Technologies Llc | Memory hole size variation in a 3D stacked memory |
JP6792786B2 (ja) | 2016-06-20 | 2020-12-02 | 東京エレクトロン株式会社 | ガス混合装置および基板処理装置 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9978768B2 (en) | 2016-06-29 | 2018-05-22 | Sandisk Technologies Llc | Method of making three-dimensional semiconductor memory device having laterally undulating memory films |
US20180025900A1 (en) * | 2016-07-22 | 2018-01-25 | Applied Materials, Inc. | Alkali metal and alkali earth metal reduction |
US10083961B2 (en) | 2016-09-07 | 2018-09-25 | International Business Machines Corporation | Gate cut with integrated etch stop layer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
JP2018046185A (ja) | 2016-09-15 | 2018-03-22 | 東京エレクトロン株式会社 | 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法 |
WO2018052477A2 (en) | 2016-09-15 | 2018-03-22 | Applied Materials, Inc. | An integrated method for wafer outgassing reduction |
US20180080124A1 (en) | 2016-09-19 | 2018-03-22 | Applied Materials, Inc. | Methods and systems for thermal ale and ald |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) * | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9960068B1 (en) | 2016-12-02 | 2018-05-01 | Lam Research Corporation | Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing |
JP6731549B2 (ja) | 2016-10-28 | 2020-07-29 | シグニファイ ホールディング ビー ヴィSignify Holding B.V. | 照明のモニタリング |
KR102633031B1 (ko) | 2016-11-04 | 2024-02-05 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10164042B2 (en) | 2016-11-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10141328B2 (en) | 2016-12-15 | 2018-11-27 | Macronix International Co., Ltd. | Three dimensional memory device and method for fabricating the same |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10692880B2 (en) | 2016-12-27 | 2020-06-23 | Applied Materials, Inc. | 3D NAND high aspect ratio structure etch |
US10123065B2 (en) | 2016-12-30 | 2018-11-06 | Mora Global, Inc. | Digital video file generation |
US9960045B1 (en) | 2017-02-02 | 2018-05-01 | Applied Materials, Inc. | Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US9779956B1 (en) | 2017-02-06 | 2017-10-03 | Lam Research Corporation | Hydrogen activated atomic layer etching |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10208383B2 (en) | 2017-02-09 | 2019-02-19 | The Regents Of The University Of Colorado, A Body Corporate | Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination |
US20180261686A1 (en) | 2017-03-13 | 2018-09-13 | Applied Materials, Inc. | Transistor sidewall formation process |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP7176860B6 (ja) * | 2017-05-17 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 前駆体の流れを改善する半導体処理チャンバ |
US11276559B2 (en) * | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
JP6906377B2 (ja) | 2017-06-23 | 2021-07-21 | 東京エレクトロン株式会社 | 排気プレート及びプラズマ処理装置 |
US10727080B2 (en) * | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) * | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US11049719B2 (en) | 2017-08-30 | 2021-06-29 | Applied Materials, Inc. | Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal |
JP6883495B2 (ja) | 2017-09-04 | 2021-06-09 | 東京エレクトロン株式会社 | エッチング方法 |
US10964512B2 (en) * | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) * | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US20190323127A1 (en) * | 2018-04-19 | 2019-10-24 | Applied Materials, Inc. | Texturing and plating nickel on aluminum process chamber components |
US11721527B2 (en) * | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US11710630B2 (en) * | 2020-04-23 | 2023-07-25 | Applied Materials, Inc. | Plasma block with integrated cooling |
US20230005765A1 (en) * | 2021-07-02 | 2023-01-05 | Applied Materials, Inc. | Semiconductor processing chamber adapter |
-
2019
- 2019-01-07 US US16/241,537 patent/US11721527B2/en active Active
- 2019-12-30 WO PCT/US2019/068930 patent/WO2020146162A1/en active Application Filing
- 2019-12-30 KR KR1020217024644A patent/KR102600385B1/ko active IP Right Grant
- 2019-12-30 JP JP2021538971A patent/JP7295246B2/ja active Active
- 2019-12-30 CN CN201980088280.7A patent/CN113287185B/zh active Active
-
2020
- 2020-01-07 TW TW109100445A patent/TWI745826B/zh active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6387207B1 (en) * | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
CN104981895A (zh) * | 2013-02-08 | 2015-10-14 | 应用材料公司 | 具有多个等离子体配置的半导体处理系统 |
CN105603390A (zh) * | 2014-09-26 | 2016-05-25 | 朗姆研究公司 | 具有主动冷却型格栅的气体分配装置 |
JP2016219803A (ja) * | 2015-05-22 | 2016-12-22 | ラム リサーチ コーポレーションLam Research Corporation | 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド |
Also Published As
Publication number | Publication date |
---|---|
US20200215566A1 (en) | 2020-07-09 |
JP7295246B2 (ja) | 2023-06-20 |
KR20210100211A (ko) | 2021-08-13 |
KR102600385B1 (ko) | 2023-11-10 |
CN113287185A (zh) | 2021-08-20 |
TWI745826B (zh) | 2021-11-11 |
JP2022516313A (ja) | 2022-02-25 |
US11721527B2 (en) | 2023-08-08 |
WO2020146162A1 (en) | 2020-07-16 |
TW202036716A (zh) | 2020-10-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN113287185B (zh) | 处理腔室混合系统 | |
US11515179B2 (en) | Semiconductor processing chamber multistage mixing apparatus | |
US10964512B2 (en) | Semiconductor processing chamber multistage mixing apparatus and methods | |
CN108962714B (zh) | 用于经改善的前驱物流的半导体处理腔室 | |
US11361939B2 (en) | Semiconductor processing chamber for multiple precursor flow | |
KR102500217B1 (ko) | 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장 | |
CN212277152U (zh) | 半导体处理系统部件 | |
KR102255071B1 (ko) | 반도체 처리 챔버 다중스테이지 혼합 장치 | |
CN111799143B (zh) | 半导体处理腔室多阶段混合设备 | |
JP7071445B2 (ja) | 半導体処理チャンバマルチステージミキシング装置 | |
KR102223806B1 (ko) | 반도체 처리 챔버 다중스테이지 혼합 장치 | |
JP6736720B1 (ja) | 半導体処理チャンバマルチステージミキシング装置 | |
TWM594798U (zh) | 半導體處理系統 | |
TWI768838B (zh) | 半導體處理系統部件 | |
TWI728337B (zh) | 半導體處理系統與將前驅物輸送通過半導體處理系統的方法 | |
KR102712309B1 (ko) | 다수의 프리커서 유동을 위한 반도체 처리 챔버 | |
TWM599997U (zh) | 半導體處理系統部件 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |