JP3638778B2 - 半導体集積回路装置およびその製造方法 - Google Patents

半導体集積回路装置およびその製造方法 Download PDF

Info

Publication number
JP3638778B2
JP3638778B2 JP03338898A JP3338898A JP3638778B2 JP 3638778 B2 JP3638778 B2 JP 3638778B2 JP 03338898 A JP03338898 A JP 03338898A JP 3338898 A JP3338898 A JP 3338898A JP 3638778 B2 JP3638778 B2 JP 3638778B2
Authority
JP
Japan
Prior art keywords
region
insulating film
dummy
integrated circuit
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP03338898A
Other languages
English (en)
Other versions
JPH10335333A (ja
Inventor
靖 河渕
幸一 長沢
昌弘 茂庭
洋平 山田
敏文 竹田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26372073&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3638778(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP03338898A priority Critical patent/JP3638778B2/ja
Priority to TW087103473A priority patent/TW415046B/zh
Priority to KR1019980010428A priority patent/KR100561983B1/ko
Priority to US09/050,416 priority patent/US6261883B1/en
Publication of JPH10335333A publication Critical patent/JPH10335333A/ja
Priority to US09/846,260 priority patent/US6433438B2/en
Priority to US10/075,246 priority patent/US6664642B2/en
Priority to US10/619,039 priority patent/US7274074B2/en
Priority to US10/926,142 priority patent/US7199432B2/en
Priority to US10/951,939 priority patent/US7163870B2/en
Priority to US10/956,159 priority patent/US7250682B2/en
Priority to US11/101,446 priority patent/US7187039B2/en
Publication of JP3638778B2 publication Critical patent/JP3638778B2/ja
Application granted granted Critical
Priority to KR1020050102832A priority patent/KR100587250B1/ko
Priority to KR1020050102833A priority patent/KR100605427B1/ko
Priority to US11/802,450 priority patent/US7474003B2/en
Priority to US11/878,666 priority patent/US7678684B2/en
Priority to US11/878,843 priority patent/US7554202B2/en
Priority to US11/837,573 priority patent/US7626267B2/en
Priority to US12/649,375 priority patent/US8022550B2/en
Priority to US13/196,967 priority patent/US8420527B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01058Cerium [Ce]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路装置およびその製造技術に関し、特に、その製造工程にCMP(Chemical Mechanical Polishing)法を用いた平坦化工程を含む半導体集積回路装置に適用して有効な技術に関するものである。
【0002】
【従来の技術】
半導体集積回路装置の最小加工寸法の減少に伴ってステッパの高性能化が必要となり、レンズ開口径の増大と露光波長の短波長化が進んでいる。その結果、露光光学系の焦点深度が浅くなり、被加工表面の僅かな凹凸も問題となる。この結果、被加工表面の平坦化はデバイスプロセス上重要な技術課題となっている。しかも上記の平坦化は、段差上に形成される配線の断線を防止するために必要とされる段差形状の緩和を目的とした平坦化ではなく、グローバルな平坦化つまり完全平坦化が要求されるものである。
【0003】
表面平坦化の技術としては、SOG(Spin On Glass)膜あるいは低融点ガラスの塗布および溶融による塗布法、ガラスフローによる熱処理法、CVD(Chemical Vapor Deposition)の表面反応メカニズムを適用して自己平坦化させる方法等が知られているが、表面の状態や適用する熱処理等の条件あるいはそれらの加工上の制約から、完全な平坦化すなわちグローバル平坦化を行うことができない場合が多い。そこで、完全平坦化が実用的に可能な技術としてエッチバック法およびCMP法が有望視されている。
【0004】
エッチバック法は、フォトレジストを犠牲膜にしたもの、SOG膜を用いたもの、自己平坦化CVD膜を用いたもの等が知られているが、プロセスの複雑さ、コスト、パーティクルによる歩留まり低下が問題となり、一方、CMP法は前記エッチバック法に生ずる問題は比較的少なく、エッチバック法との比較において総合的に優れたプロセスであるとの認識が一般に形成されつつある。つまり、完全平坦化を実現しうる実用的な技術としては、CMP法が最も有望であると考えられる。
【0005】
なお、CMP技術を詳しく記載している例としては、たとえば、特開平7−74175号公報、特開平6−196551号公報、平成8年5月1日、工業調査会発行、「電子材料」1996年5月号、p22〜p27がある。
【0006】
【発明が解決しようとする課題】
しかし、CMP法を適用したデバイス表面の完全平坦化技術を検討する過程において、公知の技術ではないが、本発明者は以下のような問題点があることを認識した。
【0007】
図29(a)〜(d)は、本発明者が検討したCMP法による平坦化技術を説明するための断面図である。配線を絶縁膜により被覆し、その絶縁膜を平坦化する方法としては、まず、層間絶縁膜101上に配線102を形成し(図29(a))、次に、TEOS(Tetraethoxysilane :(C2 5 O)4 Si)を用いたプラズマCVD法等により第1絶縁膜103およびSOG等の第2絶縁膜104を堆積して凹部を埋め込み(図29(b))、TEOSプラズマCVD法等により第3絶縁膜105を堆積し(図29(c))、さらに、第3絶縁膜105をCMP法により研磨して平坦化することができる(図29(d))。
【0008】
この際、配線102のパターンは、機能設計および論理設計に基づくレイアウト設計において、通常のレイアウトルールにしたがっているか否かに主眼が置かれ、特にCMP工程での研磨特性が考慮されることはない。
【0009】
そのため、配線パターンは、場所により疎密が発生し、上記の検討図面(図29(d))においては、A部において配線102が密に形成され、その他の領域では、配線102は疎に形成されることとなる。
【0010】
このように、配線102に疎密のある状態でCMP研磨を行うと、第3絶縁膜105の表面を完全に平坦化することができず、配線102が密に形成されたA部領域で0.2〜0.7μmの標高差が生じ、表面に大きなうねりが残ってしまう。半導体基板内に素子分離溝を埋め込む場合においても同様に分離溝パターンの粗密に応じて分離溝の削られすぎによるうねりが起こってしまう。この対策をするためには特開平9−107028号公報に記載されているように分離溝のない粗なパターン部分においてダミーの活性領域をつくるようにして分離溝パターンを増やす方法がある。
特開平11−16999公報(特願平9−170805号)にはCMPにおける素子分離絶縁膜の埋め込みにおいてディッシングが生じないように活性領域のパターンが疎な領域にダミー領域を形成する方法の開示があり、[0068]および[0069]にはダミー領域をスクライブ領域にも形成することにより平坦化を向上させる記載があり、また[0072]にはダミー領域はゲート配線の下に配置しないようにして寄生容量の増加を防止する記載がある。特開平9−311432号公報にはCMPを行う場合の平坦性の向上のためではないが、フォトレジストをマスクにエッチングを行ってパターンを形成する場合において実パターンが形成されない空き領域にダミーパターンを形成してパターンの疎密の差をなくす方法の開示があり、[0013]および[0016]にはスクライブ領域にもダミーパターンを形成する開示がある。
【0011】
このようなうねりの存在する表面では、その後のフォトリソグラフィ工程あるいはエッチング工程でプロセスマージンが低下し、微細な加工および高集積化の対応が困難となり、半導体集積回路装置の信頼性の向上および歩留まりの改善を図ることができない。
【0012】
また、うねりのある状態でのリソグラフィおよびエッチングを良好に行うためにプロセス条件の最適化を行う必要があり、うねりを最小限に抑制するためのCMP工程の最適化も必要となる。このような最適化に要する期間により量産プロセスの立ち上げ時期が遅れるという問題もある。
【0013】
さらに、配線102が疎な領域では、第2絶縁膜104によって配線102間が十分に埋め込まれず、そのような凹部を完全に埋め込むために第3絶縁膜105の膜厚を厚くせざるを得ない。その結果、第3絶縁膜105の堆積時間が長くなる等の工程負荷が大きくなるのみならず、第3絶縁膜105の研磨量も多くなり、CMP工程での工程負荷も大きくなるという問題が生じる。
【0014】
本発明の目的は、CMP法による研磨後の部材表面を完全に平坦化することにある。
【0015】
また、本発明の目的は、フォトリソグラフィ工程およびエッチング工程等でのプロセスマージンを向上し、微細な加工および高集積化に対応することができる技術を提供するとともに、半導体集積回路装置の信頼性および歩留まりを向上することにある。
【0016】
また、本発明の目的は、プロセス立ち上げを容易にすることにある。
【0017】
また、本発明の目的は、CMP法により研磨される部材の研磨量を低減し、工程負荷の低減および工程時間の短縮によるコスト競争力の向上を図ることにある。
【0018】
また、本発明の目的は、CMP法により完全平坦化が可能な部材パターンの設計方法を提供することにある。
【0019】
また、本発明の目的は、完全平坦化を実現するための対策により生ずる配線等の寄生容量の増加を抑制し、半導体集積回路装置の性能を確保することにある。
【0020】
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
【0021】
【課題を解決するための手段】
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
【0022】
(1).本発明の半導体集積回路装置は、半導体基板の主面上または層間絶縁膜上に形成された半導体集積回路素子を構成する配線と、その配線を覆い、CMP法により平坦化された被膜を含む絶縁膜とを有する半導体集積回路装置であって、その配線の形成された配線層において、配線間の距離が離れて形成されている空隙領域に、配線と同一の材料からなり素子として機能しないダミー配線が形成されているものである。
【0023】
また、本発明の半導体集積回路装置は、半導体基板の主面に形成された浅溝と、浅溝にCMP法により平坦化された被膜を含む絶縁膜が埋め込まれた素子分離領域と、素子分離領域により分離された半導体集積回路素子の活性領域とを含む半導体集積回路装置であって、活性領域間の距離が離れて形成されている半導体基板の空隙領域に、半導体集積回路素子として機能しない半導体基板の主面のダミー領域が形成されているものである。
【0024】
このような半導体集積回路装置によれば、空隙領域にダミー配線あるいはダミー領域が形成されているため、疎の部分が発生しないように配線を覆う絶縁膜の表面あるいは半導体基板の主面を完全に平坦化することができる。
【0025】
すなわち、ダミー配線あるいはダミー領域(ダミー部材)が形成されず配線あるいは活性領域(素子構成部材)のみが形成されている場合には、素子構成部材間の距離が大きく離れた空隙領域が生じ、このような空隙領域が存在したままで絶縁膜を堆積した場合、空隙領域周辺での絶縁膜表面の形状は、素子構成部材の形状を忠実に反映した凹凸形状となる。このような凹凸形状は図29に示したように完全平坦化を阻害する要因となる。
【0026】
そこで、本発明では、このような空隙領域にダミー部材を配置し、絶縁膜の凹凸形状を緩和して、CMP研磨後の絶縁膜の表面を完全に平坦化するものである。
【0027】
このように絶縁膜の表面が完全に平坦化されるため、その後のフォトリソグラフィ工程あるいはエッチング工程においてプロセスマージンを増加することができ、その結果、半導体集積回路装置の製造歩留まりを向上し、プロセス立ち上げ時間を短縮することが可能となる。
【0028】
なお、配線としては、層間絶縁膜上に形成された金属配線、MISFET(Metal-Insulator-Semiconductor Field Effect Transistor )のゲート配線、DRAM(Dynamic Random Access Memory)のビット線を例示することができる。金属配線およびゲート配線については、DRAM等のメモリ素子のものに限られず、ロジック素子のものについても含まれることはいうまでもない。特に、ロジック素子の配線が一般に3層以上の多層配線であることから、このような配線に本発明を適用すれば顕著な効果が得られる。
【0029】
(2).また、本発明の半導体集積回路装置は、前記した半導体集積回路装置において、ダミー配線および配線の部材相互間の間隔、あるいは、ダミー領域および活性領域の部材相互間の間隔を、リソグラフィの分解能から要求される最小スペース幅以上とし、かつ、配線の高さあるいは浅溝の深さの2倍以下とする条件を満足する高密度部材形成領域を含み、その面積はチップ面積の95%以上とするものである。
【0030】
このようにダミー配線および配線、あるいは、ダミー領域および活性領域の部材間の間隔を配線の高さあるいは浅溝の深さの2倍以下とすることにより、それら部材上に形成される絶縁膜のCMP研磨速度に部材パターンのパターン依存性が生じなくなり、CMP研磨速度が均一となって絶縁膜の表面平坦性をほぼ完全なものとすることができる。
【0031】
図30は、本発明者の実験検討により得られた知見を示すデータであり、パターン間距離に対するCMP研磨量のばらつきの値を示したグラフである。横軸は、パターン高さで規格化されたパターン間距離を示し、縦軸は基準パターン(ベタパターン)に対するパターン上絶縁膜のCMP研磨量を示す。図30より明らかな様に、パターン高さの2倍程度までパターンが離れても絶縁膜のCMP研磨量は変化しない。すなわち、ダミー配線および配線、あるいは、ダミー領域および活性領域の部材間の間隔を配線の高さあるいは浅溝の深さの2倍以下にすれば、これらの部材上に形成された絶縁膜のCMP速度はパターンによらず一定となり、絶縁膜を完全に平坦化することが可能となる。
【0032】
上記のように完全平坦化が実現できる領域つまり高密度部材形成領域は、できるだけ広い方がチップ全体を平坦化できるため好ましいが、チップ面積の全てが高密度部材形成領域である必要はない。すなわち、完全平坦化が実現できる高密度部材形成領域はチップ面積の95%以上であれば実用的に十分平坦な表面を得ることが可能である。
【0033】
また、これらの部材間の間隔をリソグラフィの分解能から要求される最小スペース幅以上とする条件は、部材加工を良好に行うためには最小加工寸法以上の加工スペースが必要とされるためであり、この条件を満足することにより配線あるいはダミー配線、または、活性領域あるいはダミー領域の加工を良好に行うことができる。なお、最小スペース幅としては、KrFエキシマレーザを露光源に用いた場合には0.2μmを例示することができる。
【0034】
なお、高密度部材形成領域でない残りの5%の領域では、ダミー配線および配線またはダミー領域および活性領域の部材相互間の間隔が配線の高さまたは浅溝の深さの4倍以下の距離で配置されていることが好ましい。このように、パターン間隔が配線の高さまたは浅溝の深さの4倍以下の距離で配置されている領域つまり低密度部材形成領域の絶縁膜は、図30に示すように約2倍の研磨量ばらつきを示すが、低密度部材形成領域の面積がチップ面積の5%以下であるため、その寄与は無視することができる。
【0035】
また、本発明の半導体集積回路装置は、前記半導体集積回路装置において、ダミー配線またはダミー領域を、その幅がリソグラフィの分解能から要求される最小ライン幅以上、または、その長さが最小ライン幅の2倍以上であり、また、スクライブ領域においてはダミー配線またはダミー領域の幅および長さは、ボンディングパッド間の間隔以下である。なお、最小スペース幅および最小ライン幅は、0.2μm、ボンディングパッド間の距離は、10μmとすることができる。
【0036】
このような半導体集積回路装置によれば、ダミー配線またはダミー領域の幅をリソグラフィの分解能から要求される最小ライン幅以上とすることによりダミー配線またはダミー領域の加工を確実に行うことができ、また、ダミー配線またはダミー領域の長さを最小ライン幅の2倍以上とすることにより、それら部材の解像度を確実に保持することができる。つまり、最小加工寸法の幅および長さを有するパターンでは、正確に解像できない恐れがあるが、本発明では、ダミー配線またはダミー領域の長さをその2倍とするため、その恐れを回避することができる。ダミー配線またはダミー領域の幅および長さは、30μm以下で構成されるが、20μm以下が多用され、好ましくは10μm以下で構成される。
【0037】
また、ダミー配線またはダミー領域の幅および長さを30μm以下とすることにより、配線等の寄生容量を低減し、また、ボンディングパッド間のショート不良を低減することができる。すなわち、ダミー配線またはダミー領域の幅あるいは長さが大きくなれば、それらダミー部材が大きくなり、半導体集積回路素子として機能する配線等の寄生容量を大きくすることとなり、半導体集積回路装置の高速応答性能等の性能を損なうこととなるが、それら幅あるいは長さが30μm以下であれば配線等の寄生容量を実用上問題が生じない程度に抑制することが可能である。また、ダミー配線がスクライブ領域に配置された場合には、スクライブにより発生する切り屑は導電性の塵になる可能性がある。しかし、導電性の塵ではあっても、それによりショートする可能性のある部分は、ボンディングパッドの間に限られるため、ダミー配線の幅および長さをボンディングパッド間の間隔以下としておけば、たとえ導電性の塵になったとしてもショート不良を発生することはない。これらの効果により、半導体集積回路装置の性能および歩留まりを低下することを防止することができる。
【0038】
また、本発明の半導体集積回路装置は、ダミー配線またはダミー領域がスクライブ領域にも形成されているものである。
【0039】
このような半導体集積回路装置によれば、スクライブ領域においても完全平坦性が確保でき、ウェハ全体の完全平坦性を実現することができる。
【0040】
また、本発明の半導体集積回路装置は、ダミー配線および配線からなる配線のパターン密度またはダミー領域および活性領域からなる領域のパターン密度を、半導体基板の全領域においてほぼ均一とするものである。
【0041】
このような半導体集積回路装置によっても、それらパターン上の絶縁膜の完全平坦性を実現することができる。すなわち、パターン上絶縁膜の平坦性が阻害されるのは、パターンの密度に不均一が存在するためであることは前記したとおりであり、このようなパターンの密度に不均一性が発生しないようにダミー部材を設けることによっても絶縁膜の均一性は向上する。
【0042】
(3).また、本発明の半導体集積回路装置は、前記した半導体集積回路装置であって、ダミー配線が、半導体基板上に設けられたボンディングパッド部またはフォトリソグラフィのためのマーカ部と同一の配線層においてボンディングパッド部またはマーカ部の周辺に形成されていないものである。
【0043】
このような半導体集積回路装置によれば、ワイヤボンディングする際のボンディングパッドの自動検出およびフォトリソグラフィの際のマスク合わせに用いるマーカの自動検出をスムーズに行うことが可能となる。すなわち、ボンディングパッドあるいはマーカの周辺にそれらと同一材料のダミー部材が形成されている場合には、ボンディングパッドあるいはマーカの検出の際にダミー部材がノイズとなってうまく検出されない可能性があるが、本発明ではそのような恐れがない。
【0044】
なお、ダミー配線が形成されていない領域は、ボンディングパッド部から20μmの領域またはマーカ部から60μmの領域とすることができる。
【0045】
また、本発明の半導体集積回路装置は、絶縁膜として、SOG法もしくは高密度プラズマCVD法により形成されたシリコン酸化膜、リフロー法により形成されたBPSG(Boron-doped Phospho-Silicate Glass)膜もしくはPSG(Phospho-Silicate Glass)膜またはポリシラザン膜を含むものとすることができる。
【0046】
このような半導体集積回路装置によれば、SOG法もしくは高密度プラズマCVD法により形成されたシリコン酸化膜、リフロー法により形成されたBPSG膜もしくはPSG膜またはポリシラザン膜が段差被覆性に優れまた凹部を埋め込む特性を有するものであるため、配線およびダミー配線あるいは活性領域およびダミー領域により形成される凹部を良好に埋め込み、CMP法により研磨される絶縁膜の膜厚を薄くすることができる。このようなCMP研磨膜の薄膜化は、CMP研磨膜の堆積工程の負荷低減のみならず、CMP工程の負荷低減をも図ることができ、工程時間の短縮等半導体集積回路装置のコスト競争力を向上することもできる。
【0047】
本発明の半導体集積回路装置の製造方法は、前記した半導体集積回路装置の製造方法であって、(a)半導体基板の主面または層間絶縁膜上に多結晶シリコンまたは金属を含む導電膜を堆積し、導電膜をパターニングして配線およびダミー配線を形成する工程、(b)配線およびダミー配線により形成される凹部の内面を含む配線およびダミー配線の上層に、SOG法もしくは高密度プラズマCVD法により形成されたシリコン酸化膜、リフロー法により形成されたBPSG膜もしくはPSG膜、またはポリシラザン膜からなる第1の絶縁膜を堆積し、凹部を埋め込む工程、(c)第1の絶縁膜上に第2の絶縁膜を堆積する工程、(d)第2の絶縁膜の表面をCMP法により研磨する工程、を有し、第2の絶縁膜の膜厚を第1の絶縁膜の表面の凹凸を平坦化するに十分な膜厚とするものである。
【0048】
このような半導体集積回路装置の製造方法によれば、第2の絶縁膜の堆積膜厚を薄くすることができ、第2の絶縁膜の堆積時間を短縮するのみならず、CMP研磨工程における第2の絶縁膜の研磨量も少なくすることができる。このため、工程自体は従来の工程を踏襲しつつ、工程時間の短縮を図り、工程負荷を低減して半導体集積回路装置のコスト競争力を向上することができる。
【0049】
すなわち、本発明の製造方法では、配線およびダミー配線により形成された凹部をSOG法もしくは高密度プラズマCVD法により形成されたシリコン酸化膜、リフロー法により形成されたBPSG膜もしくはPSG膜、またはポリシラザン膜からなる第1の絶縁膜により埋め込むため、第2の絶縁膜の表面に残存する凹凸は、被膜形成前の凹凸に比較して緩和されたものとなる。そのため、第2の絶縁膜の膜厚は、第1の絶縁膜の表面の凹凸を平坦化するに十分な膜厚とすること、つまり、薄い膜厚でも十分に第2の絶縁膜の表面を平坦化することが可能となる。
【0050】
(4).なお、このCMP研磨の際、硬質パッドを用いることができる。
【0051】
また、第1および第2の絶縁膜により配線およびダミー配線に起因する表面の凹凸をほぼ平坦化し、CMP法による研磨は表面の仕上げ研磨にのみ用いることもできる。この表面仕上げに用いる研磨手段はCMP法に限られず、ドライベルト研磨、ラッピング等その他の研磨手法であってもよい。
【0052】
また、本発明の半導体集積回路装置は、前記した半導体集積回路装置の製造方法であって、(a)半導体基板の主面にシリコン窒化膜を堆積し、活性領域およびダミー領域以外の領域のシリコン窒化膜および半導体基板をパターニングして浅溝を形成する工程、(b)浅溝の内面を含む半導体基板および配線およびシリコン窒化膜上に、シリコン酸化膜からなる絶縁膜を堆積し、浅溝を埋め込む工程、(c)絶縁膜をCMP法により研磨し、シリコン窒化膜を露出する工程、を含むものである。
【0053】
このような半導体集積回路装置の製造方法によれば、素子分離領域にもダミー領域を形成するため、素子分離領域のディッシングすなわち窪みを防止し、半導体基板表面を完全平坦化することができる。また、CMP研磨膜である絶縁膜と半導体基板の活性領域との間に、シリコン酸化膜よりもCMP研磨速度の遅いシリコン窒化膜を形成しているため、シリコン窒化膜がCMP研磨のストッパ層となり、さらに完全な平坦性を確保することができる。
【0054】
なお、(c)工程におけるCMP法で用いるスラリをシリコン酸化物を研磨剤とするアルカリ性スラリとし、(c)工程の後に、浅溝に形成された絶縁膜をウエットエッチングまたはドライエッチングによりエッチングして、絶縁膜の表面の高さを半導体基板の主面と同一または半導体基板の主面より低くする工程を含むことができる。スラリはシリコン酸化物を研磨剤とするアルカリ性スラリとする場合には、シリコン酸化膜とシリコン窒化膜との研磨速度の比は3ないし4対1となり、シリコン窒化膜の膜厚を厚くする必要がある。このような場合、シリコン窒化膜を除去した後の半導体基板主面すなわち活性領域の高さと素子分離領域であるシリコン酸化膜の高さとの関係は、シリコン酸化膜の方が高いという状態になる。よって、さらにウェットエッチングあるいはドライエッチングによりシリコン酸化膜をエッチングし、絶縁膜の表面の高さを半導体基板の主面と同一または半導体基板の主面より低くするものである、これにより、微細なゲート加工を行うことが可能となる。
【0055】
また、(c)工程におけるCMP法で用いるスラリを酸化セリウムを研磨剤とするスラリとすることができる。この場合のシリコン酸化膜とシリコン窒化膜との研磨速度の比は30ないし50対1となり、シリコン窒化膜の膜厚を厚くする必要はない。よって、シリコン窒化膜の膜厚は、プロセス上無視し得る程度たとえば50nm以下とすることができ、シリコン窒化膜を除去した後のシリコン酸化膜のエッチングは必要ではない。
【0056】
(5).本発明の設計方法は、半導体集積回路素子を構成する部材の加工に用いるマスクのマスクパターンを生成する工程を含む設計方法であって、マスクパターンには、部材の部材パターンと、ダミー配置禁止領域には配置されないダミーパターンとが含まれ、部材パターンおよびダミーパターンのパターン相互間のパターン間隔がリソグラフィの分解能から要求される最小スペース幅または0.2μm以上である第1の条件、パターン間隔が、チップ面積の95%以上の領域においては部材の高さの2倍以下であり、チップ面積の5%以下の領域においては部材の高さの4倍以下である第2の条件、ダミーパターンの幅が、リソグラフィの分解能から要求される最小ライン幅または0.2μm以上である第3の条件、ダミーパターンの幅が、半導体集積回路装置に設けられるボンディングパッド間の間隔または10μm以下である第4の条件、ダミーパターンの長さが、最小ライン幅の2倍または0.2μm以上である第5の条件、ダミーパターンの長さが、ボンディングパッド間の間隔または10μm以下である第6の条件、の何れの条件をも満足するようにマスクパターンが生成されるものである。
【0057】
このような設計方法によれば、前記した半導体集積回路装置の製造に必要な部材パターンのマスクを設計することが可能である。前記各条件は、前記した半導体集積回路装置の効果を実現するためのものである。
【0058】
なお、ダミーパターンは、半導体基板のスクライブ領域にも配置できることはいうまでもない。
【0059】
また、ダミー配置禁止領域は、ボンディングパッドとなるパターンの端部から20μmの範囲、フォトリソグラフィのマーカとなるパターンの端部から60μmの範囲、接続孔が形成される領域から0.5μmの範囲、または、フューズ領域とすることができる。このような場合、ワイヤボンディングあるいはフォトリソグラフィの際のボンディングパッドあるいはマスク合わせのためのマーカの検出が容易となり、異層間の配線相互あるいは配線および半導体基板間の接続孔の形成を行うことができる。
【0060】
また、部材がビット線の上層に形成される蓄積容量とほぼ同一層に形成される金属配線の場合には、蓄積容量が形成される領域をダミー配置禁止領域とすることができる。このような場合、第1金属配線層をDRAMの蓄積容量と同一層に形成することができ、第1金属配線層の領域についてはダミー配線を配置することができる。
【0061】
また、部材が半導体基板の主面に形成される活性領域の場合には、半導体基板の主面上にゲート配線が形成される領域はダミー配置禁止領域とすることができる。このような場合、ゲート配線の下層にはダミー領域が形成されないため、ゲート配線と半導体基板との間の容量を低減することができる。すなわち、半導体基板主面のダミー領域は見かけ上半導体基板の活性領域と同一の構造となるため、ダミー領域上にゲート配線が形成された場合には、ゲート配線の容量が増加することとなる。このため、ゲート配線の下にはダミー領域を形成しないこととしたものである。これにより半導体集積回路装置の高速応答性能等の性能を向上することができる。
【0062】
また、本発明の設計方法は、ダミーパターンにより形成されるダミー部材によって増加する部材の浮遊容量が最小となるようにダミーパターンを配置するものである。これにより、半導体集積回路装置の高速応答性能等の性能を向上することができる。なお、このような配置は、前記設計方法の条件を満足した上で、ダミーパターンの面積を最小にし、ダミーパターンの数を最小にするように最適化することにより行うことができる。また、このような最適化は、レイアウトパターンを生成するコンピュータ等の情報処理装置により自動的に計算することも可能である。
【0063】
【発明の実施の形態】
以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。
【0064】
(実施の形態1)
図1は、本発明の半導体集積回路装置の一実施の形態であるロジック集積回路装置の一例を示した断面図である。図1において、Aはスクライブ領域、Bはパッド・周辺回路形成領域、Cはロジック回路形成領域である。
【0065】
本実施の形態1のロジック集積回路装置は、半導体基板1の主面に浅溝(Shallow trench)2が形成され、浅溝2に絶縁膜であるシリコン酸化膜が埋め込まれた素子分離領域3を有するものである。この素子分離領域3によって、半導体基板1の主面に形成された活性領域4が規定される。なお、ここでは素子分離として浅溝素子分離構造を例示するが、LOCOS(Local Oxidation of Silicon)法により形成されたフィールド絶縁膜による素子分離構造であってもよい。また、ここでは図示していないが半導体基板の主面にはp型およびn型のウェル領域が形成されていてもよい。
【0066】
活性領域4にはMISFETが形成される。半導体基板の主面上にはMISFETのゲート絶縁膜5を介してゲート配線6が形成されている。ゲート絶縁膜5はたとえば熱酸化法等により形成されたシリコン酸化膜とすることができ、ゲート配線6はたとえばCVD法により形成された多結晶シリコン膜とすることができる。多結晶シリコン膜の表面には、電気抵抗低減のためのシリサイド層が形成されていてもよい。
【0067】
ゲート配線6の一部は、素子分離領域3上を延在するように形成され、他の部分は、半導体基板1の活性領域4に形成されたMISFETQ1のゲート電極7となるものである。ゲート電極7の両側の半導体基板1の主面である活性領域4には不純物半導体領域8が形成されている。不純物半導体領域8は、MISFETQ1のソース・ドレイン領域として機能するものであり、いわゆるLDD(Lightly Doped Drain)とすることもできる。また、ゲート配線6の側面にはサイドウォールスペーサ8bが形成されている。サイドウォールスペーサ8bはたとえばシリコン酸化膜またはシリコン窒化膜とすることができる。
【0068】
ロジック回路領域Cに形成されたMISFETQ1は、ロジック回路の能動素子として機能する。また、図示はされていないが、パッド・周辺回路領域Bに形成されたMISFETは、周辺回路の能動素子として機能する。なお、上記ロジック回路領域Cおよびパッド・周辺回路領域Bに形成されたトランジスタとしてMISFETを例示しているがバイポーラトランジスタあるいはBi−CMOSトランジスタであってもよい。
【0069】
ゲート配線6は層間絶縁膜9で覆われ、層間絶縁膜9上には、第1層目の配線層で構成された配線10およびダミー配線11が形成されている。
【0070】
層間絶縁膜9は、たとえばPSG膜、BPSG膜、あるいはSOG膜等のシリコン酸化膜とすることができる。また、不純物の拡散を防止するためにTEOSシリコン酸化膜等との積層膜とすることもできる。また、層間絶縁膜9の表面はCMP法あるいはエッチバック法等により平坦化されていることが好ましい。
【0071】
配線10およびダミー配線11は同一の材料からなり同一工程(同層)で形成されるものである。材料としては、たとえばアルミニウム(Al)、銅(Cu)等の金属を例示することができるが、不純物が高濃度にドープされた多結晶シリコン膜であってもよい。多結晶シリコン膜の場合その表面がシリサイド化されていてもよい。
【0072】
図2は、第1層における配線10およびダミー配線11の配置を示す平面図である。
【0073】
ダミー配線11は、配線10間の間隔が広い領域(空隙領域)に形成される。その結果、ダミー配線11は、配線10の形成されていない領域にまんべんなく敷き詰められ、ダミー配線11および配線10からなる部材間の間隔は狭く、ダミー配線11が密に充填されたように配置されることとなる。
【0074】
また、ダミー配線11は、スクライブ領域Aにも形成される。これにより、半導体基板1の全面にわたって後に説明する絶縁膜12の平坦性が確保される。スクライブ領域Aに形成されるダミー配線11の幅および長さは、ボンディングパッド間の間隔以下になるように構成される。
【0075】
図3(b)は、配線10およびダミー配線11の配置に適用されるレイアウトルールを説明する平面図であり、図3(a)は、図3(b)のA−A線に沿った断面図である。
【0076】
配線10とダミー配線11との間隔およびダミー配線11相互間の間隔である部材間隔Sは、ダミー配線11および配線10の配線高さHの2倍以下となっている。このように部材間隔Sを配線高さHの2倍以下とすることにより、先に説明した図30の説明のとおり、絶縁膜12のCMP研磨量を均一とすることができ、絶縁膜12の表面を完全に平坦化することができる。ただし、チップ面積の5%以下の領域においては部材間隔Sが配線高さHの4倍以下まで許容される。この場合、絶縁膜12の研磨量ばらつきは約2倍となるがその面積がチップ面積の5%以下であるため、全体としては無視することができ、絶縁膜12全体としてはほぼ平坦性が確保できる。
【0077】
また、部材間隔Sは、リソグラフィツールで要求される最小スペース幅以上の間隔が必要である。この条件により配線10およびダミー配線11の確実な加工が確保され、部材を設計通りに加工することが可能となる。なお、最小スペース幅としては、KrFエキシマレーザを光源として用いた露光装置の場合0.2μmを例示することができる。
【0078】
ダミー配線11の幅aは、リソグラフィツールで要求される最小ライン幅以上とする。幅aを最小ライン幅以上とすることによりダミー配線11の加工を確実なものとすることができる。また、スクライブ領域において、ダミー配線11の幅aは、ボンディングパッド13間の距離以下とする。ボンディングパッド13間の距離以下とすることによりダミー配線11がダイシング等により剥離し切り欠きとなって導電性の塵になった場合にもボンディングパッド13間をショートすることなく、不良発生の原因を無くすことができる。また、ダミー配線11の幅aは、たとえば30μm以下で構成され、20μm以下が多用され、好ましくは10μm以下であり、ボンディングパッド13間の距離はたとえば10μm程度とすることができるが、この程度の大きさのダミー配線11が形成されても配線10の寄生容量は大きくならず、配線10に伝送される信号を遅延させる問題は生じない。この結果、ロジック集積回路装置の性能を低下させることもない。
【0079】
ダミー配線11の長さbは、最小ライン幅の2倍以上とし、スクライブ領域においては、ボンディングパッド13間の距離はたとえば10μm以下とする。ダミー配線11の長さbを、幅aよりも大きく、かつ最小ライン幅の2倍以下とすることにより、ダミー配線11の幅および長さがともに最小ライン幅である場合には、ダミー配線11が解像しない可能性があるが、長さbを、最小ライン幅の2倍以上とすることにより、幅aが最小ライン幅であってもダミー配線11を確実に解像することができ、その加工を確実なものにすることが可能となる。また、長さbをボンディングパッド13間の距離はたとえば10μm以下とするのは、幅aの場合と同様な理由による。
【0080】
また、ダミー配線11の長さbは、幅aと同様に、たとえば30μm以下で構成され、20μm以下が多用され、好ましくは10μm以下である。
【0081】
なお、本実施の形態1では、ダミー配線11の形状を長方形としているが、上記の条件を満足する限り、三角形、台形、円あるいは他の多角形としてもよい。また、配線10の寄生容量を最小にするためには、ダミー配線11の形状はできるだけ小さいことが好ましく、その数もできるだけ少ないことが好ましい。よって、上記条件を満足する範囲内で配線10の寄生容量を最小にするためには、部材間隔Sを配線高さHの2倍とし、ダミー配線の幅aを最小ライン幅とし、ダミー配線の長さbを最小ライン幅の2倍(以上)とするのが最も好ましい。本実施の形態では、たとえば幅aは0.6〜1μmで、長さbは10〜20μmで構成される。
【0082】
配線10およびダミー配線11は絶縁膜12により覆われる。絶縁膜12の表面はCMP法により研磨されたものであり、その表面は完全平坦化されている。
【0083】
図4は、図1における配線部分を拡大して示した断面図である。
【0084】
絶縁膜12は、配線10およびダミー配線11に接する側から絶縁膜12a、絶縁膜12b、絶縁膜12cおよび絶縁膜12dの積層膜となっている。
【0085】
絶縁膜12aは、たとえばTEOSを用いたCVD法により形成されたシリコン酸化膜とすることができる。図示するように、絶縁膜12aは段差に忠実な表面形状で形成される。膜厚はたとえば300nmとすることができる。
【0086】
絶縁膜12bは、たとえば無機SOG膜、高密度プラズマCVD法によるシリコン酸化膜あるいはポリシラザン膜とすることができ、凹部を埋め込む特性を有する膜を用いることができる。よって、図示するように凹部に埋め込まれ、凸部の膜厚は薄くなるように形成される。このように絶縁膜12bによって凹部を埋め込むことができるのは、前記したダミー配線11が前記の条件により形成されているためであり、ダミー配線11により形成された凹部の間隔が絶縁膜12bを埋め込むに必要な間隔以下となっているためである。膜厚は凸部においてたとえば125nmとすることができる。
【0087】
絶縁膜12cは、たとえばTEOSを用いたCVD法により形成されたシリコン酸化膜とすることができCMP法によりその表面が研磨されているものである。この研磨面は、ダミー配線11が形成されているため、完全平坦面が実現されている。膜厚は凸部においてたとえば500nmとすることができる。
【0088】
絶縁膜12dは、たとえばTEOSを用いたCVD法により形成されたシリコン酸化膜とすることができる。膜厚はたとえば200nmとすることができる。なお、絶縁膜12dは省略することも可能である。この場合には絶縁膜12cの堆積の際に絶縁膜12dの膜厚分だけ上乗せする必要がある。
【0089】
絶縁膜12の上層には、第2配線層の配線14、ダミー配線15および絶縁膜16が形成され、さらに第3配線層の配線17、ダミー配線18および絶縁膜19、第4配線層の配線20、ダミー配線21および絶縁膜22が形成されている。配線14,17,20、ダミー配線15,18,21、および絶縁膜16,19,22の各配線層および絶縁膜は、第1配線層の配線10、ダミー配線11、絶縁膜12と同様に構成されている。
【0090】
また、第5配線層の配線23および絶縁膜24が第4配線層の上層の形成され、パッシベーション膜25が形成されている。パッシベーション膜25は、たとえばシリコン窒化膜とすることができる。また、配線23にはボンディングパッド13が含まれる。
【0091】
次に、本実施の形態1のロジック集積回路装置の製造方法を図5〜図11を用いて説明する。
【0092】
図5〜図11は、本実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【0093】
まず、図5に示すように、半導体基板1を用意し、浅溝2をフォトリソグラフィおよびエッチング技術を用いて形成する。その後、浅溝2を含む半導体基板1の主面にシリコン酸化膜を堆積し、そのシリコン酸化膜をCMP法等を用いて研磨し、素子分離領域3を形成する。その後、n型およびp型のウェル領域を形成してもよい。
【0094】
次に、図6に示すように、ゲート絶縁膜5となるシリコン酸化膜を熱酸化または熱CVD法により形成し、さらにCVD法により多結晶シリコン膜を堆積する。多結晶シリコン膜は、フォトリソグラフィおよびエッチング技術を用いてパターニングされ、ゲート配線6(ゲート電極7)が形成される。その後、ゲート電極7をマスクにしてゲート電極7に対して自己整合的に不純物をイオン注入し、不純物半導体領域8を形成する。さらにシリコン酸化膜を堆積後異方性エッチングを行ってサイドウォールスペーサ8bを形成する。この後、さらに高濃度の不純物をイオン注入して不純物半導体領域8をいわゆるLDD構造としてもよい。
【0095】
次に、図7に示すように、PSG膜を形成し、エッチバック法あるいはCMP法を用いて平坦化し、層間絶縁膜9を形成する。その後、アルミニウム膜をスパッタ法あるいは蒸着法を用いて堆積する。さらに、アルミニウム膜をフォトリソグラフィおよびエッチング技術を用いてパターニングし、配線10およびダミー配線11を形成する。これらのパターニングは、前記したダミー配線11の条件に従う。
【0096】
次に、図8に示すように、TEOSを用いたCVD法により絶縁膜12aを形成する。CVD法としてはたとえばプラズマCVD法を用いることができるが、オゾンを併用した熱CVD法でもよい。絶縁膜12aの膜厚は300nmとする。なお、図8〜図11では、配線層のみを示した断面図であり、その下層は省略している。
【0097】
その後、無機SOG膜を用いて絶縁膜12bを形成するか、有機SOG膜を塗布後エッチバックして、配線10およびダミー配線11により形成されたギャップを埋め込む。無機SOG膜の形成は、無機SOGの塗布およびそのベーク処理により行うことができる。絶縁膜12bの膜厚は、凸部において125nmとする。なお、絶縁膜12bは、高密度プラズマCVD法によるシリコン酸化膜あるいはポリシラザン膜であってもよい。
【0098】
絶縁膜12bでギャップを埋め込む際に、ダミー配線11が形成されているためギャップの幅が小さく、ギャップ内を絶縁膜12bで良好に埋め込むことが可能となる。すなわち、凹部の膜厚を凸部に比較して厚くすることができる。その結果、絶縁膜12bの表面の凹凸は緩和され、その高低差が小さいものとすることができる。
【0099】
次に、図9に示すように、TEOSを用いたCVD法により絶縁膜12cを形成する。絶縁膜12cの膜厚は700nmとすることができる。たとえば、ダミー配線11を設けない図29のような場合には、絶縁膜12cの膜厚は1700nm程度必要となるが、本実施の形態1では、ダミー配線11を設けているため、膜厚を700nmと薄くすることができる。その結果、絶縁膜12cの堆積工程を短縮し、工程負荷を低減することが可能となる。
【0100】
次に、図10に示すように、絶縁膜12cの表面をCMP法により研磨して平坦化する。本実施の形態1では、絶縁膜12cの表面形状は配線10およびダミー配線11の形状を、さらに絶縁膜12bの形状を反映するため、場所によらずほぼ均一な高さとなっている。その結果、研磨速度は場所によらずほぼ均一となり、絶縁膜12cの表面をほぼ完全に平坦化することが可能である。また、絶縁膜12cの膜厚が700nmと薄いため、CMP研磨量を少なくすることができ、CMP研磨工程の工程負荷を低減することも可能である。なお、研磨量は200nmとすることができる。
【0101】
次に、CMP研磨後の表面洗浄を行い、図11に示すように、TEOSを用いたCVD法により絶縁膜12dを形成する。絶縁膜12dの膜厚は200nmとすることができる。なお、絶縁膜12dを省略し、絶縁膜12cの膜厚を900nmとすることも可能である。
【0102】
このようにして第1層の配線層が完成する。この後、第1層配線層と同様にして第2層〜第4層の配線層を形成し、さらに第5配線層を同様に形成することができる。その後、パッシベーション膜25を形成して図1に示すロジック集積回路装置がほぼ完成する。
【0103】
本実施の形態1の製造方法によれば、絶縁膜12,16,19,22の表面が完全に平坦化されるとともに、CMP研磨される絶縁膜の堆積工程およびCMP研磨の工程を短縮し、工程負荷を低減することができる。このような効果は、ロジック素子のように一般に3層以上の多層配線とされる場合に特に顕著となる。
【0104】
なお、本実施の形態1では、配線層が5層の場合を例示したが、それよりも多い層あるいは少ない層に適用してもよく、配線層の層数は任意である。
【0105】
(実施の形態2)
図12は、本発明の他の実施の形態であるロジック集積回路装置の一例を示した断面図である。
【0106】
本実施の形態2のロジック集積回路装置は、実施の形態1で説明したロジック集積回路装置と第5層目の配線層を除きほぼ同一である。したがって、以下の説明では、同一の部分の説明を省略し、異なる部分についてのみ説明する。
【0107】
本実施の形態2のロジック集積回路装置は、第5配線層に配線23の他にダミー配線26を有する。ダミー配線26が配置される条件は、実施の形態1で説明したダミー配線11の条件とほぼ同様である。ただし、第5配線層の配線23にはボンディングパッド13が含まれるため、ボンディングパッド13の周辺については、ダミー配線26の配置条件が相違する。
【0108】
図13は、第5配線層の配線23およびダミー配線26の配置を示した平面図である。ボンディングパッド13の周辺には、ダミー配線26が配置されない禁止領域27が設けられている。禁止領域27は、ボンディングパッド13の端部から20μmの範囲とすることができる。
【0109】
このようなロジック集積回路装置によれば、第5配線層にもダミー配線26が形成されているため、パッシベーション膜25の表面も完全平坦化することが可能となる。その結果、図14に示すようにバンプ28の下地膜となるBLM(Ball Limiting Metalization)膜29の加工を精密に行うことが可能となる。また、ボンディングパッド13の周辺に禁止領域27を設けることにより、ワイヤボンディング装置によるボンディングパッド13の自動検出を確実に行うことが可能となる。
【0110】
なお、本実施の形態2および前記した実施の形態1において、ダミー配線11,15,18,21,26は、スクライブ領域Aにも形成することができるが、スクライブ領域Aあるいはその他の領域に、図15(a)および図15(b)に示すようなフォトリソグラフィ用のマーカ30a,30bが形成されている場合には、その周辺にダミー配線11,15,18,21,26が配置されない禁止領域31a,31bを設けることができる。また、禁止領域31a,31bはマーカ30a,30bの端部から60μmの範囲とすることができる。
【0111】
このような禁止領域31a,31bを設けることにより、フォトリソグラフィに用いる露光装置においてマーカ30a,30bの自動検出を良好に行うことが可能となる。
【0112】
なお、禁止領域31a、31bは、少なくとも最上層の配線層で構成されるダミー配線26のみに適用し、下層の配線であるダミー配線11、15、18には適用しなくてもよいし、ダミー配線自身を設けないようにしてもよい。
【0113】
(実施の形態3)
図16は、本発明の他の実施の形態であるDRAMの一例を示した断面図である。
【0114】
本実施の形態3のDRAMの半導体基板1、浅溝2、素子分離領域3および活性領域4については実施の形態1と同様である。また、半導体基板1の主面にはp型ウェル領域32およびn型ウェル領域33が形成されている。
【0115】
p型ウェル領域32の活性領域4には、DRAMのメモリセルMを構成する選択MISFETQtと周辺回路のMISFETQnが形成され、n型ウェル領域33の活性領域4には周辺回路のMISFETQpが形成されている。
【0116】
なお、図16において、左側はメモリセル形成領域であり、中央部および右側は周辺回路形成領域である。DRAMのメモリセルMは、選択MISFETQtと容量素子である蓄積容量SNとを有する。
【0117】
MISFETQt,Qn,Qpのゲート電極7はたとえば多結晶シリコン膜からなり、その表面にはシリサイド層7aが形成されている。MISFETQt,Qn,Qpのゲート電極7の両側の活性領域4には不純物半導体領域8が形成され、MISFETのソース・ドレイン領域を構成する。不純物半導体領域8の導電形はMISFETの導電形により異なり、MISFETQt,Qnについてはn形、MISFETQpについてはp形となる。なお、周辺回路のMISFETQn,Qpについては不純物半導体領域8はLDD構造となるよう図示されているが、LDDでなくてもよい。
【0118】
ゲート電極7の同一層には、ゲート配線6およびダミーゲート配線(ダミー部材)34が形成されている。ゲート電極7はゲート配線6の一部でもある。なお、ゲート配線6およびダミーゲート配線34はゲート電極7と同時(同層で)に形成されるため、その表面にシリサイド層6a、34aが形成されている。ゲート配線6およびダミーゲート配線34の側面および上面には各々シリコン酸化膜からなるサイドウォールスペーサ8bおよびキャップ絶縁膜8cが形成され、その上層には絶縁膜35が形成される。絶縁膜35はたとえばTEOSシリコン酸化膜とすることができる。絶縁膜35の上層には、CMP法により平坦化された絶縁膜36が形成される。絶縁膜36はたとえばBPSG膜とすることができる。本実施の形態3ではダミーゲート配線34が設けられているため、絶縁膜36をほぼ完全に平坦化することができる。このように完全平坦化することができることにより、図17に示すようにリソグラフィの焦点深度が浅くなっても、0.2μmレベルの微細パターンを施した製品の量産化が可能となる。
【0119】
ダミーゲート配線34の配置は、実施の形態1で説明したダミー配線11の条件と同様の条件に従う。なお、ダミーゲート配線34は、接続孔が形成された領域には配置されない。これにより、接続孔の開口を問題なく行うことができる。また、ダミーゲート配線34は、主に素子分離領域3上に形成される。
【0120】
絶縁膜36の上層にはたとえばTEOSシリコン酸化膜からなる絶縁膜37を形成することができるが、省略することも可能である。
【0121】
絶縁膜37の上層には、DRAMのビット線38、それと同層に形成される配線39およびダミー配線40が形成される。これらの配線はたとえばCVDタングステン膜を接着層とする多結晶シリコン膜とすることができる。ダミー配線40は、実施の形態1で説明したダミー配線11の条件と同様の条件に従う。ただし、接続孔が形成された領域には配置されない。これにより、接続孔の開口を問題なく行うことができる。また、ビット線38、配線39およびダミー配線40の側面および上面には各々シリコン酸化膜からなるサイドウォールスペーサ41bおよびキャップ絶縁膜41cが形成され、その上層には絶縁膜42が形成される。絶縁膜42は、たとえばBPSG膜とすることができ、CMP法により研磨され平坦化されているものである。なお、絶縁膜42の上層にはたとえばTEOSシリコン酸化膜からなる絶縁膜43を形成することができるが、省略することも可能である。本実施の形態3ではダミー配線40が設けられているため、絶縁膜42をほぼ完全に平坦化することができる。
【0122】
絶縁膜43の上層にはDRAMの蓄積容量SNと第1層の金属配線層が形成されている。蓄積容量SNは、プラグ44を介してMISFETQtの不純物半導体領域8に接続される下部電極45と、容量絶縁膜46を介して下部電極45に対向して形成されるプレート電極47とから構成される。また、蓄積容量SNは絶縁膜48により覆われている。さらに、蓄積容量SNは、たとえば高密度プラズマ法により形成されたシリコン酸化膜からなる絶縁膜49により覆われ、絶縁膜49の上層に第1層の配線50およびダミー配線51が形成される。配線50は、接続孔を介してプレート電極47あるいは半導体基板1の主面の不純物半導体領域8に接続される。配線50およびダミー配線51は、同時に形成され、たとえばCVDタングステンを接着層とするタングステン膜あるいはアルミニウム膜とすることができる。ダミー配線51は、実施の形態1に説明したダミー配線11と同様の条件で配置される。ただし、蓄積容量SNの形成されるメモリマット領域には配置されない。
【0123】
配線50およびダミー配線51は、たとえば高密度プラズマCVD法によるシリコン酸化膜あるいはポリシラザン膜からなる絶縁膜52により覆われ、さらに、たとえばTEOSシリコン酸化膜からなる絶縁膜53が形成されている。絶縁膜53はCMP法により研磨され平坦化されている。絶縁膜53の平坦性は、ダミー配線51が形成されているためほぼ完全な平坦性とすることができる。
【0124】
絶縁膜53の上層には、第2層の配線54、ダミー配線55および絶縁膜56、さらに第3層の配線57、ダミー配線58および絶縁膜59が形成されている。配線54、ダミー配線55、絶縁膜56、配線57、ダミー配線58および絶縁膜59については、実施の形態1における配線10、ダミー配線11および絶縁膜12と同様とすることができる。
【0125】
本実施の形態3のDRAMによれば、ゲート配線6、ビット線38、第1層の配線50、第2層の配線54および第3層の配線57の各層にダミーの部材34、40、51、55、58を設けているため、各層の絶縁膜の平坦性を完全なものとすることができる。また、ダミーゲート配線34、ダミー配線40、51、55、58をメモリセル形成領域と周辺回路領域との間に配置することにより、各層の絶縁膜を平坦化できる。
【0126】
次に、本実施の形態3のDRAMの製造方法を図18〜図21を用いて説明する。図18〜図21は、本実施の形態3のDRAMの製造方法の一例を工程順に示した断面図である。
【0127】
半導体基板1の主面への素子分離領域3の形成までは実施の形態1と同様であるため省略する。
【0128】
次に、図18に示すように、ゲート絶縁膜5となるシリコン酸化膜を形成し、ゲート配線6、ゲート電極7およびダミーゲート配線34となる多結晶シリコン膜を堆積し、さらに、キャップ絶縁膜8cとなるシリコン酸化膜を堆積した後、これらの積層膜をパターニングしてゲート配線6、ゲート電極7およびダミーゲート配線34を形成する。ゲート配線6(ゲート電極7)は通常のレイアウトルールに従いパターニングされ、ダミーゲート配線34は、通常のレイアウトルールの他に実施の形態1で説明したダミー配線11の条件をほぼ満足して、かつ素子分離領域3上に配置されるようにパターニングされる。
【0129】
次に、図19に示すように、サイドウォールスペーサ8bを形成し、絶縁膜35を堆積した後、BPSG膜を堆積する。その後、BPSG膜をCMP法により研磨して絶縁膜36を形成する。BPSG膜の膜厚は800nmとすることができ、CMP研磨量は400nmとすることができる。これは、ダミーゲート配線34を形成しない場合にはさらに厚いBPSG膜を堆積する必要があり、CMP研磨量も増すのに対して、BPSG膜の膜厚を薄く、CMP研磨量を少なくすることができ、工程負荷を低減することが可能となるという効果を有する。なお、BPSG膜の他に、PSG膜あるいは高密度プラズマCVD法によるシリコン酸化膜を用いることができる。
【0130】
なお、サイドウォールスペーサ8bおよびキャップ絶縁膜8cはシリコン窒化膜とすることもできる。シリコン窒化膜を用いた場合には、接続孔を開口する際のエッチングをセルフアラインで行うことが可能となる。
【0131】
次に、図20に示すように、CMP研磨後の洗浄を行った後に、絶縁膜37を100nmの膜厚で堆積する。絶縁膜37は省略することも可能である。その後、ビット線38および蓄積容量SNの下部電極45に接続されるプラグ44を形成した後、ビット線38、配線39およびダミー配線40を形成する。ダミー配線40は、実施の形態1のダミー配線11の条件と同様の条件により配置される。さらに、サイドウォールスペーサ41bおよびキャップ絶縁膜41cを形成した後、BPSG膜を堆積し、BPSG膜をCMP法により研磨して絶縁膜42を形成する。なお、BPSG膜の他に、PSG膜あるいは高密度プラズマCVD法によるシリコン酸化膜を用いることができる。ここで、ダミー配線40が形成されているため、絶縁膜42の表面を完全平坦化できると同時に、BPSG膜の膜厚を薄くし、CMP研磨量を減少することができる。さらに、CMP研磨後の洗浄を行い、TEOSプラズマCVD法等により絶縁膜43を堆積する。絶縁膜43は省略することが可能である。
【0132】
次に、図21に示すように、蓄積容量SNを形成し、BPSG膜を堆積してベーク処理を行い絶縁膜49を形成する。絶縁膜49の膜厚は500nmとすることができる。さらに、接続孔を開口した後、第1層の配線となるタングステン膜をCVD法により形成し、アルミニウム膜をスパッタ法により形成する。その後、アルミニウム膜およびタングステン膜をパターニングして配線50およびダミー配線51を形成する。ダミー配線51の配置は、実施の形態1のダミー配線11の条件と同様であるが、さらに、蓄積容量SNの配置されたメモリマット領域には配置されないという条件が加重される。図22にこの状況を示す平面図を示す。さらに、BPSG膜を堆積して絶縁膜52を形成した後、たとえばTEOSシリコン酸化膜を堆積してこれをCMP法により研磨し、絶縁膜53を形成する。なお、BPSG膜の他に、PSG膜あるいは高密度プラズマCVD法によるシリコン酸化膜を用いることができる。ここで、ダミー配線51が形成されているため、絶縁膜53の表面を完全平坦化できると同時に、TEOSシリコン酸化膜の膜厚を薄くし、CMP研磨量を減少することができる。
【0133】
その後、実施の形態1と同様に第2層配線層および第3層配線層を形成して実施の形態3のDRAMがほぼ完成する。
【0134】
本実施の形態3の製造方法によれば、各層の絶縁膜が完全平坦化されると同時に、工程負荷を低減することができる。
【0135】
なお、本実施の形態3においても、実施の形態1、2に示すように、ダミー部材をスクライブ領域に形成することができ、ボンディングパッドの周辺およびマーカの周辺にダミー部材を配置しないようにすることができる。
【0136】
また、フューズが形成された領域の周辺にもダミー部材を配置しないようにすることもできる。
【0137】
また、実施の形態3のようなダミーゲート配線34を、実施の形態1、2に示した半導体集積回路装置に設けてもよいことは勿論である。
【0138】
(実施の形態4)
図23は、本発明の他の実施の形態である半導体集積回路装置の一例を示した断面図である。
【0139】
本発明の半導体集積回路装置は、半導体基板1の活性領域4を規定する素子分離領域D、3にダミー領域60が形成されたものである。すなわち、広い素子分離領域Dにおいて、ダミー領域(ダミー部材)60を形成する。素子分離構造以外の半導体基板上の素子および配線等については、実施の形態1と同様であるため説明を省略する。ダミー領域60はスクライブ領域にも形成されてよく、実施の形態1のダミー配線11の条件と同様に条件で配置される。このようにダミー領域60が形成されているため、CMP法を用いて素子分離領域D、3を形成する時に、素子分離領域D、3にディッシングが発生せず、半導体基板1の表面を平坦化することが可能となる。また、ダミー領域60の大きさが小さく、その数を最適化することにより、ダミー領域60による寄生容量の増加を防止し、半導体集積回路装置の性能を保持することが可能となる。
【0140】
なお、半導体基板1の主面にゲート配線6が形成される領域には、ダミー領域60を配置しない方がよい。すなわち、ゲート配線6の下部は、ダミー領域60が配置されない禁止領域70が設けられる。その状況を図24および図31に示す。ダミー領域60は、半導体基板1の活性領域4と同様の作用を持つため、その直上にゲート配線6が形成されれば、ゲート配線6をゲート絶縁膜5を介して活性領域4と向き合うこととなり、ゲート配線6の寄生容量が大きくなるが、このように、ゲート配線6が形成される領域には、ダミー領域60を配置しない場合には、ゲート配線6の寄生容量が増加することがない。この結果、半導体集積回路装置の性能を低下させることがない。
【0141】
本実施の形態においては、ダミー領域60は、幅aおよび長さbが、たとえばともに15〜20μm程度の正方形で構成されるが、これに限定されず長方形他の形状であってもよい。
【0142】
次に、本実施の形態4の半導体集積回路装置の製造方法を図25〜図28を用いて説明する。
【0143】
まず、図25に示すように、半導体基板1の主面にシリコン窒化膜61を堆積し、シリコン窒化膜61および半導体基板1をパターニングして浅溝2を形成する。浅溝2は、素子分離領域3となるものおよびダミー領域60となるものの両方が含まれる。すなわち、活性領域4を規定する素子分離領域D、3にダミー領域60が形成されるように浅溝2を形成する。
【0144】
次に、図26に示すように、たとえばCVD法によりシリコン酸化膜を堆積し、1次研磨としてシリコン酸化膜をCMP法により研磨して、浅溝2にシリコン酸化膜を埋め込むことにより素子分離領域D、3およびダミー領域60を形成する。1次研磨には、シリコン酸化物粒子を研磨剤とするアルカリ性のスラリを用いることができる。この場合、シリコン酸化膜とシリコン窒化膜との研磨速度の比が3〜4対1となるためシリコン窒化膜の膜厚をある程度厚くする必要がある。
【0145】
次に、図27に示すように、さらに2次研磨を行い、異物およびダメージ層の除去を行うことができる。なお、2次研磨は、柔らかいパッドを用いて行うことができ、薬液を用いてもよいが、純水を用いても構わない。その後、半導体基板1の両面をスクラブおよびフッ酸洗浄し、さらにアンモニア洗浄および塩酸洗浄を行った後、素子分離領域3およびダミー領域60のエッチバックを行う。エッチバックは、ドライエッチングあるいはウェットエッチングにより行うことができる。このように素子分離領域3およびダミー領域60のエッチバックを行うことにより、素子分離領域3およびダミー領域60の高さを活性領域4の高さと等しくするかあるいはそれより低くすることが可能である。これにより、微細なゲート配線の加工が可能となる。なお、このエッチバックプロセスは省略することができる。
【0146】
最後にシリコン窒化膜61を除去して図28に示す活性領域4を規定する素子分離領域D、3が形成された半導体基板1が用意される。この後の工程は実施の形態1と同様であるため省略する。
【0147】
なお、1次研磨を酸化セリウムを研磨剤とするスラリを用いて行うことができる。この場合、シリコン酸化膜とシリコン窒化膜との研磨速度の比は30〜50対1となり、シリコン窒化膜61の膜厚を50nm以下にすることができる。このような膜厚はプロセス設計上無視することができるため、上記の素子分離領域3およびダミー領域60のエッチバックを省略することが可能となる。これにより、工程を簡略化することが可能となる。
【0148】
以上、本発明者によってなされた発明を発明の実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。
【0149】
たとえば、上記実施の形態1〜4では、CMP工程を絶縁膜の研磨工程として用いたが、本発明を用いれば、CMP研磨前に既にある程度の平坦性が確保できるため、CMP研磨を仕上げ工程として用いることも可能である。この場合、仕上げ工程は、CMP法に限られず、ドライベルト研磨あるいはラッピング法等を用いることができる。
【0150】
また、図32に示すように、実施の形態4において、実施の形態3に示したダミーゲート配線34を設けてもよい。図33は、図32の要部平面図である。ダミーゲート配線34は素子分離領域D、3およびダミー領域60上を延在するように構成される。また、ダミーゲート配線34は、電気的にフローティングの状態で構成され、ゲート絶縁膜5を介してダミー領域60上に形成される。
【0151】
なお、MISFETQ1のソース・ドレイン領域である半導体領域8を形成する時、素子分離領域D、3上を覆うレジスト膜をマスクにしてイオン注入することにより、ダミー領域60に半導体領域8が形成されない。
【0152】
また、図34に示すように、ダミーゲート配線34を配線状に長く形成してもよい。これにより絶縁膜9の表面の平坦性を向上することができる。
【0153】
また、実施の形態3において、実施の形態4に示すダミー領域60を設けてもよいことは勿論である。
【0154】
【発明の効果】
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
【0155】
CMP法による研磨後の部材表面を完全に平坦化することができる。
【0156】
フォトリソグラフィ工程およびエッチング工程等でのプロセスマージンを向上し、微細な加工および高集積化に対応することができ、半導体集積回路装置の信頼性および歩留まりを向上することができる。
【0157】
プロセス立ち上げを容易にすることができる。
【0158】
CMP法により研磨される部材の研磨量を低減し、工程負荷の低減および工程時間の短縮によるコスト競争力の向上を図ることができる。
【0159】
CMP法により完全平坦化が可能な部材パターンの設計方法を提供することができる。
【0160】
完全平坦化を実現するための対策により生ずる配線等の寄生容量の増加を抑制し、半導体集積回路装置の性能を確保することができる。
【図面の簡単な説明】
【図1】実施の形態1のロジック集積回路装置の一例を示した断面図である。
【図2】図1における第1配線層の配線およびダミー配線の配置を示す要部平面図である。
【図3】(b)は、配線およびダミー配線の配置に適用されるレイアウトルールを説明する平面図であり、(a)は、図3(b)におけるA−A線に沿った方向の断面図である。
【図4】図1における配線部分を拡大して示した断面図である。
【図5】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図6】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図7】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図8】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図9】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図10】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図11】実施の形態1のロジック集積回路装置の製造方法の一例を工程順に示した断面図である。
【図12】実施の形態2のロジック集積回路装置の一例を示した断面図である。
【図13】実施の形態2における第5配線層の配線およびダミー配線の配置を示した平面図である。
【図14】実施の形態2のロジック集積回路装置の一例を示した断面図である。
【図15】(a)および(b)は、実施の形態2のロジック集積回路装置の他の例を示した平面図である。
【図16】実施の形態3のDRAMの一例を示した断面図である。
【図17】パターン寸法とリソグラフィの焦点深度との関係を示すグラフである。
【図18】実施の形態3のDRAMの製造方法の一例を工程順に示した断面図である。
【図19】実施の形態3のDRAMの製造方法の一例を工程順に示した断面図である。
【図20】実施の形態3のDRAMの製造方法の一例を工程順に示した断面図である。
【図21】実施の形態3のDRAMの製造方法の一例を工程順に示した断面図である。
【図22】実施の形態3のDRAMの製造方法の一例を工程順に示した平面図である。
【図23】実施の形態4の半導体集積回路装置の一例を示した断面図である。
【図24】実施の形態4の半導体集積回路装置の一例を示した平面図である。
【図25】実施の形態4の半導体集積回路装置の製造方法の一例を工程順に示した断面図である。
【図26】実施の形態4の半導体集積回路装置の製造方法の一例を工程順に示した断面図である。
【図27】実施の形態4の半導体集積回路装置の製造方法の一例を工程順に示した断面図である。
【図28】実施の形態4の半導体集積回路装置の製造方法の一例を工程順に示した断面図である。
【図29】(a)〜(d)は、本発明者が検討したCMP法による平坦化技術を説明するための断面図である。
【図30】パターン間距離に対するCMP研磨量のばらつきの値を示したグラフである。
【図31】実施の形態4の半導体集積回路装置の一例を示した平面図である。
【図32】本発明の他の実施の形態である半導体集積回路装置の一例を示した断面図である。
【図33】図32に示す半導体集積回路装置の要部平面図である。
【図34】図32に示す半導体集積回路装置の要部平面図である。
【符号の説明】
1 半導体基板
2 浅溝
D、3 素子分離領域
4 活性領域
5 ゲート絶縁膜
6 ゲート配線
6a シリサイド層
7 ゲート電極
7a シリサイド層
8 不純物半導体領域
8b サイドウォールスペーサ
8c キャップ絶縁膜
9 層間絶縁膜
10、14、17、20、23 配線
11、15、18、21、26 ダミー配線
12、12a〜d 絶縁膜
13 ボンディングパッド
16、19、22、24 絶縁膜
25 パッシベーション膜
27 禁止領域
28 バンプ
29 BLM膜
30a,30b マーカ
31a,31b 禁止領域
32 p型ウェル領域
33 n型ウェル領域
34 ダミーゲート配線
35〜37 絶縁膜
38 ビット線
39、50、54、57 配線
40、51、55、58、60 ダミー配線
41b サイドウォールスペーサ
41c キャップ絶縁膜
42、43、48、49、52、53、56、59 絶縁膜
44 プラグ
45 下部電極
46 容量絶縁膜
47 プレート電極
61 シリコン窒化膜
101 層間絶縁膜
102 配線
103 第1絶縁膜
104 第2絶縁膜
105 第3絶縁膜
A スクライブ領域
B パッド・周辺回路領域
C ロジック回路領域
Qt 選択MISFET
Qn MISFET
Qp MISFET
Q1 MISFET
S 部材間隔
SN 蓄積容量
a 幅
b 長さ

Claims (13)

  1. 半導体基板のロジック回路を含む領域に形成された活性領域およびダミー領域と
    記活性領域およびダミー領域を規定する溝と、
    前記溝にCMP法により平坦化された被膜を含む絶縁膜が埋込まれた素子分離絶縁膜と、
    前記活性領域に形成された半導体素子と、
    前記活性領域および素子分離絶縁膜上に形成されたゲート配線とを有する半導体集積回路装置であって、
    前記活性領域を規定する素子分離領域は、前記ダミー領域および素子分離絶縁膜で構成され、
    前記ダミー領域は、前記半導体基板のスクライブ領域上においても形成されており、
    前記ゲート配線は、前記ゲート配線の下部には前記ダミー領域が形成されないように、前記素子分離絶縁膜上に延在することを特徴とする半導体集積回路装置。
  2. 半導体基板のロジック回路を含む領域に形成された活性領域およびダミー領域と
    記活性領域およびダミー領域を規定する溝と、
    前記溝にCMP法により平坦化された被膜を含む絶縁膜が埋込まれた素子分離絶縁膜と
    前記活性領域に形成された半導体素子とを有する半導体集積回路装置であって、
    前記活性領域を規定する素子分離領域は、前記ダミー領域および素子分離絶縁膜で構成され、
    前記ダミー領域は、前記半導体基板のスクライブ領域上においても形成されており、
    前記ダミー領域および前記活性領域の間隔が、前記溝の深さの2倍以下であることを特徴とする半導体集積回路装置。
  3. 半導体基板のロジック回路を含む領域に形成された活性領域およびダミー領域と
    記活性領域およびダミー領域を規定する溝と、
    前記溝にCMP法により平坦化された被膜を含む絶縁膜が埋込まれた素子分離絶縁膜と
    前記活性領域に形成された半導体素子とを有する半導体集積回路装置であって、
    前記活性領域を規定する素子分離領域は、前記ダミー領域および素子分離絶縁膜で構成され、
    前記ダミー領域は、前記半導体基板のスクライブ領域上においても形成されており、
    前記ダミー領域幅は、最小ライン幅の2倍以上であることを特徴とする半導体集積回路装置。
  4. 半導体基板のロジック回路を含む領域に形成された活性領域およびダミー領域と
    記活性領域およびダミー領域を規定する溝と、
    前記溝にCMP法により平坦化された被膜を含む絶縁膜が埋込まれた素子分離絶縁膜と
    前記活性領域に形成された半導体素子とを有する半導体集積回路装置であって、
    前記活性領域を規定する素子分離領域は、前記ダミー領域および素子分離絶縁膜で構成され、
    前記ダミー領域は、スクライブ領域にも形成され、ボンディングパッド部またはフォトリソグラフィのためのマーカ部の周辺に形成されないことを特徴とする半導体集積回路装置。
  5. 半導体集積回路装置の製造方法であって、
    半導体基板をエッチングして、ロジック回路を含む領域において、活性領域およびダミー領域を規定する領域に溝を形成し、スクライブ領域においてダミー領域を規定する溝を 形成する工程と、
    前記溝を埋込むように、前記活性領域、ダミー領域および溝上に絶縁膜を堆積する工程と、
    前記絶縁膜をCMP法により研磨して、前記溝内に絶縁膜を埋込む工程とを含み、
    前記ダミー領域および前記活性領域の間隔は、前記溝の深さの2倍以下であることを特徴とする半導体集積回路装置の製造方法。
  6. 半導体集積回路装置の製造方法であって、
    半導体基板をエッチングして、ロジック回路を含む領域において、活性領域およびダミー領域を規定する領域に溝を形成し、スクライブ領域においてダミー領域を規定する溝を形成する工程と、
    前記溝を埋込むように、前記活性領域、ダミー領域および溝上に絶縁膜を堆積する工程と、
    前記絶縁膜をCMP法により研磨して、前記溝内に絶縁膜を埋込む工程とを含み、
    前記ダミー領域幅は、リソグラフィの分解能から要求される最小ライン幅の2倍以上であることを特徴とする半導体集積回路装置の製造方法。
  7. 半導体集積回路装置の製造方法であって、
    半導体基板の主面に堆積されたシリコン窒化膜および前記半導体基板をエッチングして、スクライブ領域以外には活性領域およびダミー領域を規定する領域に溝を、スクライブ領域内にはダミー領域を規定する溝を形成する工程と、
    前記溝を埋込むように、前記活性領域、ダミー領域および溝上に絶縁膜を堆積する工程と、
    シリコン酸化物を研磨剤とするアルカリ性スラリを用いたCMP法により前記絶縁膜を研磨して、前記溝内に絶縁膜を埋込む工程と、
    前記CMP工程の後に、前記溝に形成された絶縁膜をエッチングして、前記絶縁膜の表面の高さを前記半導体基板の主面と同一または前記半導体基板の主面より低くする工程とを含むことを特徴とする半導体集積回路装置の製造方法。
  8. 半導体集積回路装置の製造方法であって、
    半導体基板の主面に堆積されたシリコン窒化膜および前記半導体基板をエッチングして、スクライブ領域以外には活性領域およびダミー領域を規定する領域に溝を、スクライブ領域内にはダミー領域を規定する溝を形成する工程と、
    前記溝を埋込むように、前記活性領域、ダミー領域および溝上に絶縁膜を堆積する工程と、
    酸化セリウムを研磨剤とするCMP法により前記絶縁膜を研磨して、前記溝内に絶縁膜を埋込む工程とを含むことを特徴とする半導体集積回路装置の製造方法。
  9. 請求項7または8記載の半導体集積回路装置の製造方法であって、
    前記ダミー領域は、ボンディングパッド部またはフォトリソグラフィのためのマーカ部の周辺に形成されないことを特徴とする半導体集積回路装置の製造方法。
  10. 半導体集積回路装置の製造方法であって、
    半導体基板をエッチングして、スクライブ領域以外において、活性領域およびダミー領域を規定する領域に溝を形成し、スクライブ領域においてダミー領域を規定する溝を形成する工程と、
    前記溝を埋込むように、前記活性領域、ダミー領域および溝上に絶縁膜を堆積する工程と、
    前記絶縁膜をCMP法により研磨して、前記溝内に絶縁膜を埋込む工程と、
    前記活性領域上にゲート配線を形成する工程を含み、
    前記ダミー領域は、前記ゲート配線の下に形成しないことを特徴とする半導体集積回路装置の製造方法。
  11. 半導体基板のロジック回路を含む領域に形成された活性領域およびダミー領域と、
    前記活性領域に形成された半導体素子と、
    前記活性領域およびダミー領域を規定する溝と、
    前記溝に絶縁膜が埋込まれた素子分離絶縁膜とを有する半導体集積回路装置であって、
    前記活性領域を規定する素子分離領域は、前記ダミー領域および素子分離絶縁膜で構成され、
    スクライブ領域内においては、前記ダミー領域が前記素子分離絶縁膜により規定された領域に形成されていることを特徴とする半導体集積回路装置。
  12. 半導体基板のスクライブ領域に形成されたダミー領域と、
    前記ダミー領域の周りの溝に形成された素子分離絶縁膜と、
    ロジック回路を含む領域に形成された活性領域とダミー領域と、
    前記活性領域とダミー領域の周りの溝に形成された素子分離絶縁膜とを有することを特徴とする半導体集積回路装置。
  13. 半導体集積回路装置の製造方法であって、
    半導体基板をエッチングして、ロジック回路を含む領域には活性領域およびダミー領域を規定する領域に溝を、スクライブ領域内にはダミー領域を規定する溝を形成する工程と、
    前記溝を埋込むように、前記活性領域、前記ダミー領域および前記溝上に絶縁膜を堆積する工程と、
    CMP法により前記絶縁膜を研磨して、前記溝内に前記絶縁膜を埋込む工程とを含むことを特徴とする半導体集積回路装置の製造方法。
JP03338898A 1997-03-31 1998-02-16 半導体集積回路装置およびその製造方法 Expired - Fee Related JP3638778B2 (ja)

Priority Applications (19)

Application Number Priority Date Filing Date Title
JP03338898A JP3638778B2 (ja) 1997-03-31 1998-02-16 半導体集積回路装置およびその製造方法
TW087103473A TW415046B (en) 1997-03-31 1998-03-10 Semiconductor integrated circuit device, manufacturing method and the design method of the same
KR1019980010428A KR100561983B1 (ko) 1997-03-31 1998-03-26 반도체집적회로장치
US09/050,416 US6261883B1 (en) 1997-03-31 1998-03-31 Semiconductor integrated circuit device, and fabrication process and designing method thereof
US09/846,260 US6433438B2 (en) 1997-03-31 2001-05-02 Semiconductor integrated circuit device
US10/075,246 US6664642B2 (en) 1997-03-31 2002-02-15 Semiconductor integrated circuit device
US10/619,039 US7274074B2 (en) 1997-03-31 2003-07-14 Semiconductor integrated circuit device
US10/926,142 US7199432B2 (en) 1997-03-31 2004-08-26 Semiconductor integrated circuit device
US10/951,939 US7163870B2 (en) 1997-03-31 2004-09-29 Semiconductor integrated circuit device
US10/956,159 US7250682B2 (en) 1997-03-31 2004-10-04 Semiconductor integrated circuit device
US11/101,446 US7187039B2 (en) 1997-03-31 2005-04-08 Semiconductor integrated circuit device
KR1020050102833A KR100605427B1 (ko) 1997-03-31 2005-10-31 반도체집적회로장치 및 그 제조방법
KR1020050102832A KR100587250B1 (ko) 1997-03-31 2005-10-31 반도체집적회로장치의 제조방법
US11/802,450 US7474003B2 (en) 1997-03-31 2007-05-23 Semiconductor integrated circuit device
US11/878,666 US7678684B2 (en) 1997-03-31 2007-07-26 Semiconductor integrated circuit device
US11/878,843 US7554202B2 (en) 1997-03-31 2007-07-27 Semiconductor integrated circuit device
US11/837,573 US7626267B2 (en) 1997-03-31 2007-08-13 Semiconductor integrated circuit device including wiring lines and interconnections
US12/649,375 US8022550B2 (en) 1997-03-31 2009-12-30 Semiconductor integrated circuit device
US13/196,967 US8420527B2 (en) 1997-03-31 2011-08-03 Semiconductor integrated circuit device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP8101397 1997-03-31
JP9-81013 1997-05-31
JP03338898A JP3638778B2 (ja) 1997-03-31 1998-02-16 半導体集積回路装置およびその製造方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2003207585A Division JP2004048025A (ja) 1997-03-31 2003-08-14 半導体集積回路装置
JP2003207584A Division JP2004128484A (ja) 1997-03-31 2003-08-14 半導体集積回路装置およびその製造方法

Publications (2)

Publication Number Publication Date
JPH10335333A JPH10335333A (ja) 1998-12-18
JP3638778B2 true JP3638778B2 (ja) 2005-04-13

Family

ID=26372073

Family Applications (1)

Application Number Title Priority Date Filing Date
JP03338898A Expired - Fee Related JP3638778B2 (ja) 1997-03-31 1998-02-16 半導体集積回路装置およびその製造方法

Country Status (4)

Country Link
US (14) US6261883B1 (ja)
JP (1) JP3638778B2 (ja)
KR (3) KR100561983B1 (ja)
TW (1) TW415046B (ja)

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3638778B2 (ja) * 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
KR100272166B1 (ko) * 1998-06-30 2000-11-15 윤종용 소자분리영역에 형성된 더미 도전층을 갖춘반도체소자 및 그제조방법
JP3466929B2 (ja) * 1998-10-05 2003-11-17 株式会社東芝 半導体装置
US6037668A (en) * 1998-11-13 2000-03-14 Motorola, Inc. Integrated circuit having a support structure
KR100291384B1 (ko) * 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
JP2000216264A (ja) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
JP3758876B2 (ja) * 1999-02-02 2006-03-22 Necマイクロシステム株式会社 半導体装置のレイアウト方法
JP2000269293A (ja) * 1999-03-18 2000-09-29 Fujitsu Ltd 半導体装置
JP2000294730A (ja) * 1999-04-09 2000-10-20 Mitsubishi Electric Corp システムlsiチップ及びその製造方法
JP2000340529A (ja) * 1999-05-31 2000-12-08 Mitsubishi Electric Corp 半導体装置
US7253047B2 (en) 1999-09-01 2007-08-07 Micron Technology, Inc. Semiconductor processing methods of forming transistors, semiconductor processing methods of forming dynamic random access memory circuitry, and related integrated circuitry
JP4703807B2 (ja) * 1999-11-29 2011-06-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP4307664B2 (ja) 1999-12-03 2009-08-05 株式会社ルネサステクノロジ 半導体装置
TW469552B (en) 1999-12-10 2001-12-21 Toshiba Corp TAB type semiconductor device
JP3631076B2 (ja) * 1999-12-27 2005-03-23 沖電気工業株式会社 半導体装置の構造
US6251773B1 (en) * 1999-12-28 2001-06-26 International Business Machines Corporation Method of designing and structure for visual and electrical test of semiconductor devices
JP2001203263A (ja) * 2000-01-20 2001-07-27 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US20070114631A1 (en) * 2000-01-20 2007-05-24 Hidenori Sato Method of manufacturing a semiconductor integrated circuit device and a semiconductor integrated circuit device
JP3428556B2 (ja) * 2000-03-15 2003-07-22 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP3539337B2 (ja) 2000-03-17 2004-07-07 セイコーエプソン株式会社 半導体装置およびその製造方法ならびにマスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP2001313293A (ja) * 2000-05-01 2001-11-09 Seiko Epson Corp 半導体装置
US7053005B2 (en) * 2000-05-02 2006-05-30 Samsung Electronics Co., Ltd. Method of forming a silicon oxide layer in a semiconductor manufacturing process
KR100362834B1 (ko) 2000-05-02 2002-11-29 삼성전자 주식회사 반도체 장치의 산화막 형성 방법 및 이에 의하여 제조된 반도체 장치
US6355550B1 (en) * 2000-05-19 2002-03-12 Motorola, Inc. Ultra-late programming ROM and method of manufacture
US6559055B2 (en) * 2000-08-15 2003-05-06 Mosel Vitelic, Inc. Dummy structures that protect circuit elements during polishing
US6479405B2 (en) * 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
JP2002158278A (ja) 2000-11-20 2002-05-31 Hitachi Ltd 半導体装置およびその製造方法ならびに設計方法
KR100714264B1 (ko) * 2000-11-22 2007-05-02 삼성전자주식회사 게이트 폴리용 더미 패턴 형성 방법
DE10058078C1 (de) * 2000-11-23 2002-04-11 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Analysierschutz und Verfahren zur Herstellung der Anordnung
US6674108B2 (en) 2000-12-20 2004-01-06 Honeywell International Inc. Gate length control for semiconductor chip design
KR100422571B1 (ko) * 2000-12-22 2004-03-12 주식회사 하이닉스반도체 알루미늄의 화학적 기계적 연마공정에서의 부식을 방지하는 방법
JP2002208676A (ja) 2001-01-10 2002-07-26 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の設計方法
JP2002246572A (ja) * 2001-02-16 2002-08-30 Toshiba Corp 半導体装置
JP3575448B2 (ja) 2001-08-23 2004-10-13 セイコーエプソン株式会社 半導体装置
JP3454259B2 (ja) 2001-09-07 2003-10-06 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP2003100899A (ja) * 2001-09-27 2003-04-04 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR20030045451A (ko) * 2001-12-04 2003-06-11 주식회사 하이닉스반도체 반도체 소자
JP2003188111A (ja) * 2001-12-20 2003-07-04 Mitsubishi Electric Corp 半導体装置の製造方法およびフォトマスク作成方法
JP3790469B2 (ja) * 2001-12-21 2006-06-28 富士通株式会社 半導体装置
JP2003243617A (ja) 2002-02-20 2003-08-29 Seiko Epson Corp 半導体装置の製造方法
JP2003273210A (ja) * 2002-03-12 2003-09-26 Fujitsu Ltd 半導体装置及びその製造方法
TW531776B (en) * 2002-03-21 2003-05-11 Nanya Technology Corp Metal pad structure suitable for connection pad and inspection pad
US6789584B2 (en) * 2002-03-26 2004-09-14 Charles B. Linam Fluid containment apparatus
JP2003289072A (ja) * 2002-03-28 2003-10-10 Sharp Corp 平坦化膜を有する基板及び表示装置用基板、並びにそれら基板の製造方法
JP3961335B2 (ja) * 2002-04-19 2007-08-22 シャープ株式会社 半導体集積回路装置
US6998653B2 (en) * 2002-05-29 2006-02-14 Renesas Technology Corp. Semiconductor device
US6864124B2 (en) * 2002-06-05 2005-03-08 United Microelectronics Corp. Method of forming a fuse
US6780673B2 (en) 2002-06-12 2004-08-24 Texas Instruments Incorporated Method of forming a semiconductor device package using a plate layer surrounding contact pads
US6531387B1 (en) * 2002-06-17 2003-03-11 Mosel Vitelic, Inc. Polishing of conductive layers in fabrication of integrated circuits
JP4445189B2 (ja) * 2002-08-29 2010-04-07 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP3986940B2 (ja) * 2002-10-31 2007-10-03 富士通株式会社 半導体装置
EP1573808A4 (en) 2002-12-20 2009-12-09 Ibm METHOD OF CREATING FILLING PAVES PATTERN FOR CI, RESULTING CI AND METHOD OF ANALYSIS
US6764919B2 (en) * 2002-12-20 2004-07-20 Motorola, Inc. Method for providing a dummy feature and structure thereof
US6710443B1 (en) * 2002-12-20 2004-03-23 Texas Instruments Incorporated Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure
KR100935245B1 (ko) * 2002-12-28 2010-01-06 매그나칩 반도체 유한회사 더미 폴리를 이용한 층간 절연막 평탄화 균일도 개선 방법
KR100519795B1 (ko) * 2003-02-07 2005-10-10 삼성전자주식회사 다층배선 형성을 위한 포토마스크 세트 및 이를 사용하여제조된 반도체장치
JP2004273519A (ja) * 2003-03-05 2004-09-30 Clariant (Japan) Kk トレンチ・アイソレーション構造の形成方法
JP4268587B2 (ja) * 2003-03-13 2009-05-27 富士通マイクロエレクトロニクス株式会社 ダミーパターンを有する半導体装置
US6693357B1 (en) * 2003-03-13 2004-02-17 Texas Instruments Incorporated Methods and semiconductor devices with wiring layer fill structures to improve planarization uniformity
TWI225671B (en) * 2003-04-07 2004-12-21 Nanya Technology Corp Method of forming bit line contact via
WO2004097916A1 (ja) * 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法、半導体ウエハおよび半導体装置
FR2854730A1 (fr) * 2003-05-05 2004-11-12 St Microelectronics Sa Circuit integre comprenant au moins un niveau de metallisation
JP4578785B2 (ja) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100546330B1 (ko) * 2003-06-03 2006-01-26 삼성전자주식회사 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법
US20050009312A1 (en) * 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
JP2005026586A (ja) * 2003-07-04 2005-01-27 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP4651920B2 (ja) * 2003-07-15 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置
KR100546354B1 (ko) * 2003-07-28 2006-01-26 삼성전자주식회사 원하는 분석 위치를 용이하게 찾을 수 있는 반도체 소자
US20050056881A1 (en) * 2003-09-15 2005-03-17 Yee-Chia Yeo Dummy pattern for silicide gate electrode
US7309742B2 (en) * 2003-11-14 2007-12-18 Fina Technology, Inc. Impact copolymer with optimized melt flow, stiffness, and low-temperature impact resistance
KR100705937B1 (ko) * 2003-12-19 2007-04-11 에스티마이크로일렉트로닉스 엔.브이. 실리콘 질화막의 스트레스를 방지 및 완충하는 패드구조를 구비한 반도체 장치
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
WO2005096364A1 (ja) * 2004-03-31 2005-10-13 Nec Corporation 半導体装置及びその製造方法
JP2005332885A (ja) 2004-05-18 2005-12-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US7339272B2 (en) * 2004-06-14 2008-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with scattering bars adjacent conductive lines
US20050286052A1 (en) * 2004-06-23 2005-12-29 Kevin Huggins Elongated features for improved alignment process integration
JP4528561B2 (ja) * 2004-06-23 2010-08-18 パナソニック株式会社 半導体装置及び半導体装置の製造方法
JP4191110B2 (ja) * 2004-07-26 2008-12-03 Necエレクトロニクス株式会社 半導体装置
JP4714439B2 (ja) * 2004-08-04 2011-06-29 パナソニック株式会社 半導体装置
DE102004038997A1 (de) * 2004-08-10 2006-02-23 Micronas Gmbh Integrierte Schaltungsanordnung mit zumindest einem Dummy-Loch und Verfahren z u deren Herstellung
KR100615579B1 (ko) 2004-09-20 2006-08-25 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 파워 라인 배치 방법
JP4636839B2 (ja) 2004-09-24 2011-02-23 パナソニック株式会社 電子デバイス
JP2006119195A (ja) * 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US20060091423A1 (en) * 2004-10-29 2006-05-04 Peter Poechmueller Layer fill for homogenous technology processing
US7667332B2 (en) * 2004-11-05 2010-02-23 Kabushiki Kaisha Toshiba Method for generating pattern, method for manufacturing semiconductor device, semiconductor device, and computer program product
WO2006061871A1 (ja) * 2004-12-06 2006-06-15 Fujitsu Limited 半導体装置
KR100675275B1 (ko) * 2004-12-16 2007-01-26 삼성전자주식회사 반도체 장치 및 이 장치의 패드 배치방법
JP2006190732A (ja) * 2005-01-04 2006-07-20 Toshiba Corp 自動設計方法及び半導体集積回路
JP2006196728A (ja) * 2005-01-14 2006-07-27 Seiko Epson Corp 電子部品、電気光学装置、及び電子機器
US7701034B2 (en) * 2005-01-21 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy patterns in integrated circuit fabrication
JP2006228910A (ja) * 2005-02-16 2006-08-31 Matsushita Electric Ind Co Ltd 半導体装置
US20060198008A1 (en) * 2005-03-07 2006-09-07 Micron Technology, Inc. Formation of micro lens by using flowable oxide deposition
US7470630B1 (en) * 2005-04-14 2008-12-30 Altera Corporation Approach to reduce parasitic capacitance from dummy fill
JP2006302950A (ja) * 2005-04-15 2006-11-02 Renesas Technology Corp 不揮発性半導体装置および不揮発性半導体装置の製造方法
KR100652409B1 (ko) * 2005-05-06 2006-12-01 삼성전자주식회사 콘택이 구비된 반도체소자 및 그 제조방법
KR100653715B1 (ko) * 2005-06-17 2006-12-05 삼성전자주식회사 적어도 하나의 개구부를 갖는 최상부 금속층을 구비하는반도체 소자들 및 그 제조방법들
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
JP4605378B2 (ja) * 2005-07-13 2011-01-05 セイコーエプソン株式会社 半導体装置
JP4760206B2 (ja) * 2005-08-08 2011-08-31 セイコーエプソン株式会社 弾性表面波素子の製造方法及び弾性表面波素子
KR100650870B1 (ko) * 2005-08-08 2008-07-16 주식회사 하이닉스반도체 플래쉬 메모리 소자 및 그의 제조방법
JP4713990B2 (ja) * 2005-09-13 2011-06-29 株式会社東芝 半導体装置とその製造方法
KR100830762B1 (ko) 2005-09-14 2008-05-20 가부시키가이샤 소쿠도 노출처리를 받은 기판의 처리장치 및 처리방법
JP4991134B2 (ja) * 2005-09-15 2012-08-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4610008B2 (ja) * 2005-09-26 2011-01-12 ルネサスエレクトロニクス株式会社 半導体装置
US7438904B1 (en) 2005-10-04 2008-10-21 University Of Kentucky Research Foundation High-activity mutants of butyrylcholinesterase for cocaine hydrolysis and method of generating the same
US7740840B1 (en) 2005-10-04 2010-06-22 University Of Kentucky Research Foundation High activity mutants of butyrylcholinesterase for cocaine hydrolysis
JP2006080562A (ja) * 2005-11-17 2006-03-23 Renesas Technology Corp 半導体装置
KR100881108B1 (ko) * 2005-11-24 2009-02-02 가부시키가이샤 리코 스크라이브 라인에 의해 분할된 반도체 칩 및 스크라이브라인 상에 형성된 공정-모니터 전극 패드를 포함하는반도체 웨이퍼
US9147659B1 (en) * 2005-12-27 2015-09-29 Advanced Micro Devices, Inc. Bondpad arrangement with reinforcing structures between the bondpads
KR100649026B1 (ko) * 2005-12-28 2006-11-27 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 형성방법
US7977795B2 (en) * 2006-01-05 2011-07-12 Kabushiki Kaisha Toshiba Semiconductor device, method of fabricating the same, and pattern generating method
KR100730282B1 (ko) * 2006-01-23 2007-06-19 삼성전자주식회사 패턴 밀도 조절 방법
US20070210453A1 (en) * 2006-03-13 2007-09-13 Texas Instruments Inc. Dummy-fill-structure placement for improved device feature location and access for integrated circuit failure analysis
US20070215987A1 (en) * 2006-03-15 2007-09-20 Schwerin Ulrike G Method for forming a memory device and memory device
JP5258167B2 (ja) * 2006-03-27 2013-08-07 株式会社沖データ 半導体複合装置、ledヘッド、及び画像形成装置
JP4448834B2 (ja) * 2006-04-25 2010-04-14 セイコーエプソン株式会社 電気光学装置、及びこれを備えた電子機器
US8410571B2 (en) * 2006-07-12 2013-04-02 United Microelectronics Corp. Layout of dummy patterns
US7566647B2 (en) * 2006-07-12 2009-07-28 United Microelectronics Corp. Method of disposing and arranging dummy patterns
JP2008098373A (ja) * 2006-10-11 2008-04-24 Matsushita Electric Ind Co Ltd 固体撮像素子およびその製造方法
JP2008135496A (ja) * 2006-11-28 2008-06-12 Matsushita Electric Ind Co Ltd 半導体装置
JP4333733B2 (ja) * 2006-12-08 2009-09-16 セイコーエプソン株式会社 半導体装置のレイアウト設計方法及びこれを用いたレイアウト設計装置
JP5101876B2 (ja) * 2006-12-26 2012-12-19 セイコーインスツル株式会社 光電変換装置及びその製造方法並びにラインイメージセンサicの製造方法
CN101226934A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 制备dram结构中的测试键结构的方法及相应结构
DE102007004953A1 (de) * 2007-01-26 2008-07-31 Tesa Ag Heizelement
US7732299B2 (en) * 2007-02-12 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for wafer bonding
JP2008205165A (ja) * 2007-02-20 2008-09-04 Toshiba Corp 半導体集積回路装置
JP4961232B2 (ja) * 2007-03-19 2012-06-27 ラピスセミコンダクタ株式会社 半導体装置の製造方法
KR20080096215A (ko) * 2007-04-27 2008-10-30 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP5650878B2 (ja) 2007-06-20 2015-01-07 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. ダミーパターンの設計方法、露光マスク、半導体装置、半導体装置の製造方法およびダミーパターンの設計プログラム
US7823118B2 (en) * 2007-07-05 2010-10-26 United Microelectronics Corp. Computer readable medium having multiple instructions stored in a computer readable device
US8102027B2 (en) * 2007-08-21 2012-01-24 Broadcom Corporation IC package sacrificial structures for crack propagation confinement
JP5184003B2 (ja) * 2007-08-28 2013-04-17 川崎マイクロエレクトロニクス株式会社 半導体集積回路およびダミーパターンの配置方法
KR101361828B1 (ko) * 2007-09-03 2014-02-12 삼성전자주식회사 반도체 디바이스, 반도체 패키지, 스택 모듈, 카드, 시스템및 반도체 디바이스의 제조 방법
JP5291917B2 (ja) * 2007-11-09 2013-09-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
JP2009170807A (ja) * 2008-01-18 2009-07-30 Elpida Memory Inc ダミーゲートパターンを備える半導体装置
JP5259211B2 (ja) * 2008-02-14 2013-08-07 ルネサスエレクトロニクス株式会社 半導体装置
JP5103232B2 (ja) 2008-03-18 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置
JP5448584B2 (ja) * 2008-06-25 2014-03-19 株式会社半導体エネルギー研究所 半導体装置
JP5309728B2 (ja) * 2008-06-27 2013-10-09 富士通セミコンダクター株式会社 レチクルデータ作成方法及びレチクルデータ作成装置
US8138616B2 (en) * 2008-07-07 2012-03-20 Mediatek Inc. Bond pad structure
JP5356742B2 (ja) 2008-07-10 2013-12-04 ラピスセミコンダクタ株式会社 半導体装置、半導体装置の製造方法および半導体パッケージの製造方法
JP5586839B2 (ja) * 2008-10-30 2014-09-10 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
JP2009060143A (ja) * 2008-12-01 2009-03-19 Renesas Technology Corp 半導体装置
JP2010206094A (ja) * 2009-03-05 2010-09-16 Elpida Memory Inc 半導体装置及びその製造方法
JP5337234B2 (ja) 2009-03-09 2013-11-06 株式会社東芝 情報記録再生装置及びその製造方法
JP4987897B2 (ja) * 2009-03-23 2012-07-25 株式会社東芝 半導体装置
US20100270061A1 (en) * 2009-04-22 2010-10-28 Qualcomm Incorporated Floating Metal Elements in a Package Substrate
US8278733B2 (en) * 2009-08-25 2012-10-02 Mediatek Inc. Bonding pad structure and integrated circuit chip using such bonding pad structure
US8030776B2 (en) * 2009-10-07 2011-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with protective structure
JP2010010716A (ja) * 2009-10-13 2010-01-14 Renesas Technology Corp 半導体装置
JP2011119506A (ja) 2009-12-04 2011-06-16 Panasonic Corp 半導体装置
JP2011134893A (ja) * 2009-12-24 2011-07-07 Renesas Electronics Corp 半導体装置
JP5401301B2 (ja) * 2009-12-28 2014-01-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
US20110156260A1 (en) * 2009-12-28 2011-06-30 Yu-Hua Huang Pad structure and integrated circuit chip with such pad structure
US8963223B2 (en) * 2010-03-01 2015-02-24 Broadcom Corporation Scalable integrated MIM capacitor using gate metal
JP5503735B2 (ja) * 2010-03-30 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2010232669A (ja) * 2010-05-25 2010-10-14 Renesas Electronics Corp 半導体装置及び半導体製造方法
US8253217B2 (en) * 2010-06-16 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure in semiconductor devices
JP5704848B2 (ja) * 2010-06-30 2015-04-22 キヤノン株式会社 固体撮像装置およびカメラ
KR102084337B1 (ko) * 2011-05-24 2020-04-23 소니 주식회사 반도체 장치
KR20130005463A (ko) * 2011-07-06 2013-01-16 삼성전자주식회사 미세 패턴 형성 방법, 다마센 배선 형성 방법, 이를 이용하여 제조된 반도체 소자 및 반도체 메모리 장치
WO2013018280A1 (ja) * 2011-08-02 2013-02-07 パナソニック株式会社 固体撮像装置とその製造方法
JP5953974B2 (ja) * 2011-09-15 2016-07-20 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
KR101841199B1 (ko) * 2011-12-07 2018-03-23 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US8951842B2 (en) * 2012-01-12 2015-02-10 Micron Technology, Inc. Semiconductor growth substrates and associated systems and methods for die singulation
JP5981206B2 (ja) * 2012-04-20 2016-08-31 株式会社東芝 半導体装置の製造方法および半導体製造装置
US8907497B2 (en) * 2012-04-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned interconnects and blocking portions
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
JP5968711B2 (ja) * 2012-07-25 2016-08-10 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP5466280B2 (ja) * 2012-10-29 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置
US9343411B2 (en) * 2013-01-29 2016-05-17 Intel Corporation Techniques for enhancing fracture resistance of interconnects
JP6026919B2 (ja) * 2013-02-28 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
USD729808S1 (en) * 2013-03-13 2015-05-19 Nagrastar Llc Smart card interface
US8963332B2 (en) 2013-03-15 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor device with dummy lines
JP6214222B2 (ja) 2013-06-04 2017-10-18 ローム株式会社 半導体装置の製造方法
JP2015056605A (ja) * 2013-09-13 2015-03-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20150206794A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Removing Micro Scratches In Chemical Mechanical Polishing Processes
JP5759029B2 (ja) * 2014-01-23 2015-08-05 ルネサスエレクトロニクス株式会社 半導体装置
CN104979200B (zh) * 2014-04-03 2018-04-27 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9252179B2 (en) * 2014-06-13 2016-02-02 Visera Technologies Company Limited Image sensor structures
JP6471426B2 (ja) * 2014-08-08 2019-02-20 株式会社ニコン 基板
US9349818B2 (en) * 2014-10-21 2016-05-24 United Microelectronics Corp. Metal-oxide-semiconductor transistor device having a drain side dummy contact
USD780763S1 (en) * 2015-03-20 2017-03-07 Nagrastar Llc Smart card interface
KR101727260B1 (ko) * 2015-04-14 2017-04-17 연세대학교 산학협력단 산화물 박막 리페어 방법 및 산화물 박막 소자
US9466723B1 (en) * 2015-06-26 2016-10-11 Globalfoundries Inc. Liner and cap layer for placeholder source/drain contact structure planarization and replacement
CN106096087B (zh) * 2016-05-31 2019-08-13 上海华虹宏力半导体制造有限公司 占领图形填充方法
US10403572B2 (en) * 2016-11-02 2019-09-03 Samsung Electronics Co., Ltd. Semiconductor device and semiconductor package including the same
KR102406716B1 (ko) * 2016-12-02 2022-06-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법
TWI740997B (zh) * 2017-08-03 2021-10-01 聯華電子股份有限公司 半導體結構
CN109411465B (zh) 2017-08-17 2022-04-15 联华电子股份有限公司 半导体结构及虚拟图案布局的设计方法
US11101140B2 (en) 2017-11-10 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10566300B2 (en) 2018-01-22 2020-02-18 Globalfoundries Inc. Bond pads with surrounding fill lines
JP7032159B2 (ja) * 2018-02-05 2022-03-08 エイブリック株式会社 半導体装置の製造方法および半導体装置
JP7353121B2 (ja) 2019-10-08 2023-09-29 キヤノン株式会社 半導体装置および機器
US11728229B2 (en) 2021-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy patterns in redundant region of double seal ring

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55135837A (en) 1979-04-12 1980-10-23 Fujitsu Ltd Manufacture of photomask
JPS5848936A (ja) * 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
JPS59134825A (ja) 1983-01-21 1984-08-02 Hitachi Ltd 半導体装置およびそのための半導体ウエ−ハ
JPS62277745A (ja) * 1986-05-27 1987-12-02 Toshiba Corp 半導体集積回路
JPH01149435A (ja) 1987-12-04 1989-06-12 Seiko Instr & Electron Ltd 半導体装置の製造方法
JPH01260818A (ja) 1988-04-12 1989-10-18 Mitsubishi Electric Corp アライメントマークの付設構造
US4916514A (en) 1988-05-31 1990-04-10 Unisys Corporation Integrated circuit employing dummy conductors for planarity
JPH0230117A (ja) 1988-07-19 1990-01-31 Seiko Epson Corp 半導体装置
JPH02138757A (ja) 1988-08-31 1990-05-28 Sharp Corp 半導体装置の製造方法
US4916087A (en) * 1988-08-31 1990-04-10 Sharp Kabushiki Kaisha Method of manufacturing a semiconductor device by filling and planarizing narrow and wide trenches
US5010039A (en) * 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
JP2919488B2 (ja) 1989-07-05 1999-07-12 株式会社日立製作所 半導体集積回路装置
JP2504575B2 (ja) 1989-08-10 1996-06-05 ローレルバンクマシン株式会社 紙幣入出金機におけるスタッカ機構
JPH0371630A (ja) 1989-08-10 1991-03-27 Fujitsu Ltd 半導体装置の製造方法
JPH04217328A (ja) * 1990-12-18 1992-08-07 Sony Corp 半導体装置
JP3027864B2 (ja) 1991-04-02 2000-04-04 富士電機株式会社 半導体装置の製造方法
JP2802455B2 (ja) * 1991-05-10 1998-09-24 三菱電機株式会社 半導体装置およびその製造方法
KR940009350B1 (ko) 1991-10-18 1994-10-07 삼성전자주식회사 반도체장치의 제조방법
EP0545263B1 (en) * 1991-11-29 2002-06-19 Sony Corporation Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
JP2874486B2 (ja) 1991-11-29 1999-03-24 ソニー株式会社 ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法
JPH06125013A (ja) * 1992-03-14 1994-05-06 Toshiba Corp 半導体装置及びその製造方法
KR970011056B1 (ko) 1992-03-14 1997-07-05 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
JPH05267460A (ja) 1992-03-19 1993-10-15 Fujitsu Ltd 配線層に対する平坦化パターンの発生方法
JP2827675B2 (ja) 1992-03-26 1998-11-25 日本電気株式会社 半導体記憶装置
US5302551A (en) * 1992-05-11 1994-04-12 National Semiconductor Corporation Method for planarizing the surface of an integrated circuit over a metal interconnect layer
US5445996A (en) * 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
US5265378A (en) * 1992-07-10 1993-11-30 Lsi Logic Corporation Detecting the endpoint of chem-mech polishing and resulting semiconductor device
JPH0669201A (ja) 1992-08-21 1994-03-11 Fujitsu Ltd 半導体装置及びその製造方法
US5441915A (en) * 1992-09-01 1995-08-15 Taiwan Semiconductor Manufacturing Company Ltd. Process of fabrication planarized metallurgy structure for a semiconductor device
US5292689A (en) 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
KR950007174B1 (ko) 1992-10-22 1995-07-03 쌍용양회공업주식회사 시계 케이스용 경질 합금의 제조방법
JPH06151768A (ja) 1992-11-02 1994-05-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2872518B2 (ja) 1993-02-02 1999-03-17 日立テクノエンジニアリング株式会社 画像認識位置合わせ装置
JPH06326106A (ja) * 1993-03-18 1994-11-25 Sony Corp ダミーパターンの形成方法
JP2555947B2 (ja) 1993-08-31 1996-11-20 日本電気株式会社 半導体装置及びその製造方法
JP2862465B2 (ja) 1993-09-22 1999-03-03 株式会社ノリタケカンパニーリミテド トナー定着用加熱ローラ
US5498566A (en) * 1993-11-15 1996-03-12 Lg Semicon Co., Ltd. Isolation region structure of semiconductor device and method for fabricating the same
DE4419270A1 (de) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Verfahren zur Herstellung von Alkyl- oder Aryldichlorsilanen
KR0134560B1 (ko) 1994-06-30 1998-04-20 하기주 폴리아미드 필름 제조방법
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3152859B2 (ja) 1994-09-16 2001-04-03 株式会社東芝 半導体装置の製造方法
TW299458B (ja) 1994-11-10 1997-03-01 Intel Corp
JPH08288295A (ja) * 1995-04-18 1996-11-01 Sony Corp 半導体装置の製造方法
US5710460A (en) * 1995-04-21 1998-01-20 International Business Machines Corporation Structure for reducing microelectronic short circuits using spin-on glass as part of the interlayer dielectric
JPH08314762A (ja) 1995-05-18 1996-11-29 Fukumori Shunichiro コンピュータプログラム稼動監視装置
KR0151267B1 (ko) 1995-05-23 1998-12-01 문정환 반도체장치의 제조방법
JPH0923844A (ja) 1995-07-11 1997-01-28 Asahi Denka Kogyo Kk 無酢酸風味の酸性水中油型乳化食品及びその製造方法
KR0151987B1 (ko) 1995-08-02 1998-10-15 김종진 활성탄소섬유의 제조방법
JP3604482B2 (ja) * 1995-12-25 2004-12-22 松下電器産業株式会社 半導体装置および半導体装置の製造方法
KR100359414B1 (ko) * 1996-01-25 2003-01-24 동경 엘렉트론 디바이스 주식회사 데이타독출/기록방법및그를이용한메모리제어장치및시스템
TW388912B (en) * 1996-04-22 2000-05-01 Toshiba Corp Semiconductor device and method of manufacturing the same
JP3346985B2 (ja) * 1996-06-20 2002-11-18 東芝マイクロエレクトロニクス株式会社 半導体装置
US5885856A (en) 1996-08-21 1999-03-23 Motorola, Inc. Integrated circuit having a dummy structure and method of making
US6130139A (en) 1996-11-26 2000-10-10 Matsushita Electric Industrial Co., Ltd. Method of manufacturing trench-isolated semiconductor device
JP3638778B2 (ja) * 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6103592A (en) 1997-05-01 2000-08-15 International Business Machines Corp. Manufacturing self-aligned polysilicon fet devices isolated with maskless shallow trench isolation and gate conductor fill technology with active devices and dummy doped regions formed in mesas
US6087733A (en) 1998-06-12 2000-07-11 Intel Corporation Sacrificial erosion control features for chemical-mechanical polishing process
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
TW428243B (en) * 1999-01-22 2001-04-01 United Microelectronics Corp Method for enhancing the planarization of the die region and scribe line by using dummy pattern
US6361234B1 (en) * 2000-03-08 2002-03-26 Bic Corporation Pressurized writing instrument employing a compressible piston member
JP2003045876A (ja) * 2001-08-01 2003-02-14 Seiko Epson Corp 半導体装置
JP4445189B2 (ja) * 2002-08-29 2010-04-07 株式会社ルネサステクノロジ 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20050026405A1 (en) 2005-02-03
US8420527B2 (en) 2013-04-16
US7678684B2 (en) 2010-03-16
US7474003B2 (en) 2009-01-06
US20050040537A1 (en) 2005-02-24
US7199432B2 (en) 2007-04-03
US20070222001A1 (en) 2007-09-27
US6664642B2 (en) 2003-12-16
US20080017990A1 (en) 2008-01-24
US20080173973A1 (en) 2008-07-24
TW415046B (en) 2000-12-11
KR100587250B1 (ko) 2006-06-08
US7163870B2 (en) 2007-01-16
US20100096732A1 (en) 2010-04-22
KR100561983B1 (ko) 2006-05-25
US8022550B2 (en) 2011-09-20
US7187039B2 (en) 2007-03-06
US7274074B2 (en) 2007-09-25
US7250682B2 (en) 2007-07-31
JPH10335333A (ja) 1998-12-18
US6261883B1 (en) 2001-07-17
US6433438B2 (en) 2002-08-13
US20010022399A1 (en) 2001-09-20
US20080036091A1 (en) 2008-02-14
US20020074611A1 (en) 2002-06-20
US7626267B2 (en) 2009-12-01
KR19980080683A (ko) 1998-11-25
US20050040538A1 (en) 2005-02-24
US20110287595A1 (en) 2011-11-24
KR100605427B1 (ko) 2006-07-28
US7554202B2 (en) 2009-06-30
US20040012093A1 (en) 2004-01-22
US20050179110A1 (en) 2005-08-18

Similar Documents

Publication Publication Date Title
JP3638778B2 (ja) 半導体集積回路装置およびその製造方法
KR100545865B1 (ko) 반도체 장치 및 그 제조 방법
JP2006128709A (ja) 半導体集積回路装置およびその製造方法
JP3902507B2 (ja) 半導体素子のリペアヒューズ開口方法
JP5600280B2 (ja) 半導体集積回路装置
JP2004048025A (ja) 半導体集積回路装置
JP2790084B2 (ja) 半導体装置の製造方法
JP2004128484A (ja) 半導体集積回路装置およびその製造方法
KR100390838B1 (ko) 반도체 소자의 랜딩 플러그 콘택 형성방법
JPH08306878A (ja) 半導体装置の製造方法
KR20060037015A (ko) 반도체 메모리 소자 및 그 제조 방법
KR20040015437A (ko) 하드 마스크를 이용한 반도체 소자의 제조 방법
KR980012034A (ko) 반도체장치의 제조방법
JPH0613568A (ja) 半導体集積回路装置とその製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041221

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050112

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080121

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090121

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090121

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100121

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110121

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110121

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110121

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110121

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120121

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130121

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130121

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees