KR100546330B1 - 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법 - Google Patents

측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법 Download PDF

Info

Publication number
KR100546330B1
KR100546330B1 KR1020030035603A KR20030035603A KR100546330B1 KR 100546330 B1 KR100546330 B1 KR 100546330B1 KR 1020030035603 A KR1020030035603 A KR 1020030035603A KR 20030035603 A KR20030035603 A KR 20030035603A KR 100546330 B1 KR100546330 B1 KR 100546330B1
Authority
KR
South Korea
Prior art keywords
pattern
material layer
measurement
measuring
sectional area
Prior art date
Application number
KR1020030035603A
Other languages
English (en)
Other versions
KR20040105005A (ko
Inventor
박상욱
유재민
권철순
김진우
박재현
김용희
이돈우
김대근
김주찬
김국민
류의열
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030035603A priority Critical patent/KR100546330B1/ko
Priority to DE102004028425.3A priority patent/DE102004028425B4/de
Priority to JP2004164999A priority patent/JP4901076B2/ja
Priority to US10/858,926 priority patent/US6924505B2/en
Priority to CNB2004100552164A priority patent/CN100416821C/zh
Publication of KR20040105005A publication Critical patent/KR20040105005A/ko
Priority to US11/157,534 priority patent/US7195933B2/en
Application granted granted Critical
Publication of KR100546330B1 publication Critical patent/KR100546330B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

측정용 패턴을 개선하여 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을 구비하는 반도체장치 및 이를 이용한 반도체장치의 측정방법이 개시된다. 본 발명에 따른 측정용 패턴을 구비하는 반도체장치는, 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판; 상기 스크라이브영역 내의 상기 반도체기판의 표면에 빈 공간의 형태로 형성되며, 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴; 및 상기 측정용 패턴의 내부에, 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소, 예를 들어 빔영역의 표면 단면적 대비 더미 패턴의 표면 단면적의 비율이 5% 내지 15%가 될 수 있도록 더미 패턴을 포함한다.

Description

측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을 구비하는 반도체장치 및 측정용 패턴을 이용한 반도체장치의 측정방법{Semiconductor device having measuring pattern to improve measuring reliability and Method of measuring semiconductor device using the measuring pattern}
도 1은 칩영역과 측정용 패턴의 위치관계를 나타내는 개략도이다.
도 2는 종래의 측정용 패턴과 측정용 빔영역의 위치관계를 나타내는 개략도이다.
도 3은 종래의 측정용 패턴의 문제점을 도식적으로 나타낸 단면도이다.
도 4는 본 발명의 실시예에 따른 측정용 패턴과 측정용 빔영역의 위치관계를 나타내는 개략도이다.
도 5는 본 발명의 실시예에 따른 측정용 패턴을 도시한 단면도이다.
도 6a는 기준 산화막의 파장에 따른 tanΨ 스펙트럼을 나타내는 그래프이다.
도 6b는 본 발명의 일 실시예에 대하여 적용한 파장에 따른 tanΨ 스펙트럼을 나타내는 그래프이다.
도 7a는 기준 산화막의 파장에 따른 cos△ 스펙트럼을 나타내는 그래프이다.
도 7b는 본 발명의 일 실시예에 대하여 적용한 파장에 따른 cos△ 스펙트럼을 나타내는 그래프이다.
도 8은 본 발명의 실시예에 따라 더미 패턴의 비율에 따른 디싱값과 GOF의 측정 그래프이다.
※ 도면의 주요 부분에 대한 부호의 간단한 설명
10 ; 칩영역 20 ; 스크라이브영역
22 ; 제1 측정용 패턴 24 ; 빔영역
30 ; 제1 물질층 32 ; 제2 물질층
40 ; 제3 물질층 42 ; 제2 측정용 패턴
44 ; 제4 물질층 46 ; 더미 패턴
26 ; 집적회로 패턴
본 발명은 측정용 패턴을 구비하는 반도체장치 및 측정용 패턴을 이용한 반도체장치의 측정방법에 관한 것으로, 보다 상세하게는 반도체장치에 대한 측정 포인트가 되는 측정용 패턴을 신뢰성 있게 형성한 반도체장치 및 이 측정용 패턴을 이용한 반도체장치의 측정방법에 관한 것이다.
반도체 집적회로의 제조과정은 반도체 기판상에 도전층 및 절연층을 설계된 순서에 따라 다층으로 증착 및 식각하여 원하는 기능을 갖는 반도체 집적회로를 형성하는 과정이다. 이때 반도체 집적회로의 제조 과정중에 적층되는 각 층의 두께를 모니터링하는 것은 반도체소자의 특성을 예측하거나 후속되는 이온주입공정시의 이 온주입에너지 또는 식각 타겟 등을 결정하는 데 있어서 매우 중요하다.
미합중국 특허 제6,218,847 B1호에서는 도전성을 갖는 배선 패턴상에 형성된 절연층의 두께를 측정하는 방법에 관하여 광학 측정장치를 이용하는 방법 및 커패시턴스 측정장치를 이용하는 방법에 대하여 기술하고 있다. 일반적으로 배선 패턴의 밀도에 따라 그 위에 형성되는 절연층의 두께가 달라진다. 또한 배선 패턴의 폭이 작은 경우에는 배선 패턴의 상부 표면적이 좁아지게 되기 때문에 측정 에러가 발생하여 정확한 측정이 불가능하다. 상기 특허는 배선 패턴의 전기적 저항과 절연층의 두께와의 상관관계를 이용하여 저밀도 배선 패턴의 영역에서도 신뢰성 있게 배선 패턴상에 형성되는 절연층의 두께를 측정하는 기술을 개시하고 있다.
그러나 반도체 집적회로의 제작과정에서는 반도체 집적회로가 형성되는 칩영역을 둘러싸는 스크라이브영역내에 별도의 측정 영역을 설정하여, 이곳에서 반도체 집적회로의 각 층에 대한 광학적 측정을 대신 수행하여 왔다.
도 1은 반도체기판내에서 칩영역과 스크라이브영역 및 일반적인 측정용 패턴의 위치관계를 나타내는 개략도이다. 도 1을 참조하면, 반도체 집적회로가 형성되는 칩영역(10)이 반도체 웨이퍼 상에 예를 들어, 매트릭스상으로 가로 및 세로 방향으로 복수개가 형성된다. 이들 칩영역(10) 사이를 스크라이브영역(20)이라 불리며, 각 칩영역(10)에서 반도체 집적회로의 제작공정이 완료되면, 스크라이브영역(20)을 따라 각 칩영역(10)이 절단되어 단위 칩으로 분리되며, 각 단위칩은 후속되는 패키징 공정에 의해 패키지화된다.
참조번호 "22"는 스크라이브영역(20) 내의 측정 영역에 형성된 제1 측정용 패턴을 가리킨다. 상기 제1 측정용 패턴(22)은 칩영역(10)에 형성되는 반도체 집적회로의 특정 회로 패턴을 형성하는 단계에서 동시에 형성되며, 상기 회로 패턴이 형성되는 칩영역(10)의 특정 물질층에 대한 광학적 측정을 상기 칩영역(10)의 회로 패턴에 대하여 직접 수행하지 않고 상기 회로 패턴과 동시에 형성되는 상기 제1 측정용 패턴(22)에 대하여 대신 수행한다.
도 2는 종래의 측정용 패턴과 측정용 빔영역의 위치관계를 나타내는 개략도로서, 도 1의 제1 측정용 패턴(22) 부분을 확대한 도면이다.
도 2를 참조하면, 제1 특정용 패턴(22)의 내부에 계측설비에서 발생되는 측정용 빔이 반사되는 영역을 표시한 빔영역(24)이 위치한다. 상기 계측설비는 광을 피측정물질층인 타겟에 투사하여 피측정물질층의 두께를 측정할 수 있는 설비로서, 예를 들어 스펙트로미터 또는 엘립소미터등이 있으며, 이들 계측설비에서 피측정물질층에 투사되는 빔영역(24)의 사이즈는 40㎛ x 40㎛ 정도가 된다. 한편 상기 제1 측정용 패턴(22)의 크기는 80 내지 100 ㎛ x 80 내지 100㎛ 정도가 된다.
도 3은 도 2의 종래의 측정용 패턴의 문제점을 도식적으로 나타내기 위해 일 방향으로 절단한 단면도이다. 도 3을 참조하면, 반도체 집적회로가 형성되는 칩영역(10)과 칩영역(10)을 둘러싸는 스크라이브영역(20)의 단면을 인접되도록 도시하였다. 칩영역(10)과 스크라이브영역(20)에는 단결정 실리콘기판 또는 반도체 집적회로의 제조과정의 특정 단계에서 형성되는 절연물질층 또는 도전층 중의 어느 하나로 구성되는 제1 물질층(30)에 대하여 각기 집적회로 패턴(26) 및 제1 측정용 패턴(22)이 형성되어 있다.
칩영역(10) 내에 일정한 간격의 트랜치 형상으로 형성된 집적회로 패턴(26)은 반도체 집적회로의 집적도가 증가하면서 매우 밀집되어 있는 반면에, 스크라이브영역(20) 내에 단일의 트랜치 형상으로 형성된 제1 측정용 패턴(22)은 계측설비의 오정렬에 의한 측정오류를 방지하기 위하여 빔영역(도2의 24)의 크기 보다 크게 형성되어 있다.
이어서, 집적회로 패턴(26) 및 제1 측정용 패턴(22)이 형성된 제1 물질층(30)의 전면에 제2 물질층(32)을 증착한 후, 예를 들어 화학기계적 연마(Chemical Mechanical Polishing; CMP) 공정에 의해 제1 물질층(30)의 표면이 노출되도록 제2 물질층(32)의 일부를 제거한다. 따라서 제1 물질층(30)으로 이루어진 트랜치 형상의 집적회로 패턴(26) 및 제1 측정용 패턴(22)의 내부에는 제2 물질층(32)이 잔류하게 된다.
그러나 반도체 집적회로를 위한 증착 공정이나 식각 공정 등은 기판상에 형성되는 패턴의 밀도에 따라 차이가 난다. 특히 최근의 CMP 공정을 사용하는 공정에서는 특히 패턴의 크기에 따라 제거속도가 다르다. 실제로 칩영역(10)내의 집적회로 패턴(26)내에 형성된 제2 물질층(32)의 두께(H1)와 스크라이브영역(20)내의 제1 측정용 패턴(22)내에 형성되는 제2 물질층(32)의 두께(H2) 사이에는 차이가 발생한다. 이는 패턴의 밀도가 큰 칩영역(10)내의 집적회로 패턴(26)내에 형성되는 제2 물질층(32)은 거의 디싱 현상이 발생되지 않는 반면에 패턴의 크기가 상대적으로 큰 제1 측정용 패턴(22)의 제2 물질층(32)에서는 CMP 공정 동안에 디싱(dishing) 현상이 많이 발생하기 때문이다. 즉 실제 칩영역(10)에서의 제2 물질층(32)의 두께 가 'H1'임에도 불구하고 측정영역인 스크라이브영역(20)에서듸 제2 물질층(32)의 두께가 'H2'로 측정되어 측정의 신뢰도가 매우 저하된다.
따라서, 칩영역(10)내의 집적회로 패턴(26)내에 매립되는 제2 물질층(32)의 두께를 측정하기 위해서는 상기 집적회로 패턴(26)과 동시에 형성되는 제1 측정용 패턴(22)내에 매립된 제2 물질층(32)의 두께를 측정하여 왔으나, 상기와 같은 디싱 현상등으로 인하여 TEM 또는 VSEM 등을 사용하여 실제 칩영역(10)내의 집적회로 패턴(26)에 형성되는 제2 물질층(32)의 두께를 확인하여 제1 측정용 패턴(22)내에 형성되는 제2 물질층(32)에 대한 측정값에 적정한 보상값을 적용하여 추정하여 왔다.
그러나, 상기와 같이 집적회로 패턴(26) 보다 넓은 제1 측정용 패턴(22)내에 형성되는 제2 물질층(32)은 로트(Lot)에 따라 또는 동일 로트에서도 웨이퍼에 따라 두께가 달라지므로 TEM 또는 VSEM 등으로 한두 포인트를 촬영한 사진으로 로트 전체의 보상값을 ??기는 매우 곤란하다.
또한, 실제로 칩영역(10)내에 형성되는 피측정물질층인 제2 물질층(32)의 두께를 알기 위해 TEM 및 VSEM 촬영으로 인한 웨이퍼의 손실 및 인적, 물적 자원의 손실이 크며, TEM 촬영의 결과가 나오기까지 상당한 시간이 소요되므로 그 결과를 후속 공정에 피드백하기 위해서는 상당한 기일이 경과한 후에 적용해야만 된다는 단점이 있다.
본 발명의 목적은 상기의 문제점들을 해결하기 위한 것으로서, 측정용 패턴을 개선하여 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을 구비하는 반도체장 치를 제공하는 데 있다.
본 발명의 다른 목적은 측정의 신뢰도를 향상시킬 수 있도록 상기 본 발명의 측정용 패턴을 이용한 반도체장치의 측정방법을 제공하는 데 있다.
상기 본 발명의 목적을 달성하기 위한 본 발명의 제1 형태에 따른 측정용 패턴을 구비하는 반도체장치는, 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판; 상기 스크라이브영역 내의 상기 반도체기판의 표면에 빈 공간의 형태로 형성되며, 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴; 및 상기 측정용 패턴의 내부에, 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소시킬 수 있도록 더미 패턴을 포함한다.
상기 측정용 패턴의 전체 표면 단면적은 상기 계측설비에서 발생되는 측정용 빔에 의한 빔영역의 표면 단면적의 적어도 4배 이상인 것이 바람직하며, 상기 측정용 패턴내에서 상기 빔영역이 형성되는 위치에 관계없이 상기 빔영역내에 적어도 상기 더미 패턴의 일부, 보다 바람직하게는 상기 빔영역의 표면 단면적내에서 상기 더미 패턴이 차지하는 표면 단면적이 5% 내지 15% 범위내가 되도록한다.
한편, 상기 더미 패턴은 상기 측정용 패턴의 내부에서 일정한 방향으로 스트라이프 형태로 배치되어 있는 것, 섬 모양으로 배치되어 있는 것, 메시 모향으로 배치되어 있는 것 등의 다양한 형상으로 형성될 수 있다.
한편, 상기 본 발명의 목적을 달성하기 위한 본 발명의 제2 형태에 따른 측 정용 패턴을 구비하는 반도체장치는, 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판; 상기 반도체기판상에 형성된 제1 물질층; 상기 스크라이브영역 내의 상기 제1 물질층의 표면에 빈 공간의 형태로 형성되며, 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴; 및 상기 측정용 패턴의 내부에, 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소시킬 수 있도록 더미 패턴을 포함한다.
상기 제1 물질층과 상기 제2 물질층은 서로 다른 광학적 특성을 갖는 것, 예를 들어 상기 제1 물질층은 도전물질층이며, 상기 제2 물질층은 절연물질층이거나, 상기 제1 물질층 및 상기 제2 물질층은 서로 다른 절연물질층일 수 있다.
한편, 상기 본 발명의 다른 목적을 달성하기 위한 본 발명의 제1 형태에 따른 측정용 패턴을 구비한 반도체장치의 측정방법은, 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판을 준비하는 단계; 상기 반도체기판의 표면 일부를 식각하여 상기 칩영역에 반도체 집적회로 패턴을 형성하는 동시에, 상기 스크라이브영역 내의 상기 반도체기판의 표면에 빈 공간의 형태로 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴 및 상기 측정용 패턴의 내부에 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소시킬 수 있도록 더미 패턴을 형성하는 단계; 상기 반도체 집적회로 패턴, 측정용 패턴 및 더미 패턴이 형성된 반도체기판의 전면에 제2 물질층을 형성하는 단계; 상기 제2 물질층을 소정의 두께 만큼 식각하는 단계; 및상기 측정용 패턴내에 형성된 상기 제2 물질층을 측정 포인트로 하여 상기 제2 물질층의 특성에 대한 측정을 수행하는 단계를 포함한다.
또한, 상기 본 발명의 다른 목적을 달성하기 위한 본 발명의 제2 형태에 따른 측정용 패턴을 구비한 반도체장치의 측정방법은, 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판을 준비하는 단계; 상기 반도체기판 상에 제1 물질층을 형성하는 단계; 상기 제1 물질층의 표면 일부를 식각하여 상기 칩영역에 반도체 집적회로 패턴을 형성하는 동시에, 상기 스크라이브영역 내의 상기 제1 물질층의 표면에 빈 공간의 형태로 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴 및 상기 측정용 패턴의 내부에 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소시킬 수 있도록 더미 패턴을 형성하는 단계; 상기 반도체 집적회로 패턴, 측정용 패턴 및 더미 패턴이 형성된 반도체기판의 전면에 제2 물질층을 형성하는 단계; 상기 제2 물질층을 소정의 두께 만큼 식각하는 단계; 및 상기 측정용 패턴내에 형성된 상기 제2 물질층을 측정 포인트로 하여 상기 제2 물질층의 특성에 대한 측정을 수행하는 단계를 포함한다.
상기 제1 형태 및 제2 형태에서, 상기 더미 패턴을 형성하는 단계에서, 상기 측정용 패턴내에서 상기 빔영역이 형성되는 위치에 관계없이 상기 빔영역내에 적어도 상기 더미 패턴의 일부, 바람직하게는 상 빔영역의 표면 단면적내에서 상기 더미 패턴이 차지하는 표면 단면적이 5% 내지 15% 범위내가 되도록 한다.
바람직하게는 상기 제2 물질층에 대한 측정은 상기 제2 물질층의 두께를 측정하는 것이거나, 상기 제2 물질층의 면저항 또는 굴절율을 측정하는 것일 수 있 다.
본 발명에 따르면, 측정용 패턴내에 투사되는 빔영역내에서 일정한 비율 범위내로 노출되는 더미 패턴을 형성해줌으로써 측정용 패턴의 디싱 현상을 방지하여 신뢰성있는 측정을 할 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 상세하게 설명한다. 그러나, 본 발명은 많은 상이한 형태로 구현될 수 있으며, 여기서 설명되는 실시예들에 한정되는 것으로 해석되서는 아니되며, 차라리 이러한 실시예들은 그 개시내용을 완벽히 하며 발명의 사상을 당업자에게 충분히 전달하기 위해 제공되는 것이다. 도면들에서, 층들 및 영역들의 두께는 명료성을 위해 과장되어 있다. 동일한 참조번호는 전체적으로 동일한 요소를 지칭한다. 층, 영역 또는 기판과 같은 요소가 다른 요소 "상(on)"에 있는 것으로 언급될 때, 이것은 다른 요소 위에 직접 있거나 중간요소가 개입될 수도 있다. 반대로, 어떤 요소가 다른 요소 "직접 상(directly on)"에 있는 것으로 언급될 때, 그 곳에는 중간요소가 존재하지 않는 것을 의미한다.
도 4는 본 발명의 실시예에 따른 측정용 패턴과 측정용 빔영역의 위치관계를 나타내는 개략도이며, 도 5는 본 발명의 실시예에 따른 측정용 패턴을 도시한 단면도이다.
도 4 및 도 5를 참조하면, 도 1에 도시한 제1 측정용 패턴(22)과 유사하게 스크라이브영역(20)내에 제3 물질층(40)내에 사각형 모양 트랜치 형태로 이루어진 제2 측정용 패턴(42)이 형성되어 있다. 도 2에서 도시된 제1 측정용 패턴(22)과 달 리 제2 측정용 패턴(42)의 내부에는 스트라이프 형태로 일정한 간격으로 배치된 복수개의 더미 패턴(46)이 더 형성되어 있다.
상기 제3 물질층(40)은 단결정 실리콘 기판 자체일 수 있으며, 단결정 실리콘 웨이퍼상에 반도체 집적회로를 제조하기 위한 특정 공정 단계에서 형성된 산화물 또는 질화물등의 절연물질층 또는 금속이나 폴리실리콘등의 도전층일 수 있다.
상기 더미 패턴(46)은 칩영역내에 형성되는 반도체 집적회로를 형성하는 단계와 동일한 단계에서 소정의 사진식각 공정에 의해 형성된다. 상기 더미 패턴(46)이 형성된 기판의 전면에 상기 제3 물질층(40)과 광학적 특성이 다른 예를 들어 실리콘산화물로 된 제4 물질층(44)을 증착한 후, 전면 식각에 의해 상기 제3 물질층(40)의 표면이 노출되도록 한다. 상기 전면 식각 공정은 본 실시예에서 화학기계적 연마 공정에 의해 수행한다. 도 5에서 도시한 바와 같이 본 발명에 따르면 제2 측정용 패턴(42)에 더미 패턴(46)이 포함됨으로써 도 3에서와 같은 제2 물질층(32)의 디싱 현상이 발생되지 않음을 알 수 있다.
도 4에서 보여지는 제2 측정용 패턴(42)의 표면 단면적의 크기는 계측설비에서 발생되는 빔이 반사되는 빔영역(24)이 계측설비의 오정렬에 의해서도 측정 오류가 발생되지 않도록 충분한 마진을 갖는 크기로 형성한다. 예들 들어, 칩영역에 형성되는 반도체 집적회로의 집적도가 증가하여 디자인룰이 감소하더라도 상기 제2 측정용 패턴(42)의 표면 단면적은 계측설비의 측정 한계인 상기 빔영역(24)의 표면 단면적의 수배 이상, 예를 들어 4배 이상이 되도록 한다. 본 실시예에서는 계측설비의 상기 빔영역(24)의 표면 단면적의 크기가 40㎛ x 40㎛이며, 상기 제2 측정용 패턴(42)의 표면 단면적의 크기가 80 내지 100㎛ x 80 내지 100㎛로 형성하였다. 이는 면적대비 4 내지 6.25 배에 해당한다.
한편, 도 4에서 빔영역(24)은 계측설비의 빔이 반사되는 영역으로서, 계측설비의 빔영역(24)내에 피측정물질층인 제4 물질층(44)외에도 더미 패턴(46)이 동시에 노출되므로 실제로 측정되는 측정값은 제4 물질층(44)의 표면으로부터의 측정값 이외에도 상기 빔영역(24)내에 포함되는 더미 패턴(46)의 표면으로부터의 측정값이 더하여진 값이 된다. 본 실시예에서 사용한 두께 측정설비는 스펙트로미터로서 입사빔에 대한 반사빔의 인텐시티 비율로 피측정물질층의 두께를 측정한다. 더미 패턴(46)의 표면으로부터 반사되는 비율을 무시할 수 있을 정도로 빔영역(24)의 전체 표면 단면적에서 상기 더미 패턴(46)이 차지하는 표면 단면적 부분을 일정한 비율 이하로 유지하면 신뢰성 있는 데이터를 얻을 수 있다.
빔영역(24)내에서 더미 패턴(46)이 차지하는 표면 단면적의 비율에 따라 제4 물질층(44)의 두께를 측정한 결과를 아래의 표1에 나타내었다.
샘플 번호 두께측정값(Å) 패턴크기(AxB)(㎛) GOF 더미패턴 비율(%)
1 4457 3 x 0.4 가로패턴 0.7 11.25
2 4412 3 x 0.4 세로패턴 0.7 11.25
3 4402 5 x 0.4 가로패턴 0.9 6.80
4 4361 5 x 0.4 세로패턴 0.9 6.80
5 측정불가 1 x 0.4 가로패턴 0.3 27.50
6 측정불가 1 x 0.4 세로패턴 0.3 27.50
칩영역내에서 실제로 측정된 제4 물질층의 두께는 4400Å 정도로 측정되었으며, 도 2에서 보여지는 바와 같이 내부에 더미 패턴이 없는(즉, 더미패턴의 비율이 0 %) 종래의 제1 측정용 패턴(22)에 대해서는 3000 내지 3500Å 정도로 측정된 반면에 표 1에서 보여지는 바와 같이, 더미 패턴의 비율이 6.80% 근처 및 11.25% 근처에서는 4400Å에 근접하는 측정값을 나타내었다. 더미 패턴의 비율이 27.50% 근처에서는 더미 패턴의 표면으로부터 반사되는 광의 영향으로 계측설비의 측정가능한 범위를 넘어 측정이 불가하였다.
표1에서 두께측정값은 제2 측정용 패턴(42)내의 빔영역(24)에서 측정된 측정값이며, 패턴크기(AxB)는 더미 패턴(46) 사이의 제4 물질층(44)의 폭(단위:㎛)을 나타내는 "A"와 더미 패턴(46)의 폭(단위:㎛)을 나타내는 "B"의 곱으로 표현하였으며, 패턴크기에서 가로 또는 세로는 스트라이프 형태의 더미 패턴(46)이 도 4에서와 같이 가로 방향으로 형성된 경우에는 "가로"로 표현하였으며 세로 방향으로 형성된 경우에는 "세로"로 표현하였다. GOF(Goodness Of Fit)는 측정된 데이터의 신뢰수준을 판단할 수 있는 파라미터를 나타내는 것으로서, 측정하려는 피측정물질층의 파장에 대한 스펙트럼을 분석하여 0에서 1까지의 값으로 나타내며, GOF의 값이 1에 근접할수록 피측정물질층과 유사하게 되어 데이터의 신뢰성이 높아진다. 일반적으로 GOF의 값이 0.6 이하인 경우에는 측정값을 신뢰할 수 없는 경우로 판단한다. 더미패턴 비율은 빔영역(24)의 전체 표면 단면적에서 차지하는 더미 패턴(46)의 표면 단면적의 비율을 나타낸다.
도 8은 본 발명의 실시예에 따라 측정된, 더미패턴의 비율에 따른 GOF값 및 디싱값을 표시한 그래프이다. 도 8의 가로축은 더미패턴의 비율을 나타낸 것이며, 우측 세로축은 GOF값을 나타내며, 좌측 세로축은 디싱값을 각기 나타낸다. 디싱값 은 칩영역내에서 실제로 측정된 두께와 빔영역(24)에서 측정된 두께와의 차이를 나타낸다. 이러한 디싱값이 200Å 이상인 경우에는 종래의 측정용 패턴내에 더미 패턴이 없는 경우와 거의 동일한 정도로 빔영역(24)내에서의 두께 측정값을 칩영역내의 실제 두께값으로 대신할 수 없게 된다. 따라서, 도 8로부터 GOF값이 0,6이상인 동시에 디싱값이 200 Å 미만인 경우의 더미 패턴의 비율은 5 % 내지 15 % 범위내에 존재하게 된다.
도 6a는 기준이 되는 산화막의 파장에 따른 tanΨ 스펙트럼을 나타내는 그래프이며, 도 6b는 본 발명의 일 실시예에 대하여 적용한 파장에 따른 tanΨ 스펙트럼을 나타내는 그래프이며, 도 7a는 기준 산화막의 파장에 따른 cos△ 스펙트럼을 나타내는 그래프이며, 도 7b는 본 발명의 일 실시예에 대하여 적용한 파장에 따른 cos△ 스펙트럼을 나타내는 그래프이다.
두께 측정설비의 하나로서 SE(Spectroscopic Ellipsmetry) 시스템은, 회전 편광자(polarizer)를 통해 선형으로 편광된 다파장의 빛은 웨이퍼에 비스듬하게 입사, 반사하며 반사된 빛은 고정 편광자를 통해 프리즘으로 들어간다. 선형 편광된 빛이 웨이퍼에서 반사될 때 일반적으로 타원 편광된 빛이 된다. 입사하는 빛은 입사 빔과 반사빔이 만드는 평면에 평행하게 편광된 p빔과 그 평면에 수직인 s빔으로 구분할 수 있다. 이러한 각 성분들은 복잡하고 서로 다른 인텐시티와 위상차를 가지고 있으며, tanΨ 는 반사된 p성분과 s성분의 인텐시티비이며, △는 p성분과 s성분의 위상차를 나타내며, cos△는 exp(i△)를 의미하며, tanΨ 와 cos△의 스펙트럼은 막질의 특성을 대변한다.
도 6a 및 도 7a는 기준이 되는 단일 실리콘산화막에 대한 파장에 따른 tanΨ 와 cos△을 각기 나타내며, 도 6a 및 도 7b는 표1에서 가장 신뢰도가 높은 샘플번호 3에 대하여 측정한 파장에 따른 tanΨ 와 cos△을 각기 나타내는 것으로서, 각기 매우 유사한 스펙트럼 프로파일을 가지는 것을 알 수 있다. 따라서 비록 더미 패턴(46)이 존재하더라도 더미 패턴이 차지하는 면적이 일정한 정도 이하가 되면 제2 측정용 패턴(42)에 대한 측정을 통하여 칩영역(10) 내의 피측정물질의 두께등을 신뢰성 있게 알 수 있다.
이상은 본 발명의 바람직한 실시예에 대한 구체적인 설명이지만, 본 발명은 상기 실시예들의 형태에 한정되는 것이 아니라 본 발명의 기술적 사상을 벗어나지 않는 범위내에서 당업자의 기술수준에 따라 여러 가지로 변경을 가하는 것이 가능하다. 예를 들어, 본 실시예에서는 더미 패턴을 스트라이프 형태로 구성하였으나, 섬 형태, 메시 형태, 십자 형태, 폐곡선 형태 등의 다양한 형태로 구성할 수 있다. 또한, 본 실시예에서는 단결정 실리콘층내에 측정용 패턴이 형성되고 이들 측정용 패턴내에 실리콘산화막이 형성된 경우에 대하여 실리콘산화막의 두께 측정에 관하여 기술하고 있으나, 더미 패턴을 포함한 측정용 패턴의 물질과 계측설비에 의해 측정하려는 피측정물질층이 반도체 집적회로의 제조과정에 따라 다양한 경우에도 적용될 수 있음은 물론이다. 또한, 본 실시예에서는 계측설비가 피측정물질층의 두께를 측정하는 것에 대하여 기술하고 있으나, 피측정물질층의 면저항(sheet resistance) 또는 굴절율(refractive index) 등의 다양한 측정에도 적용될 수 있음은 물론이다.
본 발명에 의하면, 디자인룰의 감소에 관계없이 계측설비의 빔 사이즈에 대응하는 측정용 패턴을 칩영역으로부터 떨어진 스크라이브영역에 충분한 크기로 형성시키면서 더미 패턴을 이용하여 측정용 패턴에서의 디싱 현상의 발생을 억제하여 측정의 신뢰도를 향상시킬 수 있다.

Claims (40)

  1. 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판;
    상기 스크라이브영역 내의 상기 반도체기판의 표면에 트랜치 형태로 형성되며, 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면적을 갖는 측정용 패턴; 및
    상기 트랜치 형태의 상기 측정용 패턴의 내부에서 상기 측정용 패턴의 표면 단면적을 감소시킬 수 있도록 돌출된 형태를 갖는 더미 패턴을 포함하는 측정용 패턴을 구비하는 반도체장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 측정용 패턴내의 빈 공간에는 계측설비의 측정대상이 되는 제2 물질층이 더 형성되어 있으며, 상기 칩영역내에는 상기 제2 물질층의 형성 단계와 동일한 공정 단계에서 형성된 제2 물질층이 더 형성되어 있는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  4. 제 3 항에 있어서,
    상기 반도체기판은 단결정 실리콘이며, 상기 제2 물질층은 실리콘산화물인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  5. 제 1 항에 있어서,
    상기 측정용 패턴의 전체 표면 단면적은 상기 계측설비에서 발생되는 측정용 빔에 의한 빔영역의 표면 단면적의 적어도 4배 이상인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  6. 제 1 항에 있어서,
    상기 측정용 패턴내에서 상기 빔영역이 형성되는 위치에 관계없이 상기 빔영역내에 적어도 상기 더미 패턴의 일부가 포함되는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  7. 제 6 항에 있어서,
    상기 빔영역의 표면 단면적내에서 상기 더미 패턴이 차지하는 표면 단면적이 5% 내지 15% 범위내인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  8. 제 1 항에 있어서,
    상기 더미 패턴은 상기 측정용 패턴의 내부에서 일정한 방향으로 스트라이프 형태로 배치되어 있는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  9. 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판;
    상기 반도체기판상에 형성된 제1 물질층;
    상기 스크라이브영역 내의 상기 제1 물질층의 표면에 트랜치 형태로 형성되며, 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴; 및
    상기 트랜치 형태의 상기 측정용 패턴의 내부에서 상기 측정용 패턴의 표면 단면적을 감소시킬 수 있도록 돌출된 형태를 갖는 더미 패턴을 포함하는 측정용 패턴을 구비하는 반도체장치.
  10. 삭제
  11. 제 9 항에 있어서,
    상기 측정용 패턴내의 빈 공간에는 계측설비의 측정대상이 되는 제2 물질층이 더 형성되어 있으며, 상기 칩영역내에는 상기 제2 물질층의 형성 단계와 동일한 공정 단계에서 형성된 제2 물질층이 더 형성되어 있는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  12. 제 11 항에 있어서,
    상기 제1 물질층과 상기 제2 물질층은 서로 다른 광학적 특성을 갖는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  13. 제 12 항에 있어서,
    상기 제1 물질층은 도전물질층이며, 상기 제2 물질층은 절연물질층인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  14. 제 12 항에 있어서,
    상기 제1 물질층 및 상기 제2 물질층은 서로 다른 절연물질층인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  15. 제 9 항에 있어서,
    상기 측정용 패턴의 전체 표면 단면적은 상기 계측설비에서 발생되는 측정용 빔에 의한 빔영역의 표면 단면적의 적어도 4배 이상인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  16. 제 9 항에 있어서,
    상기 측정용 패턴내에서 상기 빔영역이 형성되는 위치에 관계없이 상기 빔영역내에 적어도 상기 더미 패턴의 일부가 포함되는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  17. 제 16 항에 있어서,
    상기 빔영역의 표면 단면적내에서 상기 더미 패턴이 차지하는 표면 단면적이 5% 내지 15% 범위내인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  18. 제 9 항에 있어서,
    상기 더미 패턴은 상기 측정용 패턴의 내부에서 일정한 방향으로 스트라이프 형태로 배치되어 있는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치.
  19. 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판을 준비하는 단계;
    상기 반도체기판의 표면 일부를 식각하여 상기 칩영역에 반도체 집적회로 패턴을 형성하는 동시에, 상기 스크라이브영역 내의 상기 반도체기판의 표면에 트랜치 형태로 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴 및 상기 측정용 패턴의 내부에 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소시킬 수 있도록 돌출된 형태를 갖는 더미 패턴을 형성하는 단계;
    상기 반도체 집적회로 패턴, 측정용 패턴 및 더미 패턴이 형성된 반도체기판의 전면에 제2 물질층을 형성하는 단계;
    상기 제2 물질층을 소정의 두께 만큼 식각하는 단계; 및
    상기 측정용 패턴내에 형성된 상기 제2 물질층을 측정 포인트로 하여 상기 제2 물질층의 특성에 대한 측정을 수행하는 단계를 포함하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  20. 제 19 항에 있어서,
    상기 측정용 패턴을 형성하는 단계에서, 상기 측정용 패턴의 전체 표면 단면적은 상기 계측설비에서 발생되는 측정용 빔에 의한 빔영역의 표면 단면적의 적어도 4배 이상이 되도록 하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  21. 제 19 항에 있어서,
    상기 더미 패턴을 형성하는 단계에서, 상기 측정용 패턴내에서 상기 빔영역 이 형성되는 위치에 관계없이 상기 빔영역내에 적어도 상기 더미 패턴의 일부가 포함되도록 형성하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  22. 제 21 항에 있어서,
    상기 더미 패턴을 형성하는 단계에서, 상기 빔영역의 표면 단면적내에서 상기 더미 패턴이 차지하는 표면 단면적이 5% 내지 15% 범위내인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  23. 제 19 항에 있어서,
    상기 더미 패턴을 형성하는 단계여서, 상기 더미 패턴은 상기 측정용 패턴의 내부에서 일정한 방향으로 스트라이프 형태로 형성하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  24. 제 19 항에 있어서,
    상기 반도체기판은 단결정 실리콘이며, 상기 제2 물질층은 실리콘산화물인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  25. 제 19 항에 있어서,
    상기 제2 물질층을 형성한 후 식각하기 전에, 상기 측정용 패턴상에 형성된 상기 제2 물질층을 측정 포인트로 하여 상기 제2 물질층의 특성에 대한 측정을 수행하는 단계를 더 포함하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  26. 제 19 항에 있어서, 상기 제2 물질층을 식각하는 단계는, 상기 더미 패턴의 표면이 노출되도록 상기 제2 물질층을 전면식각하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  27. 제 19 항에 있어서,
    상기 제2 물질층에 대한 측정은 상기 제2 물질층의 두께를 측정하는 것임을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  28. 제 19 항에 있어서,
    상기 제2 물질층에 대한 측정은 상기 제2 물질층의 면저항 또는 굴절율을 측정하는 것임을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  29. 반도체 집적회로가 형성되는 칩영역과 상기 칩영역을 둘러싸는 스크라이브영역을 포함하는 반도체기판을 준비하는 단계;
    상기 반도체기판 상에 제1 물질층을 형성하는 단계;
    상기 제1 물질층의 표면 일부를 식각하여 상기 칩영역에 반도체 집적회로 패턴을 형성하는 동시에, 상기 스크라이브영역 내의 상기 제1 물질층의 표면에 트랜치 형태로 계측설비의 측정용 빔이 투사되는 빔영역이 포함될 수 있도록 일정한 표면 단면적을 갖는 측정용 패턴 및 상기 측정용 패턴의 내부에 상기 측정용 패턴의 빈 공간의 표면 단면적을 감소시킬 수 있도록 돌출된 형태를 갖는 더미 패턴을 형성하는 단계;
    상기 반도체 집적회로 패턴, 측정용 패턴 및 더미 패턴이 형성된 반도체기판의 전면에 제2 물질층을 형성하는 단계;
    상기 제2 물질층을 소정의 두께 만큼 식각하는 단계; 및
    상기 측정용 패턴내에 형성된 상기 제2 물질층을 측정 포인트로 하여 상기 제2 물질층의 특성에 대한 측정을 수행하는 단계를 포함하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  30. 제 29 항에 있어서,
    상기 측정용 패턴을 형성하는 단계에서, 상기 측정용 패턴의 전체 표면 단면적은 상기 계측설비에서 발생되는 측정용 빔에 의한 빔영역의 표면 단면적의 적어도 4배 이상이 되도록 하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  31. 제 29 항에 있어서,
    상기 더미 패턴을 형성하는 단계에서, 상기 측정용 패턴내에서 상기 빔영역이 형성되는 위치에 관계없이 상기 빔영역내에 적어도 상기 더미 패턴의 일부가 포함되도록 형성하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정 방법.
  32. 제 31 항에 있어서,
    상기 더미 패턴을 형성하는 단계에서, 상기 빔영역의 표면 단면적내에서 상기 더미 패턴이 차지하는 표면 단면적이 5% 내지 15% 범위내인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  33. 제 29 항에 있어서,
    상기 더미 패턴을 형성하는 단계여서, 상기 더미 패턴은 상기 측정용 패턴의 내부에서 일정한 방향으로 스트라이프 형태로 형성하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  34. 제 29 항에 있어서,
    상기 제1 물질층과 상기 제2 물질층은 서로 다른 광학적 특성을 갖는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  35. 제 34 항에 있어서,
    상기 제1 물질층은 도전물질층이며, 상기 제2 물질층은 절연물질층인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  36. 제 34 항에 있어서,
    상기 제1 물질층 및 상기 제2 물질층은 서로 다른 절연물질층인 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  37. 제 29 항에 있어서,
    상기 제2 물질층을 형성한 후 식각하기 전에, 상기 측정용 패턴상에 형성된 상기 제2 물질층을 측정 포인트로 하여 상기 제2 물질층의 특성에 대한 측정을 수행하는 단계를 더 포함하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  38. 제 29 항에 있어서, 상기 제2 물질층을 식각하는 단계는, 상기 더미 패턴의 표면이 노출되도록 상기 제2 물질층을 전면식각하는 것을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  39. 제 29 항에 있어서,
    상기 제2 물질층에 대한 측정은 상기 제2 물질층의 두께를 측정하는 것임을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
  40. 제 29 항에 있어서,
    상기 제2 물질층에 대한 측정은 상기 제2 물질층의 면저항 또는 굴절율을 측정하는 것임을 특징으로 하는 측정용 패턴을 구비하는 반도체장치의 측정방법.
KR1020030035603A 2003-06-03 2003-06-03 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법 KR100546330B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020030035603A KR100546330B1 (ko) 2003-06-03 2003-06-03 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법
DE102004028425.3A DE102004028425B4 (de) 2003-06-03 2004-06-02 Halbleiterbauelement mit einer Messstruktur und Verfahren zum Messen des Halbleiterbauelements unter Verwendung der Messstruktur
JP2004164999A JP4901076B2 (ja) 2003-06-03 2004-06-02 測定の信頼度を向上させられる測定用パターンを備える半導体装置及び測定用パターンを利用した半導体装置の測定方法
US10/858,926 US6924505B2 (en) 2003-06-03 2004-06-02 Semiconductor device having a measuring pattern and a method of measuring the semiconductor device using the measuring pattern
CNB2004100552164A CN100416821C (zh) 2003-06-03 2004-06-03 具有测量图案的半导体器件及其测量方法
US11/157,534 US7195933B2 (en) 2003-06-03 2005-06-21 Semiconductor device having a measuring pattern and a method of measuring the semiconductor device using the measuring pattern

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030035603A KR100546330B1 (ko) 2003-06-03 2003-06-03 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법

Publications (2)

Publication Number Publication Date
KR20040105005A KR20040105005A (ko) 2004-12-14
KR100546330B1 true KR100546330B1 (ko) 2006-01-26

Family

ID=33550146

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030035603A KR100546330B1 (ko) 2003-06-03 2003-06-03 측정의 신뢰도를 향상시킬 수 있는 측정용 패턴을구비하는 반도체장치 및 측정용 패턴을 이용한반도체장치의 측정방법

Country Status (5)

Country Link
US (2) US6924505B2 (ko)
JP (1) JP4901076B2 (ko)
KR (1) KR100546330B1 (ko)
CN (1) CN100416821C (ko)
DE (1) DE102004028425B4 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010062008A1 (ko) * 2008-11-03 2010-06-03 한국과학기술원 측정 패턴 구조체, 공정 패턴 구조체, 기판 처리 장치, 및 기판 처리 방법

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7487064B2 (en) * 2003-07-18 2009-02-03 Chartered Semiconductor Manufacturing, Ltd. Method for detecting and monitoring defects
US7719005B2 (en) * 2007-02-07 2010-05-18 International Buriness Machines Corporation Structure and method for monitoring and characterizing pattern density dependence on thermal absorption in a semiconductor manufacturing process
JP2009143089A (ja) * 2007-12-13 2009-07-02 Hitachi Industrial Equipment Systems Co Ltd 微細構造転写用モールド及びその製造方法
US8796048B1 (en) * 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
CN102954903B (zh) * 2011-08-22 2015-02-04 上海华虹宏力半导体制造有限公司 锗硅薄膜监控片的制备方法及采用该片进行监控的方法
JP6560147B2 (ja) * 2016-03-07 2019-08-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN111276414A (zh) * 2020-02-03 2020-06-12 长江存储科技有限责任公司 一种检测方法及装置
CN113571437B (zh) * 2020-04-28 2023-09-08 长鑫存储技术有限公司 半导体器件测量方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5285082A (en) * 1989-11-08 1994-02-08 U.S. Philips Corporation Integrated test circuits having pads provided along scribe lines
JPH10144635A (ja) 1996-11-11 1998-05-29 Sony Corp 平坦化研磨における研磨後の段差予測方法およびダミーパターン配置方法
JP3638778B2 (ja) * 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
JPH1126533A (ja) * 1997-07-04 1999-01-29 Oki Electric Ind Co Ltd 層間絶縁膜の膜厚測定方法
JPH1197645A (ja) * 1997-09-19 1999-04-09 Nec Corp 半導体記憶装置
JPH11219922A (ja) * 1998-02-03 1999-08-10 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5933744A (en) * 1998-04-02 1999-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment method for used in chemical mechanical polishing process
JP2000058611A (ja) * 1998-08-04 2000-02-25 Matsushita Electron Corp 半導体装置の評価方法
JP2000216210A (ja) * 1999-01-27 2000-08-04 Matsushita Electronics Industry Corp 絶縁膜における段差埋め込み評価方法および評価構造
JP4307664B2 (ja) * 1999-12-03 2009-08-05 株式会社ルネサステクノロジ 半導体装置
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
IL136608A0 (en) * 2000-02-20 2001-06-14 Nova Measuring Instr Ltd Test structure for metal cmp process monitoring
IL134626A (en) * 2000-02-20 2006-08-01 Nova Measuring Instr Ltd Test structure for metal cmp process control
JP3665551B2 (ja) * 2000-09-22 2005-06-29 沖電気工業株式会社 半導体ウエハ用評価パターン及びそれを用いた半導体ウエハの評価方法
US6486066B2 (en) * 2001-02-02 2002-11-26 Matrix Semiconductor, Inc. Method of generating integrated circuit feature layout for improved chemical mechanical polishing
JP4258158B2 (ja) * 2001-03-05 2009-04-30 セイコーエプソン株式会社 平坦化処理方法及び半導体装置の製造方法
JP2002368103A (ja) * 2001-06-05 2002-12-20 Hitachi Ltd 半導体装置とその製造方法
JP2003086647A (ja) * 2001-09-07 2003-03-20 Tokyo Seimitsu Co Ltd 研磨評価用モニターウエーハ
JP2003152044A (ja) * 2001-11-12 2003-05-23 Sony Corp 半導体デバイス及びその評価方法
KR100753390B1 (ko) 2001-12-15 2007-08-30 매그나칩 반도체 유한회사 산화막 연마 공정의 두께 모니터링 패턴
KR100476890B1 (ko) * 2002-04-11 2005-03-17 삼성전자주식회사 검사패턴 및 이를 이용한 화학적기계적 연마공정 제어방법
US6822260B1 (en) * 2002-11-19 2004-11-23 Advanced Micro Devices, Inc. Linewidth measurement structure with embedded scatterometry structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010062008A1 (ko) * 2008-11-03 2010-06-03 한국과학기술원 측정 패턴 구조체, 공정 패턴 구조체, 기판 처리 장치, 및 기판 처리 방법
KR100978812B1 (ko) 2008-11-03 2010-08-30 한국과학기술원 측정 패턴 구조체, 공정 패턴 구조체, 기판 처리 장치, 및 기판 처리 방법

Also Published As

Publication number Publication date
JP4901076B2 (ja) 2012-03-21
US20050035433A1 (en) 2005-02-17
DE102004028425B4 (de) 2016-08-04
KR20040105005A (ko) 2004-12-14
US6924505B2 (en) 2005-08-02
JP2004363608A (ja) 2004-12-24
US20050230786A1 (en) 2005-10-20
US7195933B2 (en) 2007-03-27
DE102004028425A1 (de) 2005-01-27
CN100416821C (zh) 2008-09-03
CN1574341A (zh) 2005-02-02

Similar Documents

Publication Publication Date Title
EP2665990B1 (en) Optical system and method for measuring in three-dimensional structures
US7195933B2 (en) Semiconductor device having a measuring pattern and a method of measuring the semiconductor device using the measuring pattern
US6635567B2 (en) Method of producing alignment marks
US6801313B1 (en) Overlay mark, method of measuring overlay accuracy, method of making alignment and semiconductor device therewith
US5627624A (en) Integrated circuit test reticle and alignment mark optimization method
US20050264806A1 (en) Calibration as well as measurement on the same workpiece during fabrication
CN101937904B (zh) 半导体器件及其制造方法
KR100689709B1 (ko) 반도체 디바이스 제조를 위한 오버레이 마크 및 이를이용한 오버레이 측정방법
KR102081870B1 (ko) X-선 산란 측정을 가능하게 하는 테스트 키 설계
KR100438787B1 (ko) 박막 두께 측정 방법
US7379185B2 (en) Evaluation of openings in a dielectric layer
US6963393B2 (en) Measurement of lateral diffusion of diffused layers
KR100474579B1 (ko) 표면 분석 장치에 사용되는 표준 기판 제작 방법
US20010015811A1 (en) Test structure for metal CMP process control
KR100694597B1 (ko) 반도체 장치에서 패턴 결함 검출 방법
KR100790974B1 (ko) 퓨즈 포커스 디텍터를 구비한 반도체 소자 및 그 제조방법과 이를 이용한 레이저 리페어 방법
KR20100072886A (ko) 멀티오버레이 측정마크 및 그 형성 방법
KR100698750B1 (ko) 오버레이 마크를 포함하는 반도체 소자 및 그 제조방법
JP4637872B2 (ja) 配線構造およびその製造方法
US8021899B2 (en) Method of manufacturing a semiconductor device including optical test pattern above a light shielding film
KR20060046876A (ko) 박막 두께를 측정하기 위한 오에스 형성 방법
US20220415724A1 (en) Multiple-level interconnect structure and manufacturing method thereof
JPH09304916A (ja) アライメントマーク及び/又は合わせずれ測定マークの形成方法
US20070178611A1 (en) Semiconductor wafer having measurement area feature for determining dielectric layer thickness
KR20060069999A (ko) 오버레이 측정 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130102

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140103

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141231

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 12