TW201841205A - 用於電漿均勻度之徑向及方位控制的系統與方法 - Google Patents

用於電漿均勻度之徑向及方位控制的系統與方法 Download PDF

Info

Publication number
TW201841205A
TW201841205A TW107102323A TW107102323A TW201841205A TW 201841205 A TW201841205 A TW 201841205A TW 107102323 A TW107102323 A TW 107102323A TW 107102323 A TW107102323 A TW 107102323A TW 201841205 A TW201841205 A TW 201841205A
Authority
TW
Taiwan
Prior art keywords
conductive plate
processing chamber
waveguide cavity
cavity
electromagnetic radiation
Prior art date
Application number
TW107102323A
Other languages
English (en)
Other versions
TWI779004B (zh
Inventor
小林悟
菅井英夫
尼可萊 卡寧
壽南 朴
托恩 崔恩
迪米奇 路柏曼斯基
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201841205A publication Critical patent/TW201841205A/zh
Application granted granted Critical
Publication of TWI779004B publication Critical patent/TWI779004B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32302Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32311Circuits specially adapted for controlling the microwave discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

一種系統包括處理腔室、界定波導空腔的殼體、和在殼體內的第一導電板。第一導電板面向處理腔室。該系統亦包括可調整第一導電板的至少一位置的一或更多個調整裝置、以及在波導空腔與處理腔室之間的與殼體耦接的第二導電板。電磁輻射可經由第二導電板中的孔從波導空腔傳播到處理腔室中。該系統亦包括密封處理腔室而與波導空腔隔開的介電板、以及將電磁輻射發送到波導空腔中的一或更多個電子設備組。當至少一種處理氣體在腔室內且電磁輻射從波導空腔傳播到處理腔室中時,形成電漿。

Description

用於電漿均勻度之徑向及方位控制的系統與方法
本揭示係在電磁輻射的領域中。更具體言之,揭示了採用波導及相關控制系統以提供在處理腔室中徑向及/或方位控制電漿的實施例。
半導體處理常常產生電漿以產出與半導體晶圓本身或其他處理相關材料(例如,光阻劑)相互作用的離子化及/或能量激發的物質。為了產出及/或維持電漿,一般採用一或更多個射頻(RF)及/或微波產生器來產生振盪電場及/或磁場。亦可採用相同場及/或DC場來將離子化及/或能量激發的物質引導至待處理的半導體晶圓(多個半導體晶圓)。場可產生及/或耦接至腔室中,其中以多種方式產生電漿。各種已知方法常用於匹配電源(RF產生器)的阻抗與負載(電漿),使得來自RF產生器的功率可在無大量功率反射回RF產生器的情況下輸送到電漿。這係出於能量效率以及保護RF產生器的電子部件免遭破壞的原因。特別當採用微波能量時,通常將反射的功率引導至虛擬負載,其中反射的功率作為熱量消散,接著必須移除熱量。因此,反射的功率造成雙重能量浪費:用於產生功率的能量和用於移除廢熱的能量。
在一實施例中,一種系統包括處理腔室、界定波導空腔的殼體、和在殼體內的第一導電板。第一導電板跨波導空腔面向處理腔室。該系統亦包括可調整第一導電板的至少一位置的一或更多個調整裝置、以及在波導空腔與處理腔室之間的與殼體耦接的第二導電板。電磁輻射可經由第二導電板中的孔從波導空腔傳播到處理腔室中。該系統亦包括密封處理腔室而與波導空腔隔開的介電板該介電板,使得當處理腔室抽空時,波導空腔不會被抽空。該系統進一步包括將電磁輻射發送到波導空腔中的一或更多個電子設備組。當至少一種處理氣體在腔室內且電磁輻射從波導空腔傳播到處理腔室中時,形成電漿。
在一實施例中,一種電漿處理系統包括可操作以抽空的處理腔室、用於將一或更多種處理氣體引入處理腔室中的一或更多個處理氣體供應器、界定波導空腔的殼體、及可將電磁輻射發送到波導空腔中的一或更多個電子設備組。一或更多個電子設備組的每個匹配其驅動阻抗與由波導空腔呈現的對電磁輻射的阻抗。第一導電板在殼體內並在波導空腔離處理腔室的遠側上。至少三個調整裝置與第一導電板和殼體耦接。調整裝置可在一位置範圍內調整第一導電板的至少一位置、及第一導電板相對於殼體的傾斜。第二導電板與殼體耦接且插入波導空腔與處理腔室之間。第二導電板其中形成複數個孔以用於允許波導空腔內的電磁輻射經由孔傳播到處理腔室中。介電板密封處理腔室而與波導空腔隔開,使得當處理腔室抽空時,波導空腔不會被抽空。當第一導電板經調整成位置範圍內的特徵模態位置且一或更多個電子設備組將電磁輻射發送到波導空腔中時,波導空腔可支援第一與第二導電板之間的特徵模態。當至少一種處理氣體在腔室內且電磁輻射從波導空腔傳播到處理腔室中時,形成電漿。
在一實施例中,一種用於產生電漿來處理工件的方法包括將一或更多種處理氣體引入處理腔室中。處理腔室至少部分用介電板密封,該介電板能夠支承對應於處理腔室抽空的壓差。該方法亦包括將電磁輻射傳播到波導空腔中,該波導空腔鄰接處理腔室設置。波導空腔至少部分由第一導電板和第二導電板圍界,該第一導電板面向處理腔室且從處理腔室跨波導空腔,該第二導電板在波導空腔與介電板之間。第二導電板形成孔,該等孔允許電磁輻射經由第二導電板、經由介電板而傳播到腔室中。該方法進一步包括點燃來自處理氣體的電漿、以及利用經由第二導電板的孔傳播到處理腔室中的電磁輻射供應功率以維持電漿。
在一實施例中,一種用於在處理腔室中提供電磁輻射以供電給電漿的方法包括將一或更多種處理氣體引入處理腔室中、以及將電磁輻射從至少兩個位置P和Q傳播到波導空腔中,該波導空腔鄰接處理腔室設置。波導空腔與處理腔室分離,使得處理腔室可在不抽空波導空腔的情況下抽空,而波導空腔內的電磁輻射仍可傳播到處理腔室中。位置P和Q以相應角度θp θq 設置在波導空腔的周緣四周。電磁輻射以微波頻率ω與旋轉頻率Ω在波導空腔內提供。該方法進一步包括點燃來自處理氣體的電漿、以及藉由從波導空腔傳播到處理腔室中的電磁輻射供應功率以維持電漿。
在一實施例中,一種產生電漿的系統包括可抽空的處理腔室和鄰接處理腔室設置的波導空腔。波導空腔與處理腔室分離,而不阻擋波導空腔內的電磁輻射傳播到處理腔室中。該系統亦包括,用於將一或更多種處理氣體引入處理腔室中的一或更多個處理氣體供應器、及第一電子設備組和第二電子設備組。第一和第二電子設備組的每個將電磁輻射發送到波導空腔中。該系統進一步包括控制器,該控制器將相應的第一和第二輸入波形提供到第一和第二電子設備組的每個。第一和第二輸入波形的每個具有微波頻率ω,並且第一和第二輸入波形具有相應振幅,當由電子設備組放大時,該等振幅向波導空腔內的電磁輻射提供旋轉頻率Ω。當一或更多種處理氣體中的至少一種處理氣體在腔室內且電磁輻射從波導空腔傳播到處理腔室中時,形成電漿。
在一實施例中,一種用於在處理腔室中電漿處理的方法包括將一或更多種處理氣體引入處理腔室中。處理腔室鄰接波導空腔設置,並且波導空腔與處理腔室分離,而不阻擋波導空腔內的電磁輻射傳播到處理腔室中。該方法亦包括將電磁輻射傳播到波導空腔中。電磁輻射以微波頻率ω提供。在波導空腔內供應的功率的第一部分由波導空腔內以橫向電場(TE )模態共振的電磁輻射的一部分供應。在波導空腔內供應的功率的第二部分由波導空腔內以第二TE 模態共振的電磁輻射的一部分供應。該方法進一步包括點燃來自處理氣體的電漿;以及藉由從波導空腔傳播到處理腔室中的電磁輻射供應功率以維持電漿。
在一實施例中,一種用於在處理腔室中處理工件的方法包括將工件放置在處理腔室中。處理腔室鄰接波導空腔設置。波導空腔與處理腔室分離,而不阻擋波導空腔內的電磁輻射傳播到處理腔室中。該方法亦包括用第一電漿處理工件及利用第二電漿處理工件。第一和第二電漿的每個藉由下列步驟產生:將一或更多種處理氣體引入處理腔室中、將電磁輻射傳播到波導空腔中、點燃來自處理氣體的電漿、及以藉由從波導空腔傳播到處理腔室中的電磁輻射供應功率以維持電漿。用於第一電漿的功率的至少一部分由波導空腔內以第一TE 模態共振的電磁輻射供應,並且用於第二電漿的功率的至少一部分由波導空腔內以第二TE 模態共振的電磁輻射供應。第一TE 模態的階次不同於第二TE 模態。
附加實施例及特徵在以下描述中部分闡述,並且部分將在查閱本說明書時對熟習此項技術者顯而易見或可藉由實踐本發明而瞭解到。本發明的特徵和優點可藉由本說明書中所述的工具、組合和方法來實現並達成。本領域中一般技藝人士在閱讀及理解本揭示時不僅能夠實踐具體描述的概念,亦容易認識到所揭示特徵的替代、等效物、修改、和中間組合,全部上述內容係在本揭示的範疇內。
第1圖示意性示出了根據一實施例的電漿處理系統100的主要元件。系統100經描繪為單個晶圓,半導體晶圓處理系統,但熟習此項技術者將顯而易見本文的技術和原理可應用到用於任何類型工件(例如,不一定為晶圓或半導體的物品)的電漿處理系統。處理系統100包括用於晶圓介面115、使用者介面120、處理腔室130、控制器140和一或更多個電源供應器150的殼體110。處理腔室130包括一或更多個底座135,晶圓介面115可將工件50(例如,晶圓,但可為不同類型的工件)放置在該底座上以用於處理。真空160(例如,一或更多個真空泵)可操作以抽空處理腔室130,且一或更多個氣體供應器155可連接以將處理氣體引入處理腔室130中。一或更多個射頻或微波產生器(RF Gen)165以一或更多個射頻或微波頻率供電而在處理腔室130內產生電漿。(由本文的實施例提供的電磁輻射可在本揭示中被稱為「微波」,儘管如此輻射可在微波、射頻或電磁譜的其他部分內。)RF Gen 165可在系統100外部或內部,且可採取一或更多個電子設備組的形式這將在後文進一步描述。RF Gen 165可在波導空腔167內發送微波,該波導空腔可在處理腔室130上方或下方設置,且在第1圖及第2A圖中圖示為在腔室130上方。波導空腔167可為圓柱形空腔,但這並非嚴格要求。處理腔室130靠近波導空腔167,且由至少一介電材料形成的板169鄰接波導空腔167圍界,該介電材料可透過微波,但不透過空氣或在腔室130中採用的處理氣體。因此,當腔室130抽空時,介電板169可支承波導空腔167與腔室130之間的壓差,而允許波導空腔167內的微波傳播到腔室130中。介電板169可例如由下列形成:耐火材料(多種耐火材料),諸如二氧化矽、氮化矽或中間氮氧化矽化合物;陶瓷(多種陶瓷),諸如氧化鋁、氧化釔等;塑膠(多種塑膠)及/或聚合物(多種聚合物)。在一些實施例中,複數個中空區域形成在介電板169的下表面上。此舉可有助於電漿點燃,特別係在相對高壓力(例如,約1托或更高)或低功率條件下,藉由有助於干擾可形成於介電板169下表面的電漿表面模態。其他結構亦可存在於波導空腔167與處理腔室130之間,如後文論述。
圖示為系統100的部分的元件以實例之方式而非詳盡列出。亦可包括許多其他可能的元件,諸如:氣體及/或真空配管、壓力及/或流量控制器;電極、磁芯及/或其他電磁設備;機械、壓力、溫度、化學、光學及/或電子感測器;觀察及/或其他出入口等,但為了說明清晰而未圖示。為了說明清晰,系統100內的所示元件內部連接和協作亦未圖示。除了RF產生器165之外,其他設施(諸如氣體供應器155、真空160、及/或通用電力170)也可與系統100連接。類似系統100中的所示元件,圖示為與系統100連接的設施意欲為說明性而非詳盡的;其他類型的設施(諸如加熱或冷卻流體、加壓空氣、網絡能力、廢物處置系統等)亦可與系統100連接,但為說明清晰而未圖示。
第2A圖係示出電漿處理系統200的一部分的示意性等角視圖,該電漿處理系統包括波導空腔167、將微波發送到波導空腔167中的微波輸入166、處理腔室130、和其中的工件50。虛線2B-2B’表示第2B圖所示出的截面圖的平面。在系統200中,殼體105界定波導空腔167和處理腔室130,且處理腔室130繞著與波導空腔167的共軸呈實質上徑向對稱。微波從微波輸入166經由微波輸入開口162傳播到波導空腔167中,接著經由導電板137的槽孔168進入處理腔室130中,以提供能量來點燃及/或維持電漿60。導電板137可由例如金屬形成,但亦可由其他導電材料、或用保護性(且未必導電)的材料部分或完全塗覆的導電材料形成。在第2A圖及第2B圖所示的實施例中,波導空腔167由介電板169圍界,該介電板面向導電板137且可與該導電板接觸。介電板169可例如由下列形成:耐火材料(多種耐火材料),諸如二氧化矽、氮化矽或中間化合物(例如,氮氧化矽);陶瓷(多種陶瓷),諸如氧化鋁、氧化釔等;塑膠(多種塑膠)及/或聚合物(多種聚合物)。導電板137形成槽孔168,該槽孔允許微波經由介電板169傳播而至處理腔室130中。槽孔168例如可形成徑線槽孔天線。底座135經配置以將工件50呈給電漿60而進行處理。處理腔室130可包括埠口及/或機械開口(未圖示),以供插入及/或抽出工件50、引入氣體以形成電漿60、移除電漿及氣態反應產物、感測、觀察等。導電板137的優點在於導電板可係良好熱導體以有助於移除由電漿60和介電板169內的位移電流產生的熱。第2B圖係示出導電板137的示意性俯視平面圖,圖示了經由槽孔168暴露出的介電板169。
第2A圖、第4圖及第6圖至第10圖所示出的處理腔室、波導空腔等的定向係常見的,而非本文的系統操作所需。例如,第2A圖圖示了設置在底座135頂上的工件50(可為晶圓),該底座可為晶圓卡盤,其中至少在傳送操作期間,重力可用於使工件固持在位。因此,在下文描述中,使用術語「高度」應視為意指位置或距離且不一定在垂直方向中。如「垂直」和「頂部」等類似術語不將實施例限定在所示的定向,而應理解為根據實施例可操作的其他定向來修改。
因為壁和系統200的導電板137為等位面,波導空腔167可支援特徵模態-微波傳播模態,其中例如,波導空腔167的高度d1 為波導空腔167內微波的半軸波長的倍數,使得波導空腔167以對應微波頻率共振。亦即,當d1 =m2π/kz 時,特徵模態以波導空腔167的共振頻率形成。在此,軸向波數kz 定義為,其中ω係角頻率(ω=2πf ),f 係微波頻率,m 係正整數,且c 係光速,且κ 係徑向波數,為此空腔側壁上的邊界條件滿足空腔半徑R (亦即,且,其中J’m m 階的第一類白塞爾(Bessel)函數,y’mn m 階的第二類白塞爾函數)。
例如,在系統200中,當使用2.45 GHz的常見微波頻率時,d1 的一個值為約62 mm,但可根據導電板137上的槽孔的形狀與圖案、材料的細微變化、微波輸入開口162的準確幾何形狀等而變化。致使波導空腔167支援特徵模態的d1 值在本文中可稱作「特徵模態位置」。波導空腔167內的特徵模態有利於最大化從電子設備組輸送到電漿60中的功率,並最小化反射回電子設備組的功率。(介電板169的厚度和處理腔室130的高度亦可經設計成支援處理腔室130以相同微波頻率的特徵模態操作,如後文論述。)
提供具有一厚度及介電常數的介電板169亦可有助於支援在介電板169內以相同頻率共振,從而支援波導空腔167內的特徵模態。這可表示成條件d2 =m2π/kdz ,其中軸向波數kdz 定義為εd 係介電板169的介電常數(或若介電板169由多種材料形成,則為有效介電常數),m 係任一正整數,且徑向波數κ 如上定義。此條件有幫助的原因係因為點燃電漿60,此有助於在介電板169正下方提供高場強度。電漿60可趨於形成及/或集中在處理腔室130內最靠近介電板169處(在此經由板137耦接的場最強)。此外,儘管腔室130的總有效高度可能並非關鍵,但亦可經選擇以支援處理腔室130的特徵模態操作。此條件可表示成淨「電高度」為所用的微波的半波長的倍數,以最大化整個處理腔室130中的電磁場強度,亦即,。又出於與上文關於波導空腔167所提及者相同的理由,當導電板137、介電板169和處理腔室130具有固定結構時,可能難以確保特徵模態條件。
殼體105的尺寸變化、材料變化等、導電板137內槽孔168的準確構造、電漿60在介電板169底下的分佈、及/或與波導空腔167相關的不對稱特徵(諸如感測器、扣件、出入口等)可使波導空腔167內全域或各處的特徵模態位置的有效值平移。由此,儘管殼體105和導電板137可以d1 定義特徵模態位置的目的來製造,實際值d1 可能不是所要的特徵模態位置。用於調整d1 和本文的空腔及腔室的其他尺寸的設備和方法將在下文結合第4圖至第6圖及第10圖至第12圖揭示。
介電板169的最佳厚度亦可由其他要求主導,諸如成本、大小、重量、機械強度和維持處理腔室130上方的真空密封的能力。處理腔室130可按大小製作以容納直徑高達300 mm或450 mm的工件50(例如,標稱直徑約12英吋或約18英吋的晶圓)。由此,可能需要介電板169來支承遍及面積約0.15 m2 至0.25 m2 的真空壓差,進而在正常大氣壓力下施加約22至40磅的淨力。當介電板169由介電常數約4的耐火材料或介電常數約10的陶瓷形成時,厚度小於約10 mm可能太易碎,而厚度大於約80 mm則可能太貴、大又重。在此示例性範圍內,如上所論述,所用的準確厚度可根據所用的微波輻射的波長和所用的材料的實際介電常數來最佳化。
第3圖係示出用於將微波提供至採用波導的電漿腔室的系統300的主要部件的示意圖。系統300的波導210例如可為第1圖及第2A圖的波導空腔167。通常,系統300在第3圖中由P和Q指示的兩個位置處供電給波導210。位置P和Q一般以共同頻率驅動(藉由後文描述的電子設備組225(1)、225(2))一相位移,該相位移可對應於波導210周緣四周的P與Q間的角度偏移。座標參考系統圖示於波導210內。軸向方向z 表示沿圓柱軸的距離,該圓柱軸與波導210同心;亦即,方向z 進出第3圖的平面。徑向方向r 表示距圓柱軸的距離。方位方向θ 表示繞著圓柱軸的角度位置。如圖所示,位置P被看作θ 方向的原點。
因此,波導210可被視為雙驅動波導;操作的雙驅動模態提供了源自兩組驅動電子設備而非以雙倍功率操作的單組驅動電子設備的高微波能量密度。使用兩(或更多)組驅動電子設備可係有利的,每組驅動電子設備以比高功率操作的單組低的功率操作。以較高功率操作的電子設備組可需要具有高電壓、電流、或散熱定額的部件,此類部件可能遠比用於較低功率組的部件昂貴或更難以獲得。例如,低成本且高品質的微波場效電晶體(FET)近來已變得可用於本文的電子設備組225,但此類高電壓、電流及/或功率耗散型FET仍保持昂貴或難以獲得。
系統300的操作最好地理解為開始於訊號產生器215,該訊號產生器提供兩個微波訊號220(1)、220(2),訊號220(1)、220(2)處於相同頻率,但可具有相對於彼此的相位移,該相位移可對應於驅動位置之間的機械偏移。例如,若位置P和Q繞著波導210的周緣彼此相距90度,則標稱相位移應為π/2。測定及控制相位移校正即將在下文論述。在某些實施例中,訊號產生器215可控制訊號320的頻率及/或振幅,以及其相位。控制頻率應用為調諧系統300,使得可在波導210和相鄰處理腔室中建立特徵模態條件。這可用於電子校正波導和腔室尺寸、材料、不對稱腔室特徵等中的難以控制的不規則性,儘管亦可採取機械方式(例如,參見下文第4圖至第6圖及第10圖至第12圖)。控制振幅的應用為提供旋轉模態控制,使得所產生電漿中的局部不規則性可以平滑,如下文進一步論述(例如,參見第13圖、第14圖)。
微波訊號220(1)、220(2)驅動電路,該等電路被稱作第一電子設備組225(1)和第二電子設備組225(2)。每個電子設備組225(1)、225(2)開始於固態放大器230,該固態放大器升壓相應微波訊號220(1)、220(2)的功率以產生放大的微波訊號235(1)、235(2)。如上所論述,固態放大器230可包括一或更多個微波FET。每個放大的微波訊號235(1)、235(2)進入並經過循環器240,該循環器用於保護相應固態放大器230,以免功率自波導210反射。循環器240因此將輸入功率從固態放大器230傳遞到相應調諧器250中,同時將任何反射回虛擬負載245中的功率分流。
調諧器250調整由放大的微波訊號235(1)、235(2)經歷的阻抗,以匹配由部件呈現的阻抗,該等部件諸如同軸轉波導轉換器265、波導210和相鄰處理腔室(例如,第1圖的處理腔室130,第3圖中未圖示)。調諧器250可例如為三極短截線調諧器。放大的調諧訊號接著經過相應同軸轉波導轉換器265,並且在具有輻射孔270(對應於第2A圖示意性標記的微波輸入166,該微波輸入止於微波輸入開口162)的相應波導處進入波導210中。
作為達成可接受阻抗匹配所需調諧的部分,調諧器250可改變向波導210傳遞的訊號相位,使得儘管訊號係在波導210周緣附近具有機械相位移的位置處供應,訊號本身可能不再具有相同的電相位移。例如,若P和Q機械偏移90度,則調諧器可使P與Q處的微波間的相位移平移至除π/2之外的值。因此,可激發不對稱橢圓或線性旋轉模態,而非在波導210中激發對稱圓形旋轉模態。此微波構造的不對稱性可繼而導致相鄰處理腔室(例如第1圖、第2A圖的處理腔室130,其中電漿由波導210中的微波供電)中的處理像差。例如,不對稱微波構造可導致相應地不對稱電漿,且因此導致電漿蝕刻深度的局部歪斜。
解決上述不對稱類型的一種方式為對微波訊號220(1)與220(2)間的相位延遲、且接著放大的微波訊號235(1)與235(2)間的相位延遲提供電校正。例如,雙相位訊號產生器215可接收來自訊號控制器312的校正訊號313,該校正訊號提供用於調整訊號220(1)、220(2)的資訊。例如,校正訊號313可引導雙相位訊號產生器215,以提供微波訊號220(1)、220(2)間的已校正或已靶向的相位移。因此,在P與Q點間具有π/2的機械偏移的系統300中,微波訊號220(1)、220(2)的相位彼此相差π/2或π/2加上或減去目標相位差,使得P和Q點處的所量測的相位差為所期,如下文論述。在另一實例中,校正訊號313可引導雙相位訊號產生器215,以升壓及/或衰減微波訊號320(1)、320(2)之一或兩者,這可有利地幫助保持微波場的圓形旋轉。
監測天線211(1)和211(2)分別在跨波導210距P和Q點180度的位置處設置,並且經由其相應接線318(1)和318(2)將類比訊號提供至訊號控制器312。波導210本身內的此等量測將擷取由調諧器250引入的任何相位移及/或振幅偏移。監測天線211可監測波導210內的微波的電場或磁場分量。監測天線211(1)和211(2)在跨波導210距P和Q點180度的位置(或至少每個天線211遠離P和Q的任一點至少30度)可使返回訊號控制器312的訊號包括波導210的作用,此作用不易由位於P和Q點處的監測天線監測。訊號控制器312可經由其相應的接線318(1)和318(2)從監測天線211(1)和211(2)接收訊號,及可測定P和Q點處的訊號的振幅和該等訊號之間的相位移。例如,訊號控制器312可進行同相和正交相位解調(IQ解調),以量測來自監測天線211(1)和211(2)的訊號的振幅和相位移。訊號控制器312可接著採用所量測的相位移及/或振幅來計算並提供對應的數位校正訊號313至雙相位訊號產生器215。數位校正訊號313可經選擇為期望相位移(例如,π/2值)或與假定的期望相位差的偏移(例如,當獲得期望相位差時,校正因子為零)。或者,數位校正訊號可經選擇以調整微波訊號320(1)、320(2)之一或兩者的振幅。雙相位訊號產生器315可接著提供具有相位移及/或振幅的微波訊號320(1)和320(2),使得當微波訊號經由系統傳播時,將P與Q點間的相位移驅動成期望相位差,及/或在點P和Q處的量測振幅為所期。
視情況,使用者輸入裝置314可將一或更多個目標參數316提供至訊號控制器312。使用者輸入裝置314可以各種方式實現,諸如藉由物理交換器提供直接由訊號控制器312接收的輸出,或作為自使用者介面(例如,鍵盤、其他按鈕、或圖形使用者介面(GUI))獲取目標參數的系統管理硬體和軟體的一部分。目標參數316例如可包括如監測天線211(1)和211(2)處量測的期望相位差或對驅動到波導210中的微波的一或兩者的振幅調整。目標參數316連同來自監測天線211(1)和211(2)的類比訊號可由訊號控制器312採用以產生數位校正訊號313。例如,當採用目標相位差時,可首先基於來自監測天線211(1)和312(1)的訊號產生數位校正訊號313,隨後可藉由加上或減去目標參數316來調整數位校正訊號313。一旦發送數位校正訊號313,雙相位訊號產生器315可提供具有對應偏移的訊號320(1)和320(2),直到在P與Q點間的相位移根據目標參數驅動為止,並且將數位校正訊號313驅動成其目標值或零。在另一實例中,當採用目標振幅調整時,雙相位訊號產生器215可回應此而調整訊號320(1)、320(2)之一或兩者的振幅。
如本文所揭示,可選的使用者輸入裝置314可因此提供有用的獨立自由度,以用於最佳化半導體處理系統,該半導體處理系統包括系統300或其他具有類似能力的系統。例如,對應的半導體處理系統可藉由處理(例如,蝕刻)晶圓來最佳化。除了鍵入使用者輸入裝置314中的不同目標參數以外,每個晶圓可用相同處理參數處理。藉由指示蝕刻系統效能(例如,蝕刻速率、選擇性、歸因於蝕的刻線寬變化等)的晶圓量測以及系統監測(例如,系統穩定時間、終點偵測參數等),可評估系統效能。接著可基於晶圓量測、系統監測及/或其組合來選擇目標參數的最佳值。
熟習此項技術者將理解儘管訊號控制器312與雙相位訊號產生器215協作以調整微波訊號320(1)和320(2)的相位,調諧器250亦繼續調整阻抗匹配,以最小化反射的功率。因此,系統300不犧牲阻抗匹配,而是提供額外的用於電子設備組255(1)和255(2)的相位及/或振幅調整的能力,以最佳化鄰接波導210的處理腔室中的電漿對稱性。亦即,在實施例中,在系統300的操作期間,訊號產生器315調整相位移,同時調諧器250提供阻抗匹配。在其他實施例中,在系統300的操作期間,訊號產生器315調整振幅,同時調諧器250提供阻抗匹配。
本文的實施例認識到隨著晶圓大小變大且半導體製造中產生的幾何形狀變小,對晶圓周圍的處理環境的所有態樣的均勻度控制的需求將增加。由此,本文的實施例調整產生電漿的微波構造,不僅用以匹配阻抗,亦用以在匹配阻抗後調整相位及/或振幅,進而改良晶圓周圍產生的電漿的對稱性。即使在仔細注意處理腔室的對稱性、晶圓在處理腔室中的位置等時,電漿的不對稱性可由許多原因(例如,用於氣體或電漿輸入與輸出的機械不對稱埠口、感測器、晶圓位置、晶圓平坦面、電纜長度、上述任一部件的材料變化等)引起。為矯正此等原因,除了阻抗匹配之外,附加控制度可提供額外且有用的工具,以改良電漿處理的均勻度。儘管系統300可經由電子調整振幅和相位而提供一些控制,下文所述的進一步機械及電氣調整亦有助益。
第4圖係示出電漿處理系統400的一部分的示意性截面圖,該電漿處理系統調整波導空腔467內可移動導電板420的高度d1 。在系統400中,與殼體405耦接的導電板437形成波導空腔467的下界。介電板469鄰接導電板437且與該導電板直接接觸。類似上文結合第2A圖的論述,d1d2 分別影響波導空腔467和介電板469支援特徵模態的能力。儘管頻率調整可用於調整一個或其他此等佈置來支援特徵模態,頻率調整可能無法同時在波導467和介電板469中建立特徵模態條件。亦即,附加調整自由度係有用的。
系統400包括一或更多個調整裝置410,該調整裝置與殼體405和可移動導電板420耦接。可移動導電板420跨微波空腔467面向處理腔室430,亦即,板420係在波導空腔467離處理腔室430的遠側上。調整裝置410在第4圖中圖示為螺桿,但如下所論述,許多其他類型的調整裝置可使用。在第4圖所示出的實施例中,裝置410延伸穿過殼體405的天花板415中的開口412。每個裝置410的高度和殼體405內可移動導電板420的對應高度可用對應螺母411調整。此附加自由度例如允許例如首先調諧微波頻率,以支援板469內的特徵模態條件;接著可調諧d1 ,使得可移動導電板420處於波導467內的特徵模態位置。藉由調諧此等結構的每個來支援其相應特徵模態,在介電板469的下表面處提供了有效耦接和高場強度(例如,用於點燃電漿)。d3 表示介電板469在處理腔室430的地板表面上方的高度,且將至少在某種程度上受限於提供用於工件支架和工件本身(例如,第2A圖的底座135和工件50)的間隙的需求。
如同上文論述的導電板137,導電板437和420可由例如金屬形成,但亦可由其他導電材料、或用保護性(例如,未必導電)的材料部分或完全塗覆的導電材料形成。
儘管圖示桿與螺母的調節裝置來說明調整裝置410的概念,但熟習此項技術者將明瞭任何類型的機械或電磁致動器均可替代所示的桿與螺母的組合。例如,齒輪裝置、彈簧加載裝置、磁性致動器、步進馬達、壓電致動器或其他電磁裝置均可代替。本領域中一般技藝人士在閱讀及理解本揭示時將很容易認識到可用作調整裝置或致動器的替代、等效物、修改、和中間組合。在一些實施例中,複數個中空區域在介電板469的下表面上形成,以促進電漿點燃,如結合第1圖的介電板169所論述。
某些實施例採用單個裝置410來調整跨波導空腔467的d1 ,而其他實施例則使用三個裝置410來相對於板437調整由可移動導電板420形成的平面。裝置410到可移動導電板420的連接可包括允許可移動導電板420相對於裝置410傾斜的撓性材料或接頭。又一些其他實施例可使用更多具有可變形導電板420的裝置410,以提供對d1 和波導空腔467內的微波的點對點控制,而不考慮可移動導電板420或底板437的平面性。視情況,每個裝置410在可移動導電板420上方由導電波紋管413圍住,該等波紋管與天花板415機械及電氣耦接。波紋管413因此確保可移動導電板420處於與殼體405相同的電位,並且可含有任何可能脫落的顆粒,其中裝置410經過天花板415並嚙合螺母411。
在系統400中,在可移動導電板420與殼體405的側面之間可能需要小的間隙,以確保導電板420可自由移動。這可藉由允許一些微波經由間隙傳播而不利地影響波導空腔467內的微波的傳播,此舉可干擾特徵模態條件。如第4圖中表示為A的區域示出於第5A圖直至第5D圖中,作為管控此影響的選項。第5A圖所示出的RF墊片或第5B圖所示出的RF扼流器之一可安裝在可移動導電板420四周,且將因此存在於區域A’以及區域A中(例如,A及A’在殼體405的周緣四周彼此呈180度)。
第5A圖示出了插入可移動導電板420與殼體405之間的RF墊片440。RF墊片440將通常有效減少微波洩漏,但可能刮擦可移動導電板420和殼體405的一或兩者。此舉可能產生金屬顆粒,該等金屬顆粒可能不期望地影響及回應於微波而在波導空腔467四周移動。
第5B圖示出了RF扼流器431,該RF扼流器包括與可移動導電板420耦接的導電支架442、及由支架442抵靠可移動導電板420的頂表面固持的介電材料444。有利地,介電材料444具有側向尺寸L1 =,其中ε1 係材料444的介電常數,λ 係微波波長,且m 係大於零的任一整數。
第5C圖示出了RF扼流器432,該RF扼流器包括RF扼流器431的特徵並增加了第二介電材料445。有利地,介電材料444具有尺寸L2 =,其中ε2 係材料445的介電常數,λ 係微波波長,且p 係大於零的任一整數。應考量介電質會摩擦殼體405的事實;柔軟及/或不脫落的介電質可用作材料445,該等介電質諸如聚四氟乙烯(例如,Teflon®)或聚醚醚酮(PEEK)。
第5D圖示出了RF扼流器433,該RF扼流器僅包括第二介電材料445。在此實施例中,有利地,介電材料445具有尺寸L3 =,其中ε2 係材料445的介電常數,λ 係微波波長,且n 係大於零的任一奇數。L3 經選擇以防止電磁波在介電質填充的間隙中激發,因此減少微波經由間隙的洩漏。
本文的某些實施例可解決諸如訊號產生器、放大電子設備及/或其他部件(例如,第3圖的電子設備組225)無法提供足夠寬的調諧範圍或期望多種共振模態的情況。對此的一種方式為移除界定波導空腔(例如,空腔167或467)的導電板,使得殼體(例如,殼體405)定義單個空腔。
第6圖係示出包括第4圖的電漿處理系統400的大部分特徵的電漿處理系統470的一部分的示意性截面圖。然而,系統470不包括空腔467的底部處的導電板。因為系統470中所用的部件與系統400中的彼等相同,相同元件符號用於此等部件,但因為電氣行為不同,一些尺寸標示方式不同。d2 1現表示空腔467的氣隙高度,而d22 表示介電板469的厚度。
電氣上,系統470係由殼體405和具有厚度dp 的可移動導電板420圍界的單個空腔。然而,介電板469的厚度及介電常數以及所示尺寸d21 仍會影響微波如何在空腔內傳播,包括影響特徵模態條件。因此,用於調整可移動導電板420的高度的機構(本文再次圖示為調整裝置410和螺母411)仍為調諧空腔467內的微波條件的有用工具。當然,熟習此項技術者明瞭任何類型的機械或電磁致動器均可替代所示桿與螺母組合;結合系統400的關於此等特徵的所有論述可等效應用到系統470。利用使此高度調整裝置就位,d2 1可被認為係最小值0至最大值d21 最大值 的變量,其中可移動導電板420將緊靠天花板415(可移動導電板420的下表面將為天花板415下方的可移動板的厚度dp )。實際上,0和d21 最大值 的極限可進一步受限於可移動板機構的行程極限。
有利地,系統470可調整以支援殼體405的一部分(標記為空腔475)內的至少一個特徵模態。此舉允許場有效往下耦接至介電板469的下表面,其中該等場將耦接至電漿60中。當d21 處於其最小值時,特徵模態將具有最大頻率f 最大值 ;當d21 處於其最大值時,特徵模態將具有最小頻率f 最小值 。有利地,驅動微波輸入466的電子設備組支援從f 最小值 f 最大值 的所有頻率,使得可針對可移動導電板420的任何實體設定支援特徵模態。針對可獲自電子設備組的任何頻率,一般將存在一個可用於可移動導電板420的特徵模態位置,儘管若d21 最大值 足夠大,則可存在一個以上的可用特徵模態位置。
第7圖係示出包括第6圖的電漿處理系統470的大部分特徵的電漿處理系統480的一部分的示意性截面圖。然而,系統480不包括可移動天花板。當殼體405、其中部件的特性以及尺寸d21d22 為熟知及/或可控制時,可能提供其中具有真空密封的介電板469的單式殼體,其中調諧尺寸使得特徵模態由空腔485支援,空腔485類似第6圖的系統470的空腔475。另外,若驅動微波輸入466的電子設備組支援頻率調整,則可能調諧微波頻率以支援空腔485內的特徵模態,儘管如此事實上d21d22 係固定的。或者,若在密封殼體405之前調整d21 (例如,藉由利用調整介電板469的高度的臨時機構特性化通電的系統480,連同該臨時機構稍後可抽出),則可利用更少的活動零件或調整提供呈更緊湊形式的完成系統480。類似第4圖的系統400,大小、重量、成本、所用材料等的考量可用於選擇尺寸d21d22d22 尤其需要足夠厚以在處理腔室430抽空時承受遍及介質板469的大氣壓力,但當d22 非常高時,介電板469將變得又重又貴。
第8圖係示出包括第7圖的電漿處理系統480的大部分特徵的電漿處理系統490的一部分的示意性截面圖。然而,系統490係由頂部注入的微波輸入468供電,而非由第4圖、第6圖及第7圖的系統400、470和480中所示的側面注入的微波輸入466供電。儘管其他實施例理論上可使用頂部注入的微波輸入,如輸入468,整合此類輸入與此等實施例的可移動板可能很難。如同系統480,可以提供呈緻密形式的系統490,特別係在整合一或更多個側面注入的微波輸入466有困難的應用中。
在實施例中,藉由將d21 減至零,可進一步修改第7圖及第8圖的設計。第9圖係示出包括與第7圖的電漿處理系統480類似的特徵的電漿處理系統495的一部分的示意性截面圖,其中d21 設定為零,亦即消除空腔467。如同系統480和490,可提供呈緻密形式的系統495。在系統495的替代實施例中,側面注入的微波輸入466可用第8圖的系統490的頂部注入的微波輸入468代替。
第10圖係示出包括第4圖的電漿處理系統400和第7圖的處理系統480的大部分特徵與操作概念的電漿處理系統500的一部分的示意性截面圖。系統500在板437與介電板520之間引入間隙510,類似介電板469,介電板520密封處理腔室430而與間隙510和空腔467隔開,使得當處理腔室430抽空時,彼等區域不會被抽空。空腔505可經定義成包括間隙510和介電板520。接著以類似第7圖的處理系統480的方式,若驅動微波輸入466的電子設備組支援頻率調整,則可能調諧微波頻率,以支援空腔505內的特徵模態,儘管如此事實上d21d22 係固定的。亦即,頻率調整可用於調諧空腔505,以支援另一個特徵模態,而可移動導電板420可用於調諧空腔467,以支援另一個可能的類似特徵模態(例如,若TE112 在空腔505內激發,則有利地TE111 可在空腔467內激發)。
第11圖係示出包括第10圖的電漿處理系統500的大部分特徵與操作概念的電漿處理系統550的一部分的示意性截面圖。系統550引入可控制高度的可調整介電層530,例如該介電層可為液體介電質層(或用液體介電質填充的液囊),該介電質層在微波頻率下不會吸收顯著能量。用於可調整介電層530的適宜材料為全氟聚醚(PFPE),其具有介電常數ε=1.94及損耗正切tanδ=2×10-4 。空腔505可定義成包括間隙510、可調整介電層530和介電板520。空腔505內的高度d21 可定義為間隙510的高度d211 與可調整介電層530的高度d212 的電長度和,亦即,其中ε 液體 係介電層530的介電常數。控制器540可經由流體連接來增加或移除液體介電質的部分,且因此機械調節可調整介電層530,以建立或維持空腔505內的特徵模態條件。此舉提供了用於最佳化系統550的操作的額外自由度,因為可移動導電板420可用於最佳化空腔467,並且可調整介電層530可用於最佳化空腔505,而無需調諧經由微波輸入466供應的微波頻率。因此,若供電給微波輸入466的電子設備組係可調諧的,則可調諧性可保留以最佳化其他特徵(例如,建立或維持處理腔室430內的特徵模態條件)。或者,可使用不可調諧的電子設備組以降低成本。
第12圖係示出包括第10圖的電漿處理系統500的大部分特徵與操作概念的電漿處理系統560的一部分的示意性截面圖。系統560引入包括微波空腔467的上殼體515和包括處理腔室430的下殼體517。上殼體515與下殼體517的相對位置經由致動器570調整,如同調整裝置410,該致動器可為機械、磁性、電磁、壓電或等等。調整上殼體515與下殼體517的相對位置致使分別增加或減小間隙510的高度。空腔507可定義成包括間隙510和介電板520,因此,致動器570的延伸或收縮可以類似可調整介電層530調諧系統550的空腔505(第11圖)的方式來調諧空腔507。第5A圖所示出的RF墊片或第5B圖所示出的RF扼流器之一可安裝在上殼體515與下殼體517之間的界面處,且因此將存在於區域B’以及區域B中(例如,B和B’在殼體515、517的周緣四周彼此呈180度)。
現論述的方法提供了在處理期間減輕不均勻度的局部作用的手段。尺寸變化、材料不均勻度、設備不對稱性等可致使處理腔室的某些區域比其他區域具有更劇烈的電漿作用。此等作用可能在系統內局部化或普遍化;亦即,一些製程不均勻度可影響難以預先預測的個別位置,而其他不均勻度可相對於圓柱形波導210徑向或方位預測。當此等作用發生時,由電漿處理的工件50的對應區域可能受到不均勻地處理。
第13圖示出了工件50(例如,半導體晶圓)上的製程變化的示例性圖案。歸因於一或更多個細微參數變化,該等參數諸如氣體分佈均勻度、電場均勻度、處理腔室材料變化、及/或存在不對稱物品(諸如與處理腔室相關的感測器、出入口、工件處置裝備等),區域580經處理成具有標稱結果,而區域588則處理成具有較差結果。區域580與588之間的顯著表現差異僅出於說明的目的。亦即,區域588可接受處理(例如,蝕刻或沉積),該處理可與區域580中接受的處理僅相差數個百分比,但仍可期望儘可能減少百分比。
在實施例中,經由純電氣構件,可實現區域588的作用以繞工件50旋轉,而工件50本身保持固定。第14圖示出了慢速旋轉波處理對工件50的處理的作用。在本文中使用術語「慢速旋轉(slow rotating)」或「緩慢旋轉(slowly rotating)」意指以遠比電磁輻射的傳播頻率低的速率或頻率(例如,不超過1/1000th )隨時間改變的波圖案。例如,本文的標稱微波頻率可為約2.5 GHz,但慢速旋轉模態將具有頻率<1 MHz,且通常為<10 KHz。
如圖所示(且可類似地可在順時針方向中旋轉),可使區域588的重影輪廓(標記為588’)在工件50上方逆時針方向中旋轉。旋轉區域588’可看作平滑或抹去最初存在於區域588中的作用,使得工件50無一部分受到與初始區域588相同程度的影響。在所示實例中,區域588’不會在工件50的內部和外部區域上方旋轉,因此此等區域仍被標記為區域580’。小部分區域588’在靠近工件的內部和外部區域的帶582上方旋轉,而大部分區域588’在帶582之間的帶584上方旋轉。(應理解僅出於說明之目的將工件50繪示成具有帶;處理作用將在彼此中逐漸減弱,而非在帶582及/或584的邊緣處形成階梯函數變化。)由此,相較於初始區域588中的此等處理作用的密集度,存在於初始區域588中的處理作用可散佈在更大面積上方且將跨帶582和584減小。
選擇Ω以使得在電漿處理過程期間發生許多場旋轉允許對應的電漿不均勻度旋轉,因此平滑由不均勻度致使的「熱」或「冷」處理點(例如,如區域588)對工件的影響。Ω亦可由使用者根據量測的處理均勻度結果來憑經驗調整。
波導空腔內的旋轉波圖案對相鄰處理腔室中產生的電漿的作用亦可取決於旋轉速度而不同。當Ω低於約100 Hz時,電漿點燃可緊接在場旋轉之後。因此,不僅場、還有電漿均以旋轉頻率Ω旋轉。當Ω高於約1000 Hz時,電漿不可在場之後,但對電場強度的總體分佈敏感,進而產生徑向對稱的均勻電漿分佈。在介於約100 Hz與1000 Hz之間的中間值處,作用係旋轉及徑向對稱電漿分佈的混合。在歸因於隨機原因的局部電漿作用與旋轉頻率間亦可存在相互作用,使得有時調整旋轉頻率Ω增加或減少局部處理不均勻度。此等相互作用可藉由在各種旋轉頻率Ω上特性化製程效能及選擇提供最佳均勻度的Ω值而定位。有利地,此等作用可以純電子方式實現,例如藉由令使用者簡單地輸入適當微波訊號的期望值及令處理裝備(例如,訊號產生器215)的控制器按照以下論述計算適當微波訊號。亦即,無需機械裝備修改。
現在利用第3圖的系統300所示的座標系統的上下文中考量上文提及的系統200、300、400、470、480、495、500、550和560的任一者的構造。在所論述的一些實施例中,針對廣義TETM 模態(例如,TEmnl /TMmnl ),提供具有旋轉角頻率Ω(Ω/2π~0.1-1000 Hz)的慢速波旋轉,作為在處理期間減輕不均勻度的局部作用的手段。
假設微波以載波頻率ω自第3圖的系統300中的微波輸入P和Q注入,其中時變振幅分別與cos(Ωt )和cos(Ωt +φ)呈比例。在此φ表示稍後測定的時間相位延遲,且t 表示時間。藉由自微波輸入P注入而激發的圓柱形空腔中的TEmnl /TMmnl 模態可表示成:式(1) 其中取決於徑向(r)與軸向(z)座標的項包括在係數a 中,且m 係正整數。
式(1)亦可寫成複數形式:式(2)
以相同方式,藉由自微波輸入Q注入而激發的TEmnl /TMmnl 模態可以複數形式描述成:式(3)
改寫式(2)與(3),式(4)式(5)
因此,空腔內產生的波可由下式給出:式(6)
為了提供逆時針旋轉,必須滿足下列條件:式(7)式(8) 或同樣地,式(9)式(10) 其中r和s係任意整數。
同時求解式(9)與(10),逆時針旋轉的條件可表示成:式(11)式(12)
以相同方式,針對順時針旋轉,式(7)和(8)變成:式(13)式(14) 且式(9)與(10)變成:式(15)式(16)
同時求解式(15)與(16),順時針旋轉的條件可表示成:式(17)式(18)
比較式(11)&(12)與(17)&(18),埠口Q的角度θq 具有相同形式,而逆時針與順時針旋轉間的時間相位延遲(φant 和φclk )相差π。
明確地,式(11)&(12)、(17)&(18)可求解為:
第一種情況:r=1,s=0。 式(19)
第二種情況:r=2,s=0。 式(20)
回到逆時針旋轉的情況,式(6)的所得場可以複數形式表示成:式(21) 及以實數形式表示成:式(22) 這意味著所得波按角頻率Ω/m 在逆時針方向中緩慢旋轉。
式(4)與(5)在逆時針旋轉下可表示成: 式(23)
以實數形式為: 式(24)
為導出埠口P和Q的輸入波形,必須將P和Q的座標代入θ為: 式(25-1)
等式(25-1)提供在(逆時針旋轉)的情況下,埠口P和Q處的輸入波形的通式。
在式(20)的情況下,亦即θp =0,且式(7):,式(25-1)變成: 式(25-2)
式(25-2)提供在(逆時針旋轉)且的情況下,埠口P和Q處的輸入波形。
針對順時針旋轉,對應於式(21)至(25-2)的等式分別表示如下:式(26)式(27) 式(28) 式(29) 式(30-1)
式(30-1)提供在(順時針旋轉)的情況下,埠口P和Q處的輸入波形的通式。
在式(20)的情況下,亦即θp =0,且式(7): 式(30-2)
等式(30-2)提供在(順時針旋轉)且的情況下,埠口P和Q處的輸入波形。
以上推導可用作將輸入提供到電子設備組的基礎,使得微波空腔內的給定波圖案可隨時間旋轉。例如,可能期望發送頻率ω的微波,並使所得波圖案以角頻率Ω旋轉。參看第3圖,已知從電子設備組225(1)和225(2)輸送電磁輻射的相對位置P和Q。訊號產生器215可預程式化以選擇ω及/或Ω,或使用使用者輸入裝置314鍵入以作為使用者偏好事項。在已知所有此等參數的情況下,若旋轉應在逆時針方向中,則訊號產生器215根據等式25-2計算Ap Aq ,或若旋轉應在逆時針方向中,則根據等式30-2計算Ap Aq ,並且根據所計算的Ap Aq 提供輸入訊號220(1)和220(2)。
與上文論述的垂直特徵模態相反,某些均勻度問題亦可藉由激發微波空腔內的不同徑向的特徵模態來解決,以更改徑向電漿特性。例如,假定特性化顯示發現存在如同第13圖的區域588的區域。區域588不在工件50內的中心處,而是差不多在其中心與邊緣之間。利用習知微波源難以移除不均勻度致使的區域588。
移除不均勻度的新建議為使用如第15圖所示出的電場590產生電漿60,該電漿具有不對稱的高邊緣輪廓。電漿60的分佈由給定位置處的電場的平方決定。如第16圖所示,第15圖所示出的場可藉由將第一TE 模態(諸如TE111 模態591)疊加至不同階次的第二TE 模態(諸如TE011 模態591)上而產生。僅考慮電場Eθ 的方位分量,因為TE011 模態591的徑向分量總是為零。此舉可導致TE111 模態591和TE011 模態593的共振頻率略微不同。例如,針對直徑約400 mm的特定空腔,TE111 模態593的共振頻率=2.431 GHz,而針對相同空腔,TE011 模態591的共振頻率=2.467 GHz。由此,隨著時間流逝,所得場590的形狀(第15圖)將改變。為避免此情況,電磁輻射頻率ω111 ω011 間的相位差必須定期重設為零。
如上文所論述,不同階次的TE 模態亦可利用慢速旋轉供應。例如,針對TE111 模態591的輸入功率可以下列形式在P和Q點處(第3圖)注入, 式(31) 進而產生逆時針旋轉。時間相依的慢速旋轉角頻率Ω可經分配來減輕不均勻度。然而,針對TE011 模態593的功率注入具有一定的自由度,因為TE011 模態593係方位對稱的。針對TE011 模態593提供輸入功率的一個代表性形式將使用下列形式, 式(32)
Ω的時間相依分配可由訊號產生器215實現。通常,不同階次模態(諸如TE11X TE01X ,其中x=1或2)間的頻率差取決於供電腔室的大小而跨越約30至140 MHz。能電腦處理的硬體(諸如微處理器或FPGA)可在訊號產生器215內實現,以決定及重設此等模態相對於彼此的時間相位。
上文剛剛論述的策略亦可用於裝備能力或其他製程限制致使簡單徑向處理不均勻度的情況。例如,第21A圖示出了在用標稱電漿製程處理之後的工件50,該標稱電漿製程在外部區域710與內部區域720中產生不同結果。在蝕刻製程的情況下,區域720可能經歷標稱蝕刻,而區域710經歷減少的蝕刻,但此情境亦可相反或應用到另一製程類型(例如,沉積製程)。若標稱製程以蝕刻時間t1 利用由TE011 模態激發的電漿提供,則額外蝕刻時間t2 可加至該製程,其中蝕刻利用由高邊緣模態(諸如TE211 )激發的電漿提供。如同上文的處理策略,這簡單地僅經由電子手段實現,亦即,訊號產生器215可提供適當頻率、相位及/或振幅變化,以提供TE011 TE211 及/或其他模態。無需機械裝備改變。第21B圖示意性示出了實現此策略的程序,其中第一程段730(例如,使用TE011 模態的標稱蝕刻製程)以標稱功率進行直到時間t1 ,且隨後第二程段740(例如,使用TE211 模態及/或其他模態的訂制高邊緣蝕刻製程)繼續進行時間增量t2 。相較於程段730,電漿功率在程段740中圖示為減小,但情況不一定如此,程段740的功率可等於或甚至大於程段730的功率。亦可增加進一步的程段。本領域中一般技藝人士將容易認識到此技術的替代、等效物、修改、擴充、和中間組合。
腔室幾何形狀及/或構造亦可使慢速旋轉及/或多階TE模態的實現變得具有挑戰性,特別係當相同頻率必須在兩個不同空腔中共振時(例如,如在第10圖、第11圖及第12圖的系統500、550和560中)。解決此挑戰的途徑為以低品質因子設計共振空腔的一或兩者,使得共振峰在振幅上較不明顯,但在頻率方面較寬。
第17圖係方法600的流程圖,該方法產生電漿來處理工件。方法600可使用本文所揭示的系統100、400、500、550和560的一或更多個來實現。方法600的第一步驟602將一或更多種處理氣體引入處理腔室(例如,處理腔室130或430)中。處理腔室至少部分用介電板(例如,介電板169、469、520)密封,該介電板能夠支承對應於處理腔室抽空的壓差。方法600的第二步驟604將電磁輻射(例如,來自電子設備組225(2)、225(2))傳播到波導空腔(例如,空腔167或467)中,該波導空腔鄰接處理腔室設置。波導空腔至少部分由第一導電板(例如,導電板420)圍界,該第一導電板面向處理腔室且從處理腔室跨波導空腔設置。空腔亦至少部分由第二導電板(例如,板437)圍界,該第二導電板設置在波導空腔與介電板之間。第二導電板形成複數個孔(例如,槽孔168),該孔允許電磁輻射經由第二導電板、經由介電板而傳播到腔室中。第三步驟606點燃來自處理氣體的電漿。第四步驟608利用經由第二導電板的孔傳播到處理腔室中的電磁輻射供應功率以維持電漿。
方法600可變化,以便使用本文所揭示的任何裝備變化,其中該等裝備變化與所列步驟602直至608相容。本領域中一般技藝人士在閱讀及理解本揭示時,基於本文揭示的概念和裝備能力,不僅能夠實踐具體列出的方法600,亦很容易認識到所揭示的步驟的替代、等效物、修改、擴充、和中間組合。
第18圖係方法610的流程圖,該方法在處理腔室中提供電磁輻射以供電給電漿。方法610可使用本文所揭示的系統100、400、470、480、490、500、550和560的一或更多個來實現。方法600的第一步驟612將一或更多種處理氣體引入處理腔室(例如,處理腔室130或430)中。方法610的第二步驟614將電磁輻射(例如,來自電子設備組225(2)、225(2))從至少兩個位置P和Q(第4圖)傳播到波導空腔(例如,空腔167或467)中,該波導空腔鄰接處理腔室設置。波導空腔與處理腔室分離(例如,藉由介電板,諸如介電板169、469、520),使得處理腔室可在不抽空波導空腔的情況下抽空,而波導空腔內的電磁輻射仍可傳播到處理腔室中。位置P和Q以相應角度θp θq 設置在波導空腔的周緣四周(第4圖),且電磁輻射以微波頻率ω與旋轉頻率Ω在波導空腔內提供(等式1-32)。第三步驟616點燃來自處理氣體的電漿。第四步驟618藉由從波導傳播到處理腔室中的電磁輻射供應功率以維持電漿。
方法610可變化,以便使用本文所揭示的任何裝備變化,其中該等裝備變化與所列步驟612直至618相容。本領域中一般技藝人士在閱讀及理解本揭示時,基於本文所揭示的概念和裝備能力,不僅能夠實踐具體列出的方法610,亦很容易認識到所揭示步驟的替代、等效物、修改、擴充、和中間組合。
第19圖係方法620的流程圖,該方法用於在處理腔室中的電漿處理。方法620可使用本文所揭示的系統100、400、470、480、490、500、550和560的一或更多個來實現。方法620的第一步驟622將一或更多種處理氣體引入處理腔室(例如,處理腔室130或430)中。處理腔室鄰接波導空腔(例如,空腔167或467)設置,且波導空腔與處理腔室分離,而不阻擋波導空腔內的電磁輻射傳播到處理腔室中(例如,藉由介電板,諸如介電板169、469、520)。方法620的第二步驟624將電磁輻射傳播到波導空腔中(例如,從電子設備組225(2)、225(2))。電磁輻射以微波頻率ω提供。在波導空腔內供應的功率的第一部分由波導空腔內以第一TE 模態共振的電磁輻射的一部分供應,且在波導空腔內供應的的功率的第二部分由波導空腔內以第二TE 模態共振的電磁輻射的一部分供應(第15圖、第16圖)。第三步驟626點燃來自處理氣體的電漿。第四步驟628藉由從波導傳播到處理腔室中的電磁輻射供應功率以維持電漿。
方法620可變化,以便使用本文所揭示的任何裝備變化,其中該等裝備變化與所列步驟622直至628相容。本領域中一般技藝人士在閱讀及理解本揭示時,基於本文所揭示的概念和裝備能力,不僅能夠實踐具體列出的方法620,亦很容易認識到所揭示的步驟的替代、等效物、修改、擴充和中間組合。
第20圖係方法630的流程圖,該方法用於在處理腔室中處理工件。方法630可使用本文所揭示的系統100、400、470、480、490、500、550和560的一或更多個來實現。方法620的第一步驟622將工件放置在處理腔室(例如,處理腔室130或430)中。處理腔室鄰接波導空腔(例如,空腔167或467)設置,且波導空腔與處理腔室分離,而不阻擋波導空腔內的電磁輻射傳播到處理腔室中。方法630的第二步驟634在處理腔室中用第一電漿(例如,電漿60)處理工件。步驟634包括將一或更多種處理氣體引入處理腔室中、將電磁輻射傳播到波導空腔中(例如,從電子設備組225(2)、225(2))、點燃來自處理氣體的電漿、以及藉由從波導空腔傳播到處理腔室中的電磁輻射供應功率以維持電漿。用於第一電漿的功率的至少一部分由波導空腔內以第一TE 模態共振的電磁輻射供應。方法630的第三步驟636在處理腔室中用第二電漿(例如,電漿60)處理工件。步驟636包括將一或更多種處理氣體引入處理腔室中、將電磁輻射傳播到波導空腔中(例如,從電子設備組225(2)、225(2))、點燃來自處理氣體的電漿、以及藉由從波導空腔傳播到處理腔室中的電磁輻射供應功率以維持電漿。用於第一電漿的功率的至少一部分由波導空腔內以第二TE 模態共振的電磁輻射供應。第一TE 模態的階次不同於第二TE 模態。
方法630可變化,以便使用本文所揭示的任何裝備變化,其中該等裝備變化與所列步驟632直至636相容。本領域中一般技藝人士在閱讀及理解本揭示時,基於本文所揭示的概念和裝備能力,不僅能夠實踐具體列出的方法630,亦很容易認識到所揭示的步驟的替代、等效物、修改、擴充和中間組合。
在已描述了若干實施例之後,熟習此項技術者將認識到在不脫離本發明的精神的情況下可使用各種修改、替代構造、和等效物。此外,一些熟知的製程和元件並未描述,以免不必要地混淆本發明。由此,以上描述不應視為限制本發明的範疇。
應理解提供數值範圍時,除非上下文另外清楚指明,亦具體揭示了介於該範圍的上限與下限之間的每個中間值直到下限單位的十分之一。介於所述範圍內的任何所述值或中間值與該所述範圍內的任何其他所述值或中間值之間的每個較小範圍也包含在內。此等較小範圍的上限和下限可獨立地包括在該範圍內或排除在該範圍外,並且任一個限值、沒有一個限值或兩個限值包括在該等較小範圍中的每個範圍亦包含在本發明內,依所述範圍中任何特別排除的限值而定。當所述範圍包括限值之一或兩者時,排除彼等所包括的限值的任一者或兩者的範圍亦包括在內。
除非上下文另外清楚指明,如本文和隨附申請專利範圍中使用的單數形式「一(a)」、「一(an)」、和「該(the)」包括複數參考。因此,例如,提及「一製程」包括複數個此類製程,且提及「該電極」包括提及一或更多個電極和熟習此項技術者已知的其等效物。再者,當在本說明書和以下申請專利範圍中使用時,「包含(comprise)」、「包含(comprising)」、「包括(include)」、「包括(including)」、和「包括(includes)」等用語意欲規定存在所述特徵、整數、部件、或步驟,但並不排除存在或增加一或更多個其他特徵、整數、部件、步驟、動作、或群組。
50‧‧‧工件
60‧‧‧電漿
100‧‧‧電漿處理系統
105、110‧‧‧殼體
115‧‧‧晶圓介面
120‧‧‧使用者介面
130‧‧‧處理腔室
135‧‧‧底座
137‧‧‧導電板
140‧‧‧控制器
150‧‧‧電源供應器
155‧‧‧氣體供應器
160‧‧‧真空
162‧‧‧開口
165‧‧‧RF產生器
166‧‧‧微波輸入
167‧‧‧波導空腔
168‧‧‧槽孔
169‧‧‧介電板
170‧‧‧通用電力
200‧‧‧電漿處理系統
210‧‧‧波導
211、211(1)-(2)‧‧‧天線
215‧‧‧訊號產生器
220(1)-(2)‧‧‧訊號
225、225(1)-(2)‧‧‧電子設備組
230‧‧‧固態放大器
235(1)-(2)‧‧‧放大的微波訊號
240‧‧‧循環器
245‧‧‧虛擬負載
250‧‧‧調諧器
265‧‧‧轉換器
270‧‧‧孔
300‧‧‧系統
312‧‧‧訊號控制器
313‧‧‧校正訊號
314‧‧‧使用者輸入裝置
315‧‧‧訊號產生器
316‧‧‧目標參數
318(1)-(2)‧‧‧接線
320、320(1)-(2)‧‧‧訊號
400‧‧‧電漿處理系統
405‧‧‧殼體
410‧‧‧調整裝置
411‧‧‧螺母
412‧‧‧開口
413‧‧‧波紋管
415‧‧‧天花板
420、437‧‧‧導電板
430‧‧‧處理腔室
431-433‧‧‧扼流器
440‧‧‧RF墊片
442‧‧‧支架
444、445‧‧‧介電材料
466、468‧‧‧微波輸入
467‧‧‧波導空腔
469‧‧‧介電板
470、480、490、495‧‧‧電漿處理系統
475、485‧‧‧空腔
500、550、560‧‧‧電漿處理系統
505‧‧‧空腔
510‧‧‧間隙
515、517‧‧‧殼體
520‧‧‧介電板
530‧‧‧介電層
540‧‧‧控制器
570‧‧‧致動器
580、588、588’‧‧‧區域
582、584‧‧‧帶
590‧‧‧電場
591TE111 ‧‧‧模態
593TE011 ‧‧‧模態
600、610、620、630‧‧‧方法
602、604、606、608、612、614、616、618、622、624、626、628、632、634、636‧‧‧步驟
710‧‧‧外部區域
720‧‧‧內部區域
730、740‧‧‧程段
A、A’、B、B’‧‧‧區域
d1d2d3d21d22dp‧‧‧高度
Eθ ‧‧‧電場
L1L2L3‧‧‧尺寸
本揭示可參考以下詳述的描述連同下文簡要描述的圖式來理解,其中若干圖式中始終使用相同的元件符號來表示相似的部件。應注意,出於說明清晰的目的,圖式中某些元件可能未按比例繪製。物品的具體實例可使用括號中的數字表示(例如,監測天線211(1)、211(2)),而無括號的數字表示任一此種物品(例如,監測天線211)。在圖示出物品的多個實例的情況下,為了說明清晰,可僅標示一些實例。
第1圖示意性地示出了根據一實施例的電漿處理系統的主要元件。
第2A圖係示出根據一實施例的電漿處理系統的一部分的示意性等角視圖。
第2B圖係示出形成第2A圖的電漿處理系統的部分的導電板的示意性俯視平面圖。
第3圖係示出根據一實施例的用於將微波提供至採用波導的電漿腔室的系統的主要部件的示意圖。
第4圖係示出根據一實施例的調整波導空腔內的可移動板的位置的電漿處理系統的一部分的示意性截面圖。
第5A圖係示出根據一實施例的用於減少微波在可移動板與殼體之間的洩漏的RF墊片的第4圖的區域的示意圖。
第5B圖係示出根據一實施例的用於減少微波在可移動板與殼體之間的洩漏的第一RF扼流器的第4圖的區域的示意圖。
第5C圖係示出根據一實施例的用於減少微波在可移動板與殼體之間的洩漏的第二RF扼流器的第4圖的區域的示意圖。
第5D圖係示出根據一實施例的用於減少微波在可移動板與殼體之間的洩漏的第三RF扼流器的第4圖的區域的示意圖。
第6圖係示出根據一實施例的包括第4圖的電漿處理系統的大部分特徵的電漿處理系統的一部分的示意性截面圖。
第7圖係示出根據一實施例的包括第6圖的電漿處理系統的大部分特徵的電漿處理系統的一部分的示意性截面圖。
第8圖係示出根據一實施例的包括第7圖的電漿處理系統的大部分特徵的電漿處理系統的一部分的示意性截面圖。
第9圖係示出根據一實施例的包括與第7圖的電漿處理系統類似的特徵的電漿處理系統的一部分的示意性截面圖。
第10圖係示出根據一實施例的包括第4圖和第7圖的電漿處理系統的大部分特徵和操作概念的電漿處理系統的一部分的示意性截面圖。
第11圖係示出根據一實施例的包括第10圖的電漿處理系統的大部分特徵和操作概念的電漿處理系統的一部分的示意性截面圖。
第12圖係示出根據一實施例的包括第10圖的電漿處理系統的大部分特徵和操作概念的另一電漿處理系統的一部分的示意性截面圖。
第13圖示出了工件上的製程變化的示例性圖案。
第14圖示出了根據一實施例的旋轉波製程對工件處理的作用。
第15圖示出了根據一實施例的可在具有腔室壁的腔室內激發的某些徑向特徵模態。
第16圖示出了對應於第15圖中示出的每個特徵模態的尖峰電場強度的位置。
第17圖係根據一實施例的產生電漿來處理工件的方法的流程圖。
第18圖係根據一實施例的在處理腔室中提供電磁輻射以供電給電漿的方法的流程圖。
第19圖係根據一實施例的用於在處理腔室中電漿處理的方法的流程圖。
第20圖係根據一實施例的用於在處理腔室中處理工件的方法630的流程圖。
第21A圖示出了根據一實施例的在外部區域與內部區域中產生不同結果的用標稱電漿製程處理之後的工件。
第21B圖示意性示出了根據一實施例的實現處理策略的程序,其中第一程段以標稱功率進行,且隨後第二程段繼續進行附加時間增量。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種產生一電漿來處理一工件的系統,包含: 一處理腔室,可操作以抽空;一殼體,界定一波導空腔;一第一導電板,設置在該殼體內,其中該第一導電板面向該處理腔室並設置在該波導空腔離該處理腔室的一遠側上;一或更多個調整裝置,與該第一導電板和該殼體耦接,其中該一或更多個調整裝置可操作以在一位置範圍內調整該第一導電板的至少一位置;一第二導電板,與該殼體耦接且插入該波導空腔與該處理腔室之間,該第二導電板其中形成複數個孔,以允許該波導空腔內的電磁輻射經由該等孔傳播到該處理腔室中;一介電板,密封該處理腔室而與該波導空腔隔開,使得當該處理腔室抽空時,該波導空腔不會被抽空;以及一或更多個電子設備組,將該電磁輻射發送到該波導空腔中,使得當至少一種處理氣體在該處理腔室內且該電磁輻射從該波導空腔傳播到該處理腔室中時,形成該電漿。
  2. 如請求項1所述之系統,其中當該第一導電板經調整成該位置範圍內的一特徵模態位置且該一或更多個電子設備組將該電磁輻射發送到該波導空腔中時,該波導空腔能夠支援一特徵模態。
  3. 如請求項1所述之系統,其中該處理腔室設置在該殼體內。
  4. 如請求項1所述之系統,其中該一或更多個調整裝置由三個該等調整裝置組成,使得調整該等三個調整裝置調整了該第一導電板在該位置範圍內的該位置及該第一導電板相對於該殼體的一傾斜。
  5. 如請求項1所述之系統,進一步包含與該一或更多個調整裝置一一對應的一或更多個導電波紋管,其中該一或更多個導電波紋管的每個圍繞其相應調整裝置,並電氣連接該第一導電板與該殼體。
  6. 如請求項1所述之系統,其中該一或更多個調整裝置的每個包含與該第一導電板耦接的一螺桿、一齒輪裝置、一磁性致動器、一步進馬達、或一壓電致動器。
  7. 如請求項1所述之系統,進一步包含設置有該第一導電板的一RF墊片或一RF扼流器,以減少微波經由該第一導電板與該殼體間的一間隙的洩漏。
  8. 如請求項1所述之系統,進一步包含設置有該第一導電板的一RF扼流器,以減少微波經由該第一導電板與該殼體間的一間隙的洩漏,其中該RF扼流器包括: 一導電支架,設置在該第一導電板的一周圍四周,在該第一導電板背離該第二導電板的一側上;以及一介電材料,由該導電支架抵靠該第一導電板固定,其中該介電材料具有一側向尺寸L1 =,其中ε1 係該介電材料的一介電常數,λ係該電磁輻射的該波長,且m 係大於零的任一整數。
  9. 如請求項1所述之系統,其中該一或更多個電子設備組的每個匹配其驅動阻抗與由該波導空腔呈現的對該電磁輻射的一阻抗。
  10. 如請求項1所述之系統,進一步包含一訊號產生器,該訊號產生器至少用於: 將一第一微波訊號提供到該一或更多個電子設備組中的一第一電子設備組;以及將一第二微波訊號提供到該一或更多個電子設備組中的一第二電子設備組;並且其中:該等第一和第二微波訊號具有一共同頻率;以及該等第一和第二電子設備組分別放大該等第一和第二微波訊號,以提供該電磁輻射。
  11. 如請求項10所述之系統,進一步包含: 至少兩個監測天線,其中該等監測天線的每個設置在該波導的一周緣四周、離該等第一和第二電子設備組將該電磁輻射發送到該波導空腔中的位置至少30度;以及一訊號控制器,用於:從該等至少兩個監測天線接收類比訊號;至少基於來自該等至少兩個監測天線的該等類比訊號,測定一數位校正訊號;以及將該數位校正訊號發送到該訊號產生器;其中該訊號產生器回應於該數位校正訊號來調整該等第一和第二微波訊號的一相位和一振幅的至少一者。
  12. 如請求項11所述之系統,其中該第一電子設備組包括: 一調諧器,匹配該第一電子設備組的一驅動阻抗與由該波導空腔呈現的一阻抗;一虛擬負載;以及一循環器,將自該波導空腔反射回該第一電子設備組的任何功率分流到該虛擬負載中;其中該訊號產生器調整該等第一和第二微波訊號的該相位和該振幅的至少一者,且同時該調諧器匹配該驅動阻抗。
  13. 如請求項10所述之系統,其中該訊號產生器調整該共同頻率,以支援該介電板內的一特徵模態。
  14. 如請求項1所述之系統,其中該第二導電板鄰接該介電板且與該介電板直接接觸。
  15. 如請求項1所述之系統,其中該第二導電板與該介電板平行,在該第二導電板與該介電板之間有一間隙。
  16. 如請求項15所述之系統,進一步包含一訊號產生器,該訊號產生器至少用於: 將一第一微波訊號提供到該一或更多個電子設備組中的一第一電子設備組;以及將一第二微波訊號提供到該一或更多個電子設備組中的一第二電子設備組;該等第一和第二微波訊號具有一共同頻率;其中該等第一和第二電子設備組的每個分別放大該等第一和第二微波訊號,以提供該電磁輻射;以及該訊號產生器調整該共同頻率,以支援由該間隙和該介電板所形成的一空腔內的一特徵模態。
  17. 如請求項15所述之系統,進一步包含: 一可調整介電層,包含一液體介電質,設置在該間隙內,用於調整由該間隙、該可調整介電層和該介電板所形成的一空腔內的一特徵模態條件;以及一控制器,經構造以增加或移除該液體介電質的部分,以調整該可調整介電層的一厚度。
  18. 如請求項15所述之系統,其中該殼體係一上殼體,且進一步包含: 一下殼體,包括該處理腔室,其中該介電板與該下殼體耦接;以及一致動器,可操作以調整該上殼體相對於該下殼體的相對位置;其中調整該上殼體相對於該下殼體的該相對位置導致調整該第二導電板與該介電板之間的該間隙的距離,以支援該電磁輻射在由該間隙和該介電板所形成一空腔內的一特徵模態。
  19. 一種電漿處理系統,包含: 一處理腔室,可操作以抽空;一或更多個處理氣體供應器,用於將一或更多種處理氣體引入該處理腔室中;一殼體,界定一波導空腔;一或更多個電子設備組,可操作以將電磁輻射發送到該波導空腔中,其中該一或更多個電子設備組的每個匹配其驅動阻抗與由該波導空腔呈現的對該電磁輻射的一阻抗;一第一導電板,設置在該殼體內並在該波導空腔離該處理腔室的一遠側上;至少三個調整裝置,與該第一導電板和該殼體耦接,其中該等至少三個調整裝置可操作以調整該第一導電板在一位置範圍內的至少一位置及該第一導電板相對於該殼體的一傾斜;一第二導電板,與該殼體耦接且插入該波導空腔與該處理腔室之間,該第二導電板其中形成複數個孔,以允許該波導空腔內的該電磁輻射經由該等孔傳播到該處理腔室中;以及一介電板,密封該處理腔室而與該波導空腔隔開,使得當該處理腔室抽空時,該波導空腔不會被抽空;其中:當該第一導電板經調整成該位置範圍內的一特徵模態位置且該一或更多個電子設備組將該電磁輻射發送到該波導空腔中時,該波導空腔能夠支援該等第一和第二導電板之間的一特徵模態;以及當該一或更多種處理氣體中的至少一種處理氣體在該處理腔室內且該電磁輻射從該波導空腔傳播到該處理腔室中時,形成該電漿。
  20. 如請求項19所述之電漿處理系統,進一步包含一訊號產生器,該訊號產生器至少用於: 將一第一微波訊號提供到該一或更多個電子設備組中的一第一電子設備組;以及將一第二微波訊號提供到該一或更多個電子設備組中的一第二電子設備組;並且其中:該等第一和第二微波訊號具有一共同頻率;該等第一和第二電子設備組分別放大該等第一和第二微波訊號,以提供該電磁輻射;以及該等第一和第二電子設備組的每個匹配其相應驅動阻抗與由該波導空腔呈現的對該電磁輻射的一阻抗。
TW107102323A 2017-02-03 2018-01-23 用於電漿均勻度之徑向及方位控制的系統與方法 TWI779004B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/424,488 2017-02-03
US15/424,488 US10431429B2 (en) 2017-02-03 2017-02-03 Systems and methods for radial and azimuthal control of plasma uniformity

Publications (2)

Publication Number Publication Date
TW201841205A true TW201841205A (zh) 2018-11-16
TWI779004B TWI779004B (zh) 2022-10-01

Family

ID=63037305

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107102323A TWI779004B (zh) 2017-02-03 2018-01-23 用於電漿均勻度之徑向及方位控制的系統與方法
TW111133072A TWI828297B (zh) 2017-02-03 2018-01-23 用於電漿均勻度之徑向及方位控制的系統與方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111133072A TWI828297B (zh) 2017-02-03 2018-01-23 用於電漿均勻度之徑向及方位控制的系統與方法

Country Status (6)

Country Link
US (2) US10431429B2 (zh)
JP (2) JP6875536B2 (zh)
KR (1) KR102167868B1 (zh)
CN (2) CN110326082B (zh)
TW (2) TWI779004B (zh)
WO (1) WO2018144613A1 (zh)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10340124B2 (en) * 2015-10-29 2019-07-02 Applied Materials, Inc. Generalized cylindrical cavity system for microwave rotation and impedance shifting by irises in a power-supplying waveguide
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11355317B2 (en) 2017-12-14 2022-06-07 Applied Materials, Inc. Methods and apparatus for dynamical control of radial uniformity in microwave chambers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11107661B2 (en) * 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11348783B2 (en) * 2019-09-05 2022-05-31 Applied Materials, Inc. Methods and apparatus for dynamical control of radial uniformity with two-story microwave cavities
WO2021220459A1 (ja) * 2020-04-30 2021-11-04 株式会社日立ハイテク プラズマ処理装置
KR20230042824A (ko) 2021-09-23 2023-03-30 삼성전자주식회사 플라즈마 제어 장치 및 플라즈마 처리 시스템
CN114914147A (zh) * 2022-05-07 2022-08-16 北京北方华创微电子装备有限公司 一种工艺腔室及平行度的检测方法
CN117457467B (zh) * 2023-12-19 2024-04-19 哈尔滨工业大学 等离子体腔室阵列成像监测装置及空间不均匀性校准方法

Family Cites Families (2003)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS59222922A (ja) 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
JPS6245119A (ja) 1985-08-23 1987-02-27 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2598019B2 (ja) 1987-06-01 1997-04-09 富士通株式会社 感光体の製造方法
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
KR930003136B1 (ko) 1987-10-14 1993-04-22 후루가와덴기 고오교오 가부시기가이샤 프라즈마 cvd에 의한 박막 형성장치
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
EP0478233B1 (en) 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5279705A (en) 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US5217559A (en) 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5274917A (en) 1992-06-08 1994-01-04 The Whitaker Corporation Method of making connector with monolithic multi-contact array
US5880036A (en) 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
WO1994000251A1 (en) 1992-06-22 1994-01-06 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JPH0629099U (ja) * 1992-09-14 1994-04-15 国際電気株式会社 プラズマ発生装置
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06236799A (ja) * 1993-02-10 1994-08-23 Daihen Corp プラズマ処理装置
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5454170A (en) 1994-03-02 1995-10-03 Vlsi Technology Inc. Robot to pedestal alignment head
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3501524B2 (ja) 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3411678B2 (ja) 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (ja) 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JPH08106993A (ja) * 1994-10-03 1996-04-23 Kokusai Electric Co Ltd プラズマ発生装置
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
CN1053764C (zh) 1994-12-09 2000-06-21 中国科学院微电子中心 束致变蚀方法
US5997721A (en) 1994-12-19 1999-12-07 Alcan International Limited Cleaning aluminum workpieces
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
EP0809284B8 (en) 1995-12-28 2007-06-13 Taiyo Nippon Sanso Corporation Method and system for transporting substrate wafers
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JPH09260356A (ja) 1996-03-22 1997-10-03 Toshiba Corp ドライエッチング方法
AU2343397A (en) 1996-03-25 1997-10-17 S. George Lesinski Attaching an implantable hearing aid microactuator
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1068094A (ja) 1996-06-13 1998-03-10 Samsung Electron Co Ltd 遷移金属薄膜用蝕刻ガス混合物およびこれを用いた遷移金属薄膜の蝕刻方法
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5868897A (en) 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19651646C2 (de) 1996-12-12 2002-07-11 Deutsch Zentr Luft & Raumfahrt Verfahren zum Einblasen einer ersten und zweiten Brennstoffkomponente und Einblaskopf
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
DE69821044T2 (de) 1997-04-25 2004-06-17 Fuji Photo Film Co., Ltd., Minami-Ashigara Verfahren zur Herstellung eines Aluminiumträgers für lithographische Druckplatten
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5982100A (en) 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR20010042419A (ko) 1998-04-02 2001-05-25 조셉 제이. 스위니 낮은 k 유전체를 에칭하는 방법
JP2976965B2 (ja) 1998-04-02 1999-11-10 日新電機株式会社 成膜方法及び成膜装置
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6077386A (en) 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6233582B1 (en) 1998-05-14 2001-05-15 Sun Microsystems, Inc. Persistent storage interface for a configuration object-based system
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
EP1112125B1 (en) 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6468604B1 (en) 1999-03-17 2002-10-22 Anelva Corporation Method for manufacturing a titanium nitride thin film
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6242360B1 (en) 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077480B1 (en) 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
EP1083593A1 (en) 1999-09-10 2001-03-14 Interuniversitair Micro-Elektronica Centrum Vzw Etching of silicon nitride by anhydrous halogen gas
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6465350B1 (en) 1999-11-29 2002-10-15 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
US6573194B2 (en) 1999-11-29 2003-06-03 Texas Instruments Incorporated Method of growing surface aluminum nitride on aluminum films with low energy barrier
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
AU2905901A (en) 1999-11-30 2001-06-12 Regents Of The University Of California, The Method for producing fluorinated diamond-like carbon films
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3659101B2 (ja) 1999-12-13 2005-06-15 富士ゼロックス株式会社 窒化物半導体素子及びその製造方法
JP4695238B2 (ja) 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
KR100385133B1 (ko) 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
US6463782B1 (en) 2000-01-13 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-centering calibration tool and method of calibrating
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
EP1127957A1 (en) 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
JP2001284340A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
JP2001313282A (ja) 2000-04-28 2001-11-09 Nec Corp ドライエッチング方法
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
TWI228747B (en) 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
TW454429B (en) 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6531069B1 (en) 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6736987B1 (en) 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
TW512645B (en) 2000-07-25 2002-12-01 Ibiden Co Ltd Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clamp holder, and substrate for wafer prober
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002075972A (ja) 2000-09-04 2002-03-15 Hitachi Ltd 半導体装置の製造方法
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
JP2002115068A (ja) 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4657473B2 (ja) 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
KR100423953B1 (ko) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
MXPA03008665A (es) 2001-04-20 2004-02-17 Corus Aluminium Walzprod Gmbh Metodo de plateado y pretratado de piezas de trabajo de aluminio.
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020170678A1 (en) 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
DE10296935T5 (de) 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
KR20040018558A (ko) 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003021002A1 (en) 2001-08-29 2003-03-13 Tokyo Electron Limited Apparatus and method for plasma processing
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (ko) 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6462372B1 (en) 2001-10-09 2002-10-08 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
SG152910A1 (en) 2001-12-07 2009-06-29 Tokyo Electron Ltd Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
TWI301644B (en) 2001-12-13 2008-10-01 Applied Materials Inc Self-aligned contact etch with high sensitivity to nitride shoulder
JP3969081B2 (ja) * 2001-12-14 2007-08-29 東京エレクトロン株式会社 プラズマ処理装置
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (ja) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
JP3773189B2 (ja) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6794889B2 (en) 2002-04-26 2004-09-21 Agilent Technologies, Inc. Unified apparatus and method to assure probe card-to-wafer parallelism in semiconductor automatic wafer test, probe card measurement systems, and probe card manufacturing
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP2003338491A (ja) 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6673200B1 (en) 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
WO2003107409A1 (ja) 2002-06-01 2003-12-24 積水化学工業株式会社 酸化膜形成方法及び酸化膜形成装置
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6818561B1 (en) 2002-07-30 2004-11-16 Advanced Micro Devices, Inc. Control methodology using optical emission spectroscopy derived data, system for performing same
WO2004013661A2 (en) 2002-08-02 2004-02-12 E.A. Fischione Instruments, Inc. Methods and apparatus for preparing specimens for microscopy
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
JP3832409B2 (ja) 2002-09-18 2006-10-11 住友電気工業株式会社 ウエハー保持体及び半導体製造装置
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW587139B (en) 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
TW561068B (en) 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
KR100964398B1 (ko) 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
KR100739837B1 (ko) 2003-02-19 2007-07-13 마쯔시다덴기산교 가부시키가이샤 불순물 도입 방법 및 불순물 도입 장치
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7212078B2 (en) 2003-02-25 2007-05-01 Tokyo Electron Limited Method and assembly for providing impedance matching network and network assembly
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR100752800B1 (ko) 2003-03-12 2007-08-29 동경 엘렉트론 주식회사 반도체처리용의 기판유지구조 및 플라즈마 처리장치
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP2004296467A (ja) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US6844929B2 (en) 2003-04-09 2005-01-18 Phase Shift Technology Apparatus and method for holding and transporting thin opaque plates
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
TWI227565B (en) 2003-04-16 2005-02-01 Au Optronics Corp Low temperature poly-Si thin film transistor and method of manufacturing the same
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
JP5404984B2 (ja) 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR100623563B1 (ko) 2003-05-27 2006-09-13 마츠시다 덴코 가부시키가이샤 플라즈마 처리 장치, 플라즈마를 발생하는 반응 용기의제조 방법 및 플라즈마 처리 방법
US20040237897A1 (en) 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US20060226119A1 (en) 2003-06-27 2006-10-12 Tokyo Electron Limited Method for generating plasma method for cleaning and method for treating substrate
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
CN100495655C (zh) 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
KR100518594B1 (ko) 2003-09-09 2005-10-04 삼성전자주식회사 로컬 sonos형 비휘발성 메모리 소자 및 그 제조방법
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR20030083663A (ko) 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4393844B2 (ja) 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100558925B1 (ko) 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
KR100546401B1 (ko) 2003-12-17 2006-01-26 삼성전자주식회사 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
EP1720202A4 (en) 2004-02-09 2009-04-29 Found Advancement Int Science METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PHOTOGRAVIDE METHOD OF INSULATING FILM
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20060054280A1 (en) 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US20060081337A1 (en) 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
JP3998003B2 (ja) 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
WO2005114749A1 (en) 2004-05-21 2005-12-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (ko) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7220687B2 (en) 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US20060008676A1 (en) 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
JP4579611B2 (ja) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
JP4718141B2 (ja) 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US20060032833A1 (en) 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
CN102610481B (zh) 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP2006108629A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
JP4467453B2 (ja) 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
JP2006193822A (ja) 2004-12-16 2006-07-27 Sharp Corp めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
JP4191137B2 (ja) 2004-12-24 2008-12-03 東京エレクトロン株式会社 基板処理装置のクリーニング方法
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
US20060183270A1 (en) 2005-02-14 2006-08-17 Tessera, Inc. Tools and methods for forming conductive bumps on microelectronic elements
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR100676203B1 (ko) 2005-06-21 2007-01-30 삼성전자주식회사 반도체 설비용 정전 척의 냉각 장치
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
KR20080031473A (ko) 2005-07-27 2008-04-08 어플라이드 머티어리얼스, 인코포레이티드 입자 형성을 방지하기 위한 cvd 차단 플레이트용 부동화기술
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
JP5213150B2 (ja) * 2005-08-12 2013-06-19 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理装置を用いた製品の製造方法
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US7718030B2 (en) 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP4823628B2 (ja) 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4344949B2 (ja) 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR100712727B1 (ko) 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
KR100678696B1 (ko) 2006-02-08 2007-02-06 주식회사 뉴파워 프라즈마 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
CN101378850A (zh) 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
KR20090052301A (ko) 2006-03-16 2009-05-25 노파르티스 아게 특히 흑색종의 치료를 위한 헤테로고리형 유기 화합물
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7628574B2 (en) 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7743731B2 (en) 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
TWI473149B (zh) 2006-04-26 2015-02-11 Advanced Tech Materials 半導體製程系統之清潔
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5119609B2 (ja) 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US8114781B2 (en) 2006-06-29 2012-02-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
GB0615343D0 (en) 2006-08-02 2006-09-13 Point 35 Microstructures Ltd Improved etch process
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100761757B1 (ko) 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US20080063798A1 (en) 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
JP2008163430A (ja) 2006-12-28 2008-07-17 Jtekt Corp 高耐食性部材およびその製造方法
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR100843236B1 (ko) 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP2010521820A (ja) 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
JP4833890B2 (ja) 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
WO2008123060A1 (ja) 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5179476B2 (ja) 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP2008305871A (ja) 2007-06-05 2008-12-18 Spansion Llc 半導体装置およびその製造方法
KR20080111627A (ko) 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
TWI479559B (zh) 2007-06-28 2015-04-01 Quantum Global Tech Llc 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備
JP4438008B2 (ja) 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
EP2179521B1 (en) 2007-07-19 2016-09-07 Philips Lighting Holding B.V. Method, system and device for transmitting lighting device data
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
JP5077659B2 (ja) 2007-07-20 2012-11-21 ニチアス株式会社 触媒コンバーター及び触媒コンバーター用保持材
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5251033B2 (ja) 2007-08-14 2013-07-31 ソニー株式会社 半導体装置の製造方法
JP4160104B1 (ja) 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
DE112008001663T5 (de) 2007-08-21 2010-07-22 Panasonic Corp., Kadoma Plasmaverarbeitungsvorrichtung und Verfahren zum Überwachen des Plasmaentladungszustands in einer Plasmaverarbeitungsvorrichtung
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US8765589B2 (en) 2007-08-31 2014-07-01 Tokyo Electron Limited Semiconductor device manufacturing method
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5148955B2 (ja) 2007-09-11 2013-02-20 東京エレクトロン株式会社 基板載置機構及び基板処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5169097B2 (ja) 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US8083855B2 (en) 2007-10-31 2011-12-27 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP2011503844A (ja) 2007-11-01 2011-01-27 ユージン テクノロジー カンパニー リミテッド 高周波駆動誘導結合プラズマを用いたウェハ表面処理装置
JP5006938B2 (ja) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
CN101855706A (zh) 2007-11-08 2010-10-06 朗姆研究公司 使用氧化物垫片减小节距
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5150217B2 (ja) 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US20090120364A1 (en) 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
JP5172617B2 (ja) 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
MX2010005945A (es) 2007-12-04 2011-03-03 Parabel Ag Elemento solar de varias capas.
FR2924501B1 (fr) 2007-12-04 2010-02-05 Commissariat Energie Atomique Procede de reglage d'un circuit d'excitation et detection pour resonance magnetique nucleaire et circuit d'excitation et detection adapte a la mise en oeuvre d'un tel procede
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
CN101903996B (zh) 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP4974873B2 (ja) 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
WO2009084194A1 (en) 2007-12-28 2009-07-09 Tokyo Electron Limited Etching method for metal film and metal oxide film, and manufacturing method for semiconductor device
JP2009170509A (ja) 2008-01-11 2009-07-30 Hitachi High-Technologies Corp ヒータ内蔵静電チャックを備えたプラズマ処理装置
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US7998864B2 (en) 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
WO2009099776A1 (en) 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5250279B2 (ja) 2008-02-23 2013-07-31 東京エレクトロン株式会社 プローブ装置
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
WO2009107701A1 (ja) 2008-02-26 2009-09-03 京セラ株式会社 ウェハ支持部材とその製造方法、及びこれを用いた静電チャック
US8066895B2 (en) 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8336891B2 (en) 2008-03-11 2012-12-25 Ngk Insulators, Ltd. Electrostatic chuck
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5188849B2 (ja) * 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JPWO2009119285A1 (ja) 2008-03-24 2011-07-21 東京エレクトロン株式会社 シャワープレートとこれを用いたプラズマ処理装置
JP5352103B2 (ja) 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US7977246B2 (en) 2008-04-25 2011-07-12 Applied Materials, Inc. Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100999182B1 (ko) 2008-05-20 2010-12-08 주식회사 뉴파워 프라즈마 내장 변압기를 갖는 플라즈마 반응기
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
KR101006848B1 (ko) 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7754601B2 (en) 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US20090302005A1 (en) 2008-06-04 2009-12-10 General Electric Company Processes for texturing a surface prior to electroless plating
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8607731B2 (en) 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
EP2290124A1 (en) 2008-06-27 2011-03-02 Mitsubishi Heavy Industries, Ltd. Vacuum processing apparatus and method for operating vacuum processing apparatus
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
KR101245430B1 (ko) 2008-07-11 2013-03-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2010006279A2 (en) 2008-07-11 2010-01-14 Applied Materials, Inc. Chamber components for cvd applications
WO2010008021A1 (ja) 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
WO2010021539A1 (en) 2008-08-20 2010-02-25 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US7882808B2 (en) 2008-08-20 2011-02-08 Crazy K Poultry + Livestock, LLC Protective hen apron
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
JP2010047818A (ja) 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
WO2010048076A2 (en) 2008-10-21 2010-04-29 Applied Materials, Inc. Plasma source for chamber cleaning and process
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8043933B2 (en) 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
JP5358165B2 (ja) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
KR101795658B1 (ko) 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
KR101527195B1 (ko) 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP2010180458A (ja) 2009-02-06 2010-08-19 Kit:Kk アルミニウム表面の酸化層形成方法及び半導体装置の製造方法
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
KR101566922B1 (ko) 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
CN102007565A (zh) 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 基片处理系统和基片处理方法
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
KR101534357B1 (ko) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 기판 지지 장치 및 기판 지지 방법
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
CN102405511B (zh) 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
KR101671158B1 (ko) 2009-04-21 2016-11-01 어플라이드 머티어리얼스, 인코포레이티드 박막 두께 불균일성 및 파티클 성능이 개선된 cvd 장치
US20100273291A1 (en) 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
WO2010141257A2 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
CN105088191B (zh) 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101095119B1 (ko) 2009-08-19 2011-12-16 삼성전기주식회사 다이 패키지 및 그 제조방법
US9299539B2 (en) 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
WO2011028597A1 (en) 2009-08-26 2011-03-10 Veeco Instruments, Inc. System for fabricating a pattern on magnetic recording media
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
KR101200139B1 (ko) 2009-09-02 2012-11-13 세키스이가가쿠 고교가부시키가이샤 실리콘 함유막의 에칭 방법
US8997909B2 (en) 2009-09-03 2015-04-07 Game Changers, Llc Air cushion transport
WO2011028349A2 (en) 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20120102653A (ko) 2009-10-30 2012-09-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작방법
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
WO2011056815A2 (en) 2009-11-04 2011-05-12 Applied Materials, Inc. Plasma ion implantation process for patterned disc media applications
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
WO2011056281A1 (en) 2009-11-06 2011-05-12 Rambus Inc. Three-dimensional memory array stacking structure
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110127156A1 (en) 2009-11-30 2011-06-02 Applied Materials, Inc. Chamber for processing hard disk drive substrates
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
WO2011070945A1 (ja) 2009-12-11 2011-06-16 株式会社アルバック 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
US20110303146A1 (en) 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (ja) * 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP5476152B2 (ja) 2010-02-16 2014-04-23 積水化学工業株式会社 窒化シリコンのエッチング方法及び装置
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101214758B1 (ko) 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
JP5889806B2 (ja) 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複式噴射を伴う原子層堆積チャンバ
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
EP2548238B8 (en) 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8562742B2 (en) 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
JP2011258768A (ja) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
JP5463224B2 (ja) 2010-07-09 2014-04-09 日本発條株式会社 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
KR101202352B1 (ko) 2010-07-19 2012-11-16 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조 방법
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
TW201207619A (en) 2010-08-05 2012-02-16 Guo-Wei Wu Computer input device with card control function
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8222125B2 (en) 2010-08-12 2012-07-17 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
TW201213594A (en) 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
US20120017989A1 (en) 2010-08-24 2012-01-26 Pai-Chun Chang Metal and metal oxide surface texturing
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US20120088356A1 (en) 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
JP5835985B2 (ja) * 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
WO2012039932A2 (en) 2010-09-21 2012-03-29 Applied Materials, Inc. Methods for forming layers on a substrate
KR101209003B1 (ko) 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120216955A1 (en) 2011-02-25 2012-08-30 Toshiba Materials Co., Ltd. Plasma processing apparatus
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
WO2012118951A2 (en) 2011-03-01 2012-09-07 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
CN103430285B (zh) 2011-03-22 2016-06-01 应用材料公司 用于化学气相沉积腔室的衬里组件
TWI525743B (zh) 2011-03-23 2016-03-11 住友大阪水泥股份有限公司 靜電夾持裝置
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US9196463B2 (en) * 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US20120258607A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8815720B2 (en) 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
KR20140049988A (ko) 2011-05-13 2014-04-28 그린센터 캐나다 11 족 단일-금속 전구체 화합물 및 금속 증착에서의 이의 용도
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8663389B2 (en) 2011-05-21 2014-03-04 Andrew Peter Clarke Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor
JP5563522B2 (ja) 2011-05-23 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5774778B2 (ja) 2011-06-09 2015-09-09 コリア ベーシック サイエンス インスティテュート プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
CN102867748B (zh) 2011-07-06 2015-09-23 中国科学院微电子研究所 一种晶体管及其制作方法和包括该晶体管的半导体芯片
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8921177B2 (en) 2011-07-22 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit device
JP2013033965A (ja) 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20150270135A1 (en) 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
EP2764408B1 (en) 2011-10-06 2019-08-21 ASML Netherlands B.V. Chuck, lithography apparatus and method of using a chuck
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
WO2013070438A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US20130149866A1 (en) 2011-12-12 2013-06-13 Texas Instruments Incorporated Baffle plate for semiconductor processing apparatus
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP6010406B2 (ja) * 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
JP5836144B2 (ja) * 2012-01-31 2015-12-24 東京エレクトロン株式会社 マイクロ波放射機構および表面波プラズマ処理装置
US9416445B2 (en) 2012-02-08 2016-08-16 Iwatani Corporation Method for treating inner surface of chlorine trifluoride supply passage in apparatus using chlorine trifluoride
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8968588B2 (en) * 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US8747610B2 (en) 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
JP6180510B2 (ja) 2012-04-26 2017-08-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Escの接着剤の浸食を防止するための方法及び装置
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6005579B2 (ja) 2012-04-27 2016-10-12 日本碍子株式会社 半導体製造装置用部材
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
KR101917815B1 (ko) 2012-05-31 2018-11-13 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101989514B1 (ko) 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
JP6160619B2 (ja) * 2012-08-01 2017-07-12 Tdk株式会社 フェライト磁性材料、フェライト焼結磁石及びモータ
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
KR102133373B1 (ko) 2012-08-23 2020-07-13 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
KR102137617B1 (ko) 2012-10-19 2020-07-24 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6173684B2 (ja) 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
CN104025720B (zh) 2012-12-28 2016-08-24 株式会社新动力等离子体 等离子体反应器及利用该反应器的等离子体点火方法
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
KR102021988B1 (ko) 2013-03-12 2019-09-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
WO2014145263A1 (en) 2013-03-15 2014-09-18 Dr. Py Institute, Llc Single-use needle assembly and method
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP5386046B1 (ja) 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6002087B2 (ja) 2013-05-29 2016-10-05 東京エレクトロン株式会社 グラフェンの生成方法
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102038647B1 (ko) 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
JP6249659B2 (ja) * 2013-07-25 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US20150050812A1 (en) 2013-08-13 2015-02-19 Globalfoundries Inc. Wafer-less auto clean of processing chamber
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2016529736A (ja) 2013-08-27 2016-09-23 東京エレクトロン株式会社 ハードマスクを横方向にトリミングする方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR20150107756A (ko) 2013-11-06 2015-09-23 맷슨 테크놀로지, 인크. 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
US9593421B2 (en) 2013-11-06 2017-03-14 Applied Materials, Inc. Particle generation suppressor by DC bias modulation
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
FR3013503B1 (fr) 2013-11-20 2015-12-18 Commissariat Energie Atomique Procede de gravure selective d’un masque dispose sur un substrat silicie
KR102237700B1 (ko) 2013-11-27 2021-04-08 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US10241839B2 (en) * 2013-12-04 2019-03-26 Nec Corporation Method and system for generating a virtual device resource accessible by an application
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR102102787B1 (ko) 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
KR102128465B1 (ko) 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
US9945033B2 (en) 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9299577B2 (en) 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9209031B2 (en) 2014-03-07 2015-12-08 Sandisk Technologies Inc. Metal replacement process for low resistance source contacts in 3D NAND
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9177853B1 (en) 2014-05-14 2015-11-03 Sandisk Technologies Inc. Barrier layer stack for bit line air gap formation
CN104392963B (zh) 2014-05-16 2017-07-11 中国科学院微电子研究所 三维半导体器件制造方法
US9520485B2 (en) 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
KR102248205B1 (ko) 2014-06-25 2021-05-04 삼성전자주식회사 수직 채널 및 에어 갭을 갖는 반도체 소자
US10487399B2 (en) 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
US10196741B2 (en) 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
KR20160002543A (ko) 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160043099A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Wordline 3d flash memory air gap
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
JP5764246B1 (ja) 2014-09-24 2015-08-19 株式会社日立国際電気 基板処理装置、ガス導入シャフト及びガス供給プレート
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US20160099173A1 (en) 2014-10-03 2016-04-07 Applied Materials, Inc. Methods for etching a barrier layer for an interconnection structure for semiconductor applications
US10407771B2 (en) 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
JP6789932B2 (ja) 2014-10-17 2020-11-25 ラム リサーチ コーポレーションLam Research Corporation 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9202708B1 (en) 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10134750B2 (en) 2014-12-30 2018-11-20 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing the same
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
JP2016134530A (ja) 2015-01-20 2016-07-25 株式会社東芝 加工制御装置、加工制御プログラムおよび加工制御方法
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
WO2016135849A1 (ja) 2015-02-24 2016-09-01 株式会社 東芝 半導体記憶装置及びその製造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US10153133B2 (en) * 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102452593B1 (ko) 2015-04-15 2022-10-11 삼성전자주식회사 반도체 장치의 제조 방법
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US9576815B2 (en) 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10049862B2 (en) 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6184441B2 (ja) 2015-06-01 2017-08-23 キヤノンアネルバ株式会社 イオンビームエッチング装置、およびイオンビーム発生装置
JP6295439B2 (ja) 2015-06-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
WO2016194211A1 (ja) 2015-06-04 2016-12-08 株式会社 東芝 半導体記憶装置及びその製造方法
JP6509049B2 (ja) * 2015-06-05 2019-05-08 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10147736B2 (en) 2015-09-03 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
CN108140550B (zh) 2015-10-08 2022-10-14 应用材料公司 具有减少的背侧等离子体点火的喷淋头
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170133202A1 (en) 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
JP2017098478A (ja) 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
US10043636B2 (en) 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
KR20180085807A (ko) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
CN108475640B (zh) 2016-01-20 2023-06-06 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
TWI677593B (zh) 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
KR102649369B1 (ko) 2016-04-11 2024-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN109314039B (zh) 2016-04-22 2023-10-24 应用材料公司 具有等离子体限制特征的基板支撑基座
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
GB201609119D0 (en) 2016-05-24 2016-07-06 Spts Technologies Ltd A method of cleaning a plasma processing module
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9812462B1 (en) 2016-06-07 2017-11-07 Sandisk Technologies Llc Memory hole size variation in a 3D stacked memory
JP6792786B2 (ja) 2016-06-20 2020-12-02 東京エレクトロン株式会社 ガス混合装置および基板処理装置
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10522377B2 (en) 2016-07-01 2019-12-31 Lam Research Corporation System and method for substrate support feed-forward temperature control based on RF power
US20180025900A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Alkali metal and alkali earth metal reduction
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10043667B2 (en) 2016-09-15 2018-08-07 Applied Materials, Inc. Integrated method for wafer outgassing reduction
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
WO2018077693A1 (en) 2016-10-28 2018-05-03 Philips Lighting Holding B.V. Monitoring lighting.
KR102633031B1 (ko) 2016-11-04 2024-02-05 에스케이하이닉스 주식회사 반도체 메모리 소자
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10141328B2 (en) 2016-12-15 2018-11-27 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10692880B2 (en) 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10110942B2 (en) 2016-12-30 2018-10-23 Mora Global, Inc. User relationship enhancement for social media platform
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US9779956B1 (en) 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7176860B6 (ja) 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11049719B2 (en) 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
JP6883495B2 (ja) 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Also Published As

Publication number Publication date
WO2018144613A1 (en) 2018-08-09
TWI828297B (zh) 2024-01-01
CN110326082B (zh) 2022-03-04
US10903052B2 (en) 2021-01-26
US20190362944A1 (en) 2019-11-28
KR20190105130A (ko) 2019-09-11
US20180226230A1 (en) 2018-08-09
CN114551207A (zh) 2022-05-27
CN110326082A (zh) 2019-10-11
US10431429B2 (en) 2019-10-01
TWI779004B (zh) 2022-10-01
TW202301419A (zh) 2023-01-01
KR102167868B1 (ko) 2020-10-20
JP2020507187A (ja) 2020-03-05
JP2021122011A (ja) 2021-08-26
JP7199468B2 (ja) 2023-01-05
JP6875536B2 (ja) 2021-05-26

Similar Documents

Publication Publication Date Title
TWI779004B (zh) 用於電漿均勻度之徑向及方位控制的系統與方法
EP1306893B1 (en) Plasma processing apparatus
TWI523584B (zh) Electromagnetic wave feeding mechanism and microwave introduction mechanism
JP6010406B2 (ja) マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
KR101751200B1 (ko) 마이크로파 방사 안테나, 마이크로파 플라즈마원 및 플라즈마 처리 장치
US8733281B2 (en) Plasma processing apparatus
JP6484645B2 (ja) 電力の一様性が改善されたプラズマ発生用装置
TW201743380A (zh) 電漿處理系統中之溫度控制用系統及方法
WO2007046414A1 (ja) 処理装置
US6101970A (en) Plasma processing apparatus
JP2012500470A (ja) 温度制御式ホットエッジリング組立体
US20150279626A1 (en) Microwave plasma applicator with improved power uniformity
JP2002158216A (ja) プラズマ処理装置及び方法
JP2010277969A (ja) プラズマ処理装置及びプラズマ処理装置の給電方法
JP2007028387A (ja) マイクロ波方向性結合器、プラズマ発生装置及びプラズマ処理装置
JP5916467B2 (ja) マイクロ波放射アンテナ、マイクロ波プラズマ源およびプラズマ処理装置
JP4165944B2 (ja) マイクロ波プラズマ処理装置
JP2020053245A (ja) プラズマ処理装置及びプラズマ処理方法
CN114512390A (zh) 基板处理装置和阻抗匹配方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent