CN107799379B - 基板处理腔室和半导体处理系统 - Google Patents

基板处理腔室和半导体处理系统 Download PDF

Info

Publication number
CN107799379B
CN107799379B CN201711262383.XA CN201711262383A CN107799379B CN 107799379 B CN107799379 B CN 107799379B CN 201711262383 A CN201711262383 A CN 201711262383A CN 107799379 B CN107799379 B CN 107799379B
Authority
CN
China
Prior art keywords
fluid
fluid channel
holes
annular body
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711262383.XA
Other languages
English (en)
Other versions
CN107799379A (zh
Inventor
梁奇伟
X·陈
祝基恩
D·卢博米尔斯基
朴书南
J-G·杨
S·文卡特拉马
T·特兰
K·欣克利
S·加格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107799379A publication Critical patent/CN107799379A/zh
Application granted granted Critical
Publication of CN107799379B publication Critical patent/CN107799379B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及基板处理腔室和半导体处理系统。描述了气体分配组合件,包括环形主体、上板材及下板材。该上板材可以界定第一多个孔,并且该下板材可以界定第二和第三多个孔。该上板材和该下板材可以彼此耦接并与该环形主体耦接,使得该第一和第二孔形成通过该气体分配组合件的通道,并且该上板材和该下板材之间界定一容积。

Description

基板处理腔室和半导体处理系统
本申请是申请日为2013年9月9日、申请号为“201380048676.1”、发明名称为“气体分配组合件”的发明专利申请的分案申请。
相关申请案的交叉引用
本申请案主张于2012年09月21日提出申请且标题为“晶圆处理设备中的化学物质控制特征(Chemical Control Features in Wafer Process Equipment)”的美国临时专利申请案第61/704,257号的优先权权益。为了所有的目的将该申请案的全部内容以引用方式并入本文中。
技术领域
本技术关于半导体工艺和设备。更具体而言,本技术关于处理系统等离子体组件。
背景技术
通过在基板表面上产生错综复杂的图案化材料层的工艺能够制作出集成电路。在基板上产生图案化材料需要控制的方法,以去除曝光的材料。化学蚀刻被用于各式各样的目的,包括将光阻中的图案转入下面的层,即已经存在表面上的薄化层或特征的薄化横向尺寸。往往理想的是拥有一种蚀刻一种材料的速度比蚀刻另一种材料的速度更快的蚀刻工艺,以有助于例如图案转移工艺。这样的蚀刻工艺即所谓的对第一种材料有选择性。材料、电路及工艺具有多样性的结果是,已经开发出具有对各种材料的选择性的蚀刻工艺。
在基板处理区域内形成的局部等离子体中产生的干蚀刻可以穿透更受限的沟槽并表现出较少的细微剩余结构变形。然而,随着集成电路技术不断地在尺寸上缩小,输送前驱物的设备会影响所使用的前驱物和等离子体物种的均匀性和品质。
因此,需要有可以在等离子体环境中被有效使用同时提供适当降解曲线的经改良系统组件。本技术解决了这些和其它的需求。
发明内容
描述了气体分配组合件,包括环形主体、上板材及下板材。该上板材可以界定第一多个孔,并且该下板材可以界定第二和第三多个孔。该上板材和该下板材可以彼此耦接并与该环形主体耦接,使得该第一和第二孔形成通过该气体分配组合件的通道,并且该上板材和该下板材之间界定一容积。
该组合件可以包括环形主体,该环形主体具有位于内径的内环形壁、位于外径的外环形壁以及上表面和下表面。该环形主体可以进一步包括形成在该上表面中的第一上凹部、在该内环形壁形成在该下表面中的第一下凹部以及在该第一下凹部下方并从该第一下凹部径向往外形成在该下表面中的第二下凹部。该环形主体还可以在该上表面中界定第一流体通道,该第一流体通道从该第一上凹部径向往内位于该环形主体中。该组合件可以包括在该第一上凹部与该环形主体耦接并覆盖该第一流体通道的上板材,并且该上板材可以界定多个第一孔。该组合件还可以包括在该第一下凹部与该环形主体耦接的下板材,下板材并具有界定于该下板材中的多个第二孔,其中该第二孔与界定于该上板材中的第一孔对齐。该下板材还可以界定位于该第二孔之间的多个第三孔。该上板材和该下板材可以彼此耦接,使得该第一孔与该第二孔对齐而形成穿过该上板材和该下板材的通道。
可以将该组合件的该上板材和该下板材黏合在一起。该组合件的该环形主体可以进一步在该上表面中界定第二流体通道,该第二流体通道位于从该第一流体通道径向往外处,并且可以将多个通口界定在该环形主体的一部分中,该环形主体的该部分界定该第一流体通道的外壁和该第二流体通道的内壁。该第二流体通道可以位于该上凹部径向往外,使得该第二流体通道未被该上板材覆盖。该环形主体可以在该第二流体通道的顶部附近并在该内壁和外壁中界定第二上凹部,而且该气体分配组合件可以包括环形构件,该环形构件位于该第二上凹部内,以便覆盖该第二流体通道。该上凹部可以包括与该第一流体通道的该外壁相交的底部部分。
该组合件可以进一步包括一对隔离通道,其中该对隔离通道中的一者被界定于该环形主体的该上表面中,并且该对隔离通道中的另一者被界定于该环形主体的该下表面中。该对隔离通道可以彼此垂直对齐。该第二流体通道可以位于从该上凹部径向往内,使得在实施例中该第二流体通道被该上板材覆盖。一部分的该上板材还可以延伸进入该第二通道至该上凹部的底部下方。该多个通口可以从该第二流体通道往该第一流体通道向上成一角度,使得该通口在延伸进入该第二通道的该部分上板材下方可流体连通。在实施例中可以配置该隔离通道,使得该对隔离通道中的一者在从该上凹部径向往内的位置被界定于该上板材中,并且该对隔离通道中的另一者被界定于该环形主体的该下表面中,所以该对隔离通道彼此垂直对齐。该环形主体还可以界定环形温度通道,该环形温度通道配置成接收冷却流体,可操作该冷却流体来保持该环形主体的温度。该温度通道还可以配置成接收加热元件,该加热元件位于该通道内,并可操作该加热元件来保持该环形主体的温度。
亦描述了可以包括环形主体的气体分配组合件。该环形主体可以包括位于内径的内环形壁、位于外径的外环形壁、上表面及下表面。可以在该上表面中形成上凹部,并且可以在该下表面中形成下凹部。可以在该下表面中界定第一流体通道,该第一流体通道从该下凹部径向往内位于该环形主体中。该组合件还可以包括在该上凹部与该环形主体耦接的上板材,其中该上板材界定多个第一孔。该组合件还可以包括在该下凹部与该环形主体耦接并覆盖该第一流体通道的下板材。该下板材可以界定多个第二孔,该第二孔与界定于该上板材中的第一孔对齐。该下板材可以进一步界定多个第三孔,该多个第三孔位于该第二孔之间。该上板材和该下板材可以彼此耦接,使得该第一孔与该第二孔对齐而形成穿过该上板材和该下板材的通道。
该气体分配组合件可以包括界定于该下表面中的第二流体通道,该第二流体通道从该第一流体通道径向往外位于该环形主体中。可以将多个通口界定在该环形主体的一部分中,该环形主体的该部分界定该第一流体通道的外壁和该第二流体通道的内壁,而且该多个通口可以配置成流体耦接该第二流体通道与该第一流体通道。该第二流体通道可以位于该下凹部径向往内,使得该第二流体通道可以被该下板材覆盖,以及其中一部分该下板材延伸进入该第二通道至该下凹部的顶部上方。该多个通口可以从该第二流体通道往该第一流体通道向下成一角度,使得该通口在延伸进入该第二通道的该部分下板材上方可流体连通。当该第一孔延伸通过该上板材时,该第一孔还可以具有直径减小的锥形形状。当该第二孔和该第三孔延伸通过该下板材时,该第二孔和该第三孔可以具有直径增加的锥形形状。每个该第二孔和该第三孔还可以包括至少三个形状或直径不同的部分。
亦描述了气体分配组合件,该气体分配组合件具有环形主体,该环形主体具有位于内径的内环形壁、位于外径的外环形壁、上表面及下表面。该组合件还可以包括与该环形主体耦接的上板材,并且该上板材可以界定多个第一孔。中间板材可以与该上板材耦接,并且该中间板材可以界定多个第二孔和第三孔,其中该第二孔与该上板材的该第一孔对齐。该组合件还可以包括下板材,该下板材与该环形主体和该中间板材耦接。该下板材可以界定多个第四孔,该第四孔与该上板材的该第一孔及该中间板材的该第二孔对齐,以形成通过该等板材的第一组流体通道。该下板材还可以界定多个第五孔,该第五孔与该中间板材的该第三孔对齐,以形成通过该中间板材和该下板材的第二组流体通道,其中该第二组流体通道与该第一组流体通道流体隔离。该下板材可以进一步界定第六组孔,该第六组孔形成通过该下板材的第三组流体通道,其中该第三组流体通道与该第一和第二组流体通道流体隔离。
该气体分配组合件的该下板材可以包括的该第四、第五及第六孔的方位使得大部分的该第四孔每个皆被至少四个该第五孔和四个该第六孔包围。该第五孔可以位于该第四孔周围,并且该第五孔的中心绕该第四孔的中心彼此间隔约90°,并且该第六孔可以位于该第四孔周围,并且该第六孔的中心绕该第四孔的该中心彼此间隔约90°,并从该第五孔偏离约45°。该第五孔可以位于该第四孔周围,并且该第五孔的中心绕该第四孔的中心彼此间隔约60°,以及其中该第六孔位于该第四孔周围,并且该第六孔的中心绕该第四孔的该中心彼此间隔约60°,并从该第五孔偏离约30°。
这样的技术可以提供许多优于传统系统和技术的效益。例如,可以最少化或避免经由组合件的泄漏,以提供改良的流动特性,而得出改良的工艺均匀性。此外,可以经由该组合件输送多种前驱物,同时将该等前驱物保持彼此流体隔离。结合以下的描述和附图来更详细地描述这些和其它的实施例以及该等实施例的许多优点和特征。
附图说明
藉由参照本说明书的剩余部分及附图可以实现对揭示的技术的本质与优点的进一步了解。
图1图示示例性处理工具的一个实施例的俯视图。
图2A-2E图示示例性处理腔室的示意性剖面图。
图3A-3E图示依据所揭示技术的示例性喷洒头架构的示意图。
图4A-4B图示依据所揭示技术的示例性喷洒头架构的附加示意图。
图5A-5C图示依据所揭示技术的示例性喷洒头架构的附加示意图。
在附图中,相似的组件及/或特征可以具有相同的数字参考标号。此外,相同类型的各个组件可以藉由在该参考标号之后接续字母来进行区分,该字母可以在类似的组件及/或特征之间进行区分。假使只在说明书中使用第一数字参考标号,则该描述适用于任何一个具有相同第一数字参考标号的类似组件及/或特征,而与该字母下标无关。
具体实施方式
本技术包括用于散布处理气体的改良气体分配组合件或喷洒头设计,以产生用于在高度更均匀的半导体基板上形成沉积层及/或以更均匀的方式蚀刻沉积层的流动模式。虽然传统的喷洒头设计可以简单地提供用于处理和前驱物气体的直通分配系统,但现在描述的技术允许在气体被输送到基板处理腔室时改良地控制气体的流动特性。在这样做时,沉积操作可以在制造操作的过程中产生更精确的膜轮廓。
虽然一些传统的气体分配组合件或喷洒头可以包括例如多个被板材覆盖的流体通道,但这样的设计例行地受到在沿着板材与位在通道和内壁之间的主体部分的相交处存有缝隙的影响。当板材与主体耦接时,例如经由黏接、硬焊等,板材可能会变形。因为只能在外边缘周围进行耦接,所以没有额外的黏接可以存在于板材和主体的其它接面处。即使是轻微的板材变形也可能在上板材和环形主体之间的接面处产生不平整的表面,而发生变形的接面位置可能无法适当地与环形主体耦接。因此,在操作中,流体可能会在第一和第二流体通道之间以及在该第一流体通道和中央区域之间泄漏。这种泄漏会影响到进入处理区域的流体输送,进而影响沉积或蚀刻。然而,本技术的各个态样藉由提供不太可能变形的组件及/或受变形影响较小的设计克服了许多(若不是全部)这些问题。
图1图示依据所揭示实施例的沉积、蚀刻、烘烤及/或固化腔室的系统工具100的一个实施例的俯视平面图。在该图中,一对FOUP(前开式晶圆传送盒)102供应基板(例如各种特定直径的半导体晶圆),该基板由机器人手臂104接收,并在被放入串接工艺腔室109a-c的基板处理部分108a-f中的一个基板处理部分之前被放入低压保持区域106。可以使用第二机器人手臂110来在保持区域106和处理腔室108a-f之间来回运送基板。
串接工艺腔室109a-c的基板处理部分108a-f可以包括一或更多个系统组件,用以对基板或基板上的膜进行沉积、退火、固化及/或蚀刻。示例性的膜可以是流动性介电质,但仍有许多类型的膜可以使用该处理工具形成或处理。在一个架构中,可以使用处理腔室的两对串接处理部分(例如108c-d和108e-f)在基板上沉积介电质材料,并且可以使用第三对串接处理部分(例如108a-b)对沉积的介电质进行退火。在另一种架构中,可以配置处理腔室的两对串接处理部分(例如108c-d和108e-f)被配置为在基板上既进行介电质膜的沉积又进行退火,同时可以使用第三对串接处理部分(例如108a-b)来紫外线(UV)或电子束固化沉积的膜。在仍另一种架构中,可以配置全部的三对串接处理部分(例如108a-f)在基板上进行介电质膜的沉积和固化或在沉积的膜中蚀刻出特征。
在又另一种架构中,可以使用两对串接处理部分(例如108c-d和108e-f)既沉积又UV或电子束固化介电质,同时可以使用第三对串接处理部分(例如108a-b)来对介电质膜进行退火。另外,可以将串接处理部分108a-f中的一或更多者配置为处理腔室,而且串接处理部分108a-f中的该一或更多者可以是湿式或干式处理腔室。这些工艺腔室可以包括在含有水气的氛围中加热介电质膜。因此,系统100的实施例可以包括湿式处理串接处理部分108a-b和退火串接处理部分108c-d,以在沉积的介电质膜上进行湿式与干式退火两者。将理解的是,系统100可考虑用于进行介电质膜的沉积、退火及固化的另外架构。
图2A为示例性的工艺腔室部分200的剖面图,工艺腔室部分200在处理腔室内具有分开的等离子体产生区域。在膜沉积(例如氧化硅、氮化硅、硅氧氮化物或硅氧碳化物)过程中,可以使工艺气体经由气体入口组合件205流入第一等离子体区域215。远程等离子体系统(RPS)201可以处理气体,然后该气体经由气体入口组合件205前进。可以在气体入口组合件205内看到两个截然不同的气体供应通道。第一通道206携带通过远程等离子体系统(RPS)201的气体,而第二通道207绕过RPS 201。在揭示的实施例中,第一通道206可用于工艺气体,并且第二通道207可用于处理气体。工艺气体可以在进入第一等离子体区域215之前在远程等离子体系统(RPS)201内被激发。依据所揭示的实施例图示盖体212、喷洒头225及上面放有基板255的基板支座265。盖体212可以是金字塔形、圆锥形或具有狭窄顶部扩展到宽底部的另一个类似结构。也可以使用其它几何形状的盖体212。图示盖体(或导电顶部)212和喷洒头225之间具有绝缘环220,绝缘环220使得AC电势可被相对于喷洒头225施加到盖体212。绝缘环220可以位于盖体212和喷洒头225之间,以能够在该第一等离子体区域中形成电容耦合等离子体(CCP)。第一等离子体区域215中可以另外放置挡板(未图示),以影响经由气体入口组合件205进入该区域的流体流动。
可以藉由本文所述的喷洒头的实施例使诸如前驱物的流体(例如含硅前驱物)流入处理区域233。衍生自等离子体区域215中的工艺气体的激发物种可以穿过喷洒头225中的孔,并与从喷洒头流入处理区域233的前驱物反应。处理区域233中存在很少等离子体或没有等离子体存在。在揭示的应用中,工艺气体和前驱物的激发衍生物可以在基板上方的区域中结合,而且有时可以在基板上结合,以在基板上形成可流动的膜。对于流动性膜,随着膜的生长,更晚添加的材料可以比下方的材料具有更高的流动性。随着有机物含量因蒸发而减少,流动性可能会降低。使用这种技术可以由流动性膜填充缝隙,而不会在沉积完成之后在膜内留下传统的有机物含量密度。仍然可以使用固化步骤来进一步减少或去除沉积膜的有机物含量。
在第一等离子体区域215中直接激发工艺气体、在RPS中激发工艺气体或上述两者皆可以提供几项效益。由于第一等离子体区域215中的等离子体,可以增加处理区域233内衍生自工艺气体的激发物种浓度。这种增加可能因为第一等离子体区域215中的等离子体的位置。处理区域233可以比远程等离子体系统(RPS)201更靠近第一等离子体区域215,以留下较少的时间让激发物种经由与其它气体分子、腔室壁及喷洒头表面碰撞而离开激发态。
处理区域233内衍生自工艺气体的激发物种的浓度均匀性也可以增加。这可能是第一等离子体区域215的形状所导致,第一等离子体区域215的形状可以与处理区域233的形状更相似。远程等离子体系统(RPS)201中产生的激发物种相对于通过喷洒头225中心附近的孔的物种可以行进更远的距离,以通过喷洒头225边缘附近的孔。较长的距离可以导致减少的激发物种激发,例如可能会导致在基板边缘附近的生长速率较缓慢。在第一等离子体区域215中激发工艺气体可以减轻这种变化。
处理气体可以在RPS 201中被激发,并且可以在激发状态中通过喷洒头225到达处理区域233。或者,可以施加功率到第一处理区域,以激发等离子体气体或是增强来自RPS已经激发的工艺气体。虽然可以在处理区域233中产生等离子体,但可以替换地不在处理区域中产生等离子体。在一个实例中,处理气体或前驱物的仅有激发可以来自于激发RPS 201中的处理气体,以与处理区域233中的前驱物反应。
处理腔室及此讨论的工具在2008年9月15日提出申请的专利申请案序号第12/210,940号和2008年9月15日提出申请的专利申请案序号第12/210,982号中有更全面的描述,在不会与所要求保护的态样和本文的描述不一致的程度下将该等专利申请案以引用方式并入本文中。
图2B-2C为在本文所述处理腔室和气体分配组合件中的前驱物流动工艺的一个实施例的侧面示意图。可以将处理腔室部分200中使用的气体分配组合件称为双通道喷洒头(DCSH)或三通道喷洒头(TCSH)并详细描述在这里的图3A-3E、图4A-4B及图5A-5C的实施例中。该双通道或三通道喷洒头可以允许流动地沉积介电质材料,以及在操作过程中分离前驱物和处理流体。可以替代地将该喷洒头用于允许在反应区外面分离蚀刻剂的蚀刻工艺,以提供与腔室组件的有限交互作用。
可以藉由首先引入内部喷洒头容积294而将前驱物引入分配区,内部喷洒头容积294藉由第一歧管或上板材226和第二歧管或下板材227而被界定于喷洒头225中。该等歧管可以是界定多个孔的多孔板材。内部喷洒头容积294中的前驱物可以经由下板材中形成的孔296流动295进入处理区域233。此流动路径可以与腔室中其余的工艺气体分离,并且可供处于未反应或基本上未反应状态的前驱物使用,直到进入基板217和下板材227底部之间界定的处理区域233中。一旦在处理区域233中,则前驱物可以与处理气体反应。可以经由喷洒头中形成的侧通道(例如如本文中的喷洒头实施例中所图标的通道322、422)将前驱物引入喷洒头225中界定的内部喷洒头容积294。工艺气体可以处于等离子体状态,该等离子体状态包括来自RPS单元或来自第一等离子体区域中产生的等离子体的自由基。此外,还可以在处理区域中产生等离子体。
也可以提供处理气体进入由面板材217和喷洒头225的顶部界定的第一等离子体区域215或上容积。处理气体可以是在第一等离子体区域215中被激发的等离子体,以产生工艺气体等离子体和自由基。或者,在引入到面板材217和喷洒头225顶部界定的第一等离子体处理区域215之前,在通过远程等离子体系统之后处理气体可以已经处于等离子体状态。
然后包括等离子体和自由基的处理气体可以经由通道(例如由喷洒头板材或歧管中的孔形成的通道290)被输送到处理区域233,以与前驱物反应。通过通道的处理气体可以与内部喷洒头容积294流体隔离,并且当处理气体和前驱物皆通过喷洒头225时,处理气体可以不与通过内部喷洒头容积294的前驱物反应。一旦在处理容积中,则处理气体和前驱物可以混合并反应。
除了工艺气体和介电质材料前驱物之外,可以为了不同的目的在不同的时间引导其它气体。在沉积过程中,可以引导处理气体来从腔室壁、基板、沉积薄膜及/或薄膜去除不要的物种。可以在等离子体中激发处理气体,然后使用处理气体来减少或去除腔室内残留的含量。在其它所揭示的实施例中,也可以使用没有等离子体的处理气体。当处理气体包括水蒸汽时,可以使用质量流量计(MFM)、喷射阀或由市售的水蒸汽产生器来实现输送。可以从第一处理区域引导处理气体经过RPS单元或绕过RPS单元,而且可以进一步在第一等离子体区域中激发处理气体。
孔291的开口的轴292和孔296的开口的轴297可以是彼此平行或大致上彼此平行的。或者,轴292和轴297可以彼此成一定角度,例如从约1°至约80°,例如从约1°至约30°。或者,每一个个别的轴292可以彼此成一定角度,例如从约1°至约80°,例如从约1°至约30°,而且每个个别的轴297可以彼此成一定角度,例如从约1°至约80°,例如从约1°至约30°。
个别的开口可以是倾斜的,例如图2B中的孔291所图示,并且开口具有从约1°至约80°的角度,例如从约1°至约30°。孔291的开口的轴292和孔296的开口的轴297可以垂直于或大致上垂直于基板217的表面。或者,轴292和轴297可与基板表面成一定角度,例如少于约5°。
图2C图示处理腔室200和喷洒头225的局部示意图,该示意图说明来自内部容积294的前驱物流动295经由孔296进入处理区域233。该图还说明图示两个孔296的轴297和297'彼此成一定角度的替代实施例。
图2D图示依据本技术的实施例的另一个示例性处理系统200的简化剖面图,处理系统200可以包括替代的流体输送系统。处理气体的分配可以藉由使用如图所示的面板材217来实现。可以经由流体供应系统210输送处理气体,并且腔室可以包括或不包括如前面所述包括RPS 201、第一等离子体区域215、绝缘环220、喷洒头225、处理区域233、基座265及基板255的组件。该系统还可以在修改后的分配系统中包括冷却板材203。
取决于RPS 201的使用,等离子体产生气体及/或等离子体激发物种可以通过面板材217中的多个孔,如图2E所示,以更均匀地输入第一等离子体区域215。示例性的架构包括使气体入口组合件205开口进入气体供应区域258,气体供应区域258由面板材217与第一等离子体区域215分隔开,使得气体/物种流经面板材217中的孔进入第一等离子体区域215。可以选择结构和操作上的特征,以防止等离子体从第一等离子体区域215明显回流返回进入供应区域258、气体入口组合件205及流体供应系统210。结构特征可以包括选择使回流等离子体失活的面板材217中的孔的尺寸和横截面几何形状。操作特征可以包括保持气体供应区域258和第一等离子体区域215之间的压力差,该压力差保持等离子体单向流动通过喷洒头225。
处理系统还可以包括与处理腔室电耦接的电源240,以提供电力给面板材217及/或喷洒头225,而在第一等离子体区域215或处理区域233中产生等离子体。可以设置电源来根据进行的工艺输送可调整的功率量到腔室。
图2E图示影响通过面板材217的处理气体分布的特征的详细视图。如图2D和图2E中所图示,面板材217、冷却板材203及气体入口组合件205相交而界定气体供应区域258,可以将工艺气体从气体入口205输入气体供应区域258。气体可以填充气体供应区域258并经由面板材217中的孔259流至第一等离子体区域215。可以设置孔259来以大致上单向的方式导引流动,使得工艺气体可以流入处理区域233,但也可以部分地或完全地防止在穿过面板材217之后回流进入气体供应区域258。
另外的双通道喷洒头以及此处理系统和腔室被更全面地描述于2011年10月3日提出申请的专利申请案序号第13/251,714号中,为了所有的目的在不会与所要求保护的特征和本文的描述不一致的程度下将该专利申请案以引用方式并入本文中。
图3A图标气体分配组合件300的上透视图。在使用中,气体分配系统300可以具有大致上水平的方位,使得穿过气体分配系统300形成的气体孔的轴可以垂直于或大致上垂直于基板支座的平面(参见图2A中的基板支座265)。图3B图标气体分配组合件300的底部透视图。图3C为气体分配组合件300的底部平面图。图3D和图3E为气体分配组合件300的揭示实施例沿图3C的线A-A所取得的剖面视图。
参照图3A-3E,气体分配组合件300通常包括环形主体340、上板材320及下板材325。环形主体340可以是具有位于内径的内环形壁301、位于外径的外环形壁305、上表面315及下表面310的环。上表面315和下表面310界定环形主体340的厚度。导管350或环形温度通道可以被界定于环形主体内,并且可配置成接收冷却流体或加热元件,冷却流体或加热元件可被用于维持或调节环形主体的温度。如图3A所图标,冷却通道350可以在环形主体的外径305上包括入口和出口。这可以提供从处理腔室侧的通道,可以使冷却流体从该通道流过。图3B中图示另外的实施例,其中可以将导管355形成在底部表面310中,并且可以将加热元件配置在导管355中。加热器凹部342可以被形成在底部表面310中,并适用于固持加热元件,而且加热器凹部342提供了将加热元件放在环形温度通道或导管355内的通道。
可以将一或更多个凹部及/或通道形成于环形主体中或一或更多个凹部及/或通道可以由环形主体界定,如在揭示的实施例中图示的,包括图3D中图示的。环形主体可以包括形成在上表面中的上凹部303以及形成在下表面中的内环形壁301处的第一下凹部302。上凹部303可以是形成在环形主体340中的第一上凹部。环形主体还可以包括形成在下表面310中并在第一下凹部302的下方且径向往外的第二下凹部304。如图3D所图示,第一流体通道306可以被界定于上表面315中,并且可以位于环形主体中从上凹部303径向往内处。第一流体通道306的形状可以是环形的,并可以形成环形主体340周围的整个距离。在揭示的实施例中,上凹部303的底部部分与第一流体通道306的外壁相交。第一流体通道也可以是从第二下凹部304至少部分地径向往外。可以在第一流体通道的内壁中界定多个通口312,也可以在环形主体340的内环形壁301中界定多个通口312。通口312可以提供第一流体通道与上板材320和下板材325之间界定的内部容积之间的通道。通口可以以特定的间隔被界定于通道的外周周围,并且可以促进上板材和下板材之间界定的整个容积区域的分配。通口312之间的间距间隔可以是固定的,或者可以在不同的位置变化,以影响进入容积的流体流动。第一流体通道306的径向内壁和外壁可以具有相似或不相似的高度。例如,可以将内壁形成为比外壁更高,以影响流体在第一流体通道中的分布,而避免或大致上避免流体流动于第一流体通道的内壁上方。
再次参照图3D,可以将第二流体通道308a界定在上表面315中,第二流体通道308a位于第一流体通道306径向往外的环形主体中。第二流体通道308a可以是环形的,并且位于从第一流体通道306径向往外且与第一流体通道306同心。第二流体通道308a也可以位于第一上凹部303的径向往外,使得第二流体通道308a未被上板材320覆盖,讨论如下。第二多个通口314可以被界定在环形主体340的一部分中,所述部分界定第一流体通道306的外壁和第二流体通道308a的内壁。第二多个通口314可以位于通道周围预定距离的间隔处,以在第二流体通道308a的几个位置提供到第一流体通道306的流体通道。可以将第二上凹部309形成在第二流体通道308a的顶部中以及在第二流体通道的内壁和外壁两者中。第二上凹部可配置成接收环形构件316,环形构件316可藉由径向往内和往外延伸进入环形主体并越过通道的内壁和外壁进入凹部空间309而被定位来覆盖第二流体通道。可以将环形构件316与环形主体340烧黏,以从上方与第二流体通道308a流体隔绝。在操作中,前驱物可以从处理腔室的外部流至位于环形主体340侧边的输送通道322。流体可以流入第二流体通道308a、通过第二多个通口314进入第一流体通道306、通过第一多个通口312进入上和下板材之间界定的内部容积以及通过位在底部板材中的第三孔375。因此,可以将以这样的方式提供的流体与经由孔360输入第一等离子体区域的任何流体隔离或大致上隔离,直到流体个别离开下板材325。
藉由提供环形构件316来覆盖第二流体通道308a,可以大致上消除第一和第二流体通道之间的泄漏,而且在揭示的实施例中可以完全消除第一和第二流体通道之间的泄漏。环形构件316可以与环形主体340耦接,例如藉由在凹部309中黏合通道的两侧。由于环形构件316并未径向延伸超出第二流体通道308a和凹部309的宽度,故环形构件316较不易产生径向变形。因此,可以产生改良的覆盖轮廓,并且可以大致地或完全地防止从第二流体通道的泄漏。
上板材320可以是圆盘形的主体,并且可以在第一上凹部303与环形主体340耦接。因此,上板材320可以覆盖第一流体通道306,以防止或大致上防止流体从第一流体通道306的顶部流出。上板材可以具有选择来与上凹部303的直径相配合的直径,并且上板材可以包含多个贯穿上板材形成的第一孔360。第一孔360可以延伸超出上板材320的底部表面,从而形成数个凸起的筒体。在每个凸起的筒体之间可以有缝隙。如图3A所见,可以在上板材320上将第一孔360排列成多边形图案,使得通过最外面的第一孔360的中心画出的假想线界定或大致上界定多边形的图,该图可以是例如六边的多边形。
该图案还可以具有第一孔360的交错的行阵列的特征,第一孔360的行数从约5至约60行,例如从约15至约25行。每一行沿着y轴可以具有从约5至约20个第一孔360,并且每一行被介于约0.4和约0.7英寸之间的间距隔开。在一行中的每个第一孔360可以沿着x轴与前一个孔从各自的直径相距约0.4和约0.8英寸之间。第一孔360可以沿着x轴与另一行中的孔从各自的直径错开约0.2和约0.4英寸之间。在每一行中可以将第一孔360以等间距彼此隔开。参照图3D,上板材320的边缘部分可以包含第二厚度,第二厚度大于位于更靠近板材中央部分的第一厚度,并且第二厚度可以等于或大致上等于第一上凹部303外壁的高度。边缘部分可以从外边缘径向往内延伸等于或大致上等于上凹部的底部部分的距离。因此,在揭示的实施例中,边缘部分可以不径向往内延伸超过第一上凹部303的最往内部分。
下板材325可以具有圆盘形的主体,该圆盘形的主体具有数个穿过该主体形成的第二孔365和第三孔375,特别是如图3C所见。下板材325可以有多个厚度,并且界定部分的厚度大于上板材320的中央厚度,而且在揭示的实施例中,界定部分的下板材325厚度为上板材320厚度的至少约两倍。下板材325还可以具有与环形主体340在第一下凹部302的内环形壁301的直径相匹配的直径。如前所述,下板材325可以包含多个厚度,例如板材的第一厚度可以是第三孔375延伸通过的厚度。大于第一厚度的第二厚度可以是与环形主体340的第一下凹部302相交的板材边缘区域的厚度。可以将相对于第一下凹部的第二厚度的尺寸制作为类似于相对于第一上凹部的上板材边缘部分。在揭示的实施例中,第一和第二厚度大致上是相似的。大于第二厚度的第三厚度可以是围绕第二孔365的板材厚度。例如,第二孔365可以被下板材325界定为向上延伸到上板材320的筒体。以这种方式,可以在彼此流体隔离的第一和第二孔之间形成通道。此外,可以将上板材和下板材之间形成的容积与第一和第二孔之间形成的通道流体隔离。因此,流过第一孔360的流体将流过第二孔365,并且在板材之间的内部容积内的流体将流过第三孔375,而且流体彼此间将被流体隔离,直到经由第二或第三孔离开下板材325为止。这种分离可以提供许多效益,包括防止自由基前驱物在到达反应区之前接触第二前驱物。藉由防止气体的相互作用,可以在需要沉积的处理区域之前最小化腔室内的沉积。
可以将第二孔365排列在与上述第一孔360的图案对齐的图案中。在一个实施例中,当将上板材320和底部板材325定位成一个在另一个的顶部时,第一孔360和第二孔365的轴是对齐的。在揭示的实施例中,上板材和下板材可以彼此耦接或直接接合在一起。在任何一种情况下,板材的耦接可以发生,使得第一和第二孔对齐而形成通过上板材和下板材的通道。多个第一孔360和多个第二孔365可以使各自的轴互相平行或大致上互相平行,例如孔360、365可以是同心的。或者,多个第一孔360和多个第二孔365可以使各自的轴相互呈约1°至约30°的角度配置。在底部板材325的中心可以没有第二孔365。
如前所述,气体分配组合件300通常是由环形主体340、上板材320及下板材325所组成。下板材325可以位于第一下凹部303内,并使凸起的筒体面向上板材320的底部表面,如图3D所图示。然后可以将底部板材325定位在第一下凹部304中,并将底部板材325转动定位,使得第一和第二孔360、365的轴可以对齐。可以将上板材320与底部板材325密封耦接,以将第一和第二孔360、365与第三孔375流体隔离。例如,可以将上板材320焊接于底部板材325,使得下板材325上的凸起筒体的表面和上板材320的底部表面之间产生密封。然后可以将上板材320和底部板材325以电子束焊接或其它方式结合于环形主体340。可以用电子束焊接上板材320,使得环形主体的外缘和上凹部303的内缘之间产生密封。可以用电子束焊接底部板材325,使得环形主体的外缘和内环形壁301之间产生密封。在揭示的实施例中,气体分配组合件300的表面可以是电抛光的、镀有金属的或是涂有各种金属类物质或氧化物的。
多个第二孔365和多个第三孔375可以形成交替的交错行。可以将第三孔375排列在底部板材325的至少两个第二孔365之间。在每个第二孔365之间可以有第三孔375,第三孔375在两个第二孔365之间被均匀地隔开。还可以有数个第三孔375以六边形的图案位在底部板材325的中心周围,例如6个第三孔,或是数个第三孔375形成另一种几何形状。底部板材325的中心可以没有第三孔375形成。在形成第二孔多边形图案顶点的周边第二孔365之间也可以没有设置第三孔375。或者,可以有第三孔375位于周边第二孔365之间,而且还可以有另外的第三孔375位于从周边第二孔365往外,而形成最外环的孔,例如如图3C中所图示。
或者,第一和第二孔的排列可以形成任何其它的几何图案,并且可以分布成基于板材中心位置彼此同心往外设置的孔环。作为一个实例并且不限制本技术的范围,图3A图示由该等孔形成的图案,该图案包括从中心往外延伸的同心六边形环。每个往外设置的环可以具有与前述往内设置的环相同数量、更多的或更少的孔。在一个实例中,基于每个环的几何形状,每个同心环可以具有额外数量的孔。在六边形的实例中,每个向外移动的环可以比直接向内设置的环多6个孔,并且第一个内环具有6个孔。有了位置最接近上板材和底部板材中心的第一孔环,上板材和底部板材可以具有两个以上的环,并且取决于所使用的孔几何图案,上板材和底部板材可以具有介于约1个和约50个之间的孔环。或者,板材可以具有约2个和约40个之间的环,或多达约30个环、约20个环、约15个环、约12个环、约10个环、约9个环、约8个环、约7个环、约6个环等或更少的环。在一个实例中,如图3A所图示,在示例性的上板材上可以有9个六边形环。
同心孔环也可以没有其中一个同心孔环,或是同心孔环可以从其它的环之间移除向外延伸的一个孔环。例如参照图3A,其中板材上有示例性的9个六边形环,但该板材可以替代地具有8个环,而且可以是第4环被移除。在这样的实例中,可以不在原本所要设置第4环的地方形成通道,这可以重新分配通过孔的气体流体流动。这些环仍然还可以从几何图案移除某些孔。例如再次参照图3A,可以将第10个六边形孔环形成在图示的板材上作为最外面的环。然而,该环可以不包括可形成六边形图案顶点的孔或其它在该环内的孔。
第一、第二及第三孔360、365、375可以全部适用于允许流体通过这些孔。第一和第二孔360、365可以具有圆柱形的形状,并且可以替代地具有各种不同的横截面形状,包括圆锥形、圆柱形或多种形状的组合。在一个实例中,如图3D所图示,第一和第二孔可以具有大致上圆柱形的形状,并且第三孔可以由一系列不同直径的圆柱所形成。例如,第三孔可以包含三个圆柱,其中第二圆柱的直径小于其它圆柱的直径。可以使用这些和许多其它的变化来调节流体通过该等孔的流动。
当所有的第一和第二孔具有相同的直径时,通过通道的气体流动可能不会是均匀的。当工艺气体流入处理腔室时,气体的流动可以是例如较大量的气体优先流过某些通道。因此,可以将某些孔的直径自某些其它的孔缩小,以在前驱物流被输入第一等离子体区域时重新分配前驱物流。可以由于该等孔的相对位置(例如靠近挡板)而选择性地缩小该等孔的直径,因此,可以缩小靠近挡板的孔的直径,以减少通过这些孔的工艺气体流量。在一个实例中,如图3A所图示,其中9个六边形的第一孔环同心地位于板材上,某些孔环可以使一些或全部的孔直径缩小。例如,第4环可以包括直径比其它环的第一孔小的第一孔子集。或者,第2环至第8环、第2环至第7环、第2环至第6环、第2环至第5环、第2环至第4环、第3环至第7环、第3环至第6环、第3环至第5环、第4环至第7环、第4环至第6环、第2环和第3环、第3环和第4环、第4环和第5环、第5环和第6环等或是一些环的其它组合可以使位于该等环中的一些或全部的孔的孔径缩小。
再次参照图3D,可以将一对隔离通道318形成在环形主体340中。该对隔离通道318的其中一个可以被界定在环形主体340的上表面315中,而该对隔离通道318的另一个可以被界定在环形主体340的下表面310中。该对隔离通道可以彼此垂直对齐,而且在揭示的实施例中,该对隔离通道可以处于直接垂直对齐。或者,该对隔离通道可以在任一方向偏离垂直对齐。在揭示的实施例中,该等通道可以提供诸如O形环的隔离阻障件所用的位置。
来到图3E,依据揭示的实施例图标出气体分配组合件的附加特征,并且该等附加特征可以包括许多上述关于图3D的特征。组合件300包括具有内环形壁301、外环形壁305、上表面315及下表面310的环形主体340。环形主体340可以另外包括上凹部303、第一下凹部302及第二下凹部304。环形主体还可以具有形成在上表面315中的第一流体通道306,并具有多个界定在内通道壁中的通口312,通口312提供流体进入上板材320和下板材325之间形成的容积的通道。下板材325可以在第一下凹部302与环形主体340耦接。下板材325还可以另外界定第一和第二孔,如以上关于图3D所讨论的。
上板材320可以在上凹部303与环形主体340耦接。可以类似于图3D的第一流体通道306界定第一流体通道306。或者,第一流体通道306的内壁和外壁可以具有大致上相似的高度,而且在揭示的实施例中,第一流体通道306的内壁和外壁可以具有相同的高度。上板材320可以覆盖第一流体通道306,以防止来自第一流体通道306顶部的流动路径。第一多个通口312可以类似于图3D中的通口312被界定于环形主体中。或者,第一多个通口312可以在内环形壁301被部分地形成于上表面315中。当上板材320与环形主体340耦接时,上板材可以进一步界定多个通口312的顶部。
可以在环形主体340的上表面315中形成第二流体通道308b,并且第二流体通道308b可配置成接收通过流体输送通道322输送的流体,如前面所述。然而,第二流体通道308b可以位于从第一上凹部303径向往内,使得第二流体通道308b被上板材320覆盖。第二流体通道308b的外壁可以和上凹部303的底部相交。可以由形成第二流体通道308b内壁和第一流体通道306的外壁的环形主体的部分界定第二多个通口314。该等通口可以提供第一和第二流体通道之间的流体连通,并且可以类似地位于如上所述之处。上板材320可配置成在与环形主体340接触的每个接面限制变形。例如,上板材320可以在设置孔的上板材320的中央部分中具有第一厚度,并且在板材的边缘部分可以具有大于第一厚度的第二厚度。这些边缘部分可以从上凹部303延伸于第二流体通道308b、第一流体通道306及内环形壁301上方。上板材320在边缘部分增加的厚度可以更好地吸收在上板材耦接于环形主体的过程中产生的应力,从而减少变形。
部分的上板材320可以延伸进入第二流体通道308b一段距离。该部分的上板材可以延伸进入第二通道到上凹部303的底部以下一段高度。在揭示的实施例中,在上表面315中将第二流体通道308b形成到比第一流体通道306更大的深度。上板材320延伸进入第二流体通道308b的部分可以延伸到与第一流体通道306在环形主体340内的深度相同的深度。藉由使部分的上板材延伸进入第二流体通道308b,当将上板材与环形主体340耦接时可能出现的上板材变形可以不会在第一和第二流体通道之间产生任何泄漏路径,因为变形的程度可以被上板材320延伸进入第二流体通道308b的量所克服。可以类似于图3D的通口314来界定第二多个通口314,或者可以类似于第一多个通口312将第二多个通口314部分地形成在上表面中。多个通口314的顶部可以由上板材320的底部表面界定。可以在第二流体通道308b和第一流体通道306之间以垂直增加的角度形成第二多个通口314。藉由以一定的角度形成该等通口,该等通口可以不会被延伸进入第二流体通道308b的上板材部分阻塞。在揭示的实施例中,第二多个通口314可以是形成在环形主体中的各种形状或尺寸的狭缝。可以以从第二流体通道308b往第一流体通道306增加或向上的角度形成该等狭缝,使得该等通口在上板材320延伸进入第二流体通道308b的该部分下方为流体连通的。
在揭示的实施例中,可以将一对隔离通道324形成在气体分配组合件中,其中至少一部分的隔离通道与环形主体形成第二流体通道308b内壁和第一流体通道306内壁的部分垂直对齐。为了产生这种配置,可以将该对隔离通道中的一个界定在上板材中从第一上凹部径向往内的位置。可以将该对隔离通道中的另一个界定在环形主体的下表面310中,并且该对隔离通道可以彼此垂直对齐。在揭示的实施例中,该对隔离通道可以处于直接垂直对齐。在操作中,隔离通道可以接收例如O型环或其它的隔离装置。藉由在与第一和第二流体通道的共享壁至少部分对齐的位置提供隔离通道,可以使用在隔离通道产生的压缩来偏移、减少或去除在上板材320和环形主体的接面处可能发生的变形。
参照图4A-4B,提供的气体分配组合件400或喷洒头包括第一或上板材420和第二或下板材425,并且可以将下板材425的顶部设置成与上板材420的底部耦接。上板材和下板材可以是具有多个孔的多孔板材,该多个孔被界定在每块板材中。在使用中,可以用使喷洒头中形成的任何孔的轴可以垂直于或大致上垂直于基板平面的这种方式来设定喷洒头400对基板的方位。
参照图4B,环形主体440可以包括在上表面415中的上凹部403和在下表面410中的下凹部402。第一流体通道406可以被界定在下表面410中,并且可以从下凹部402径向往内位于环形主体中。第一流体通道的形状可以是环形的,并且该通道可以被下板材425覆盖。可以在内环形壁401将多个通口412至少部分地界定在环形主体中,并且可以沿着整个通道在界定的间隔处设置多个通口412,该等间隔可以相等或是在该多个通口各处被修改。在揭示的实施例中,下板材425可以界定多个通口412的顶部部分。可以在上凹部403将上板材420与环形主体440耦接,并且上板材420可以界定多个第一孔460。可以在下凹部402将下板材425与环形主体440耦接,并且下板材425可以覆盖第一流体通道406。下板材425可以界定多个第二孔465,多个第二孔465可以与上板材420中界定的第一孔460对齐,以形成穿过组合件400的第一组通道。下板材425还可以界定多个位于第二孔465之间和周围的第三孔475。下板材425可以包括在第二孔465周围的凸起部分,该凸起部分向上延伸到上板材420,以产生穿过组合件的流体隔离的通道。
可以将上板材和下板材彼此密封耦接,使得第一和第二孔以该凸起部分对齐而形成通过上板材和下板材的通道,使得内部容积被界定于上板材和下板材之间。可以经由多个通口412流体连接该容积。可以配置该组合件,使得第一流体可以流过第一孔并经由第一和第二孔之间形成的隔离通道延伸通过组合件400。此外,可以使第二流体经由第一流体通道406并输入上板材和下板材之间界定的容积而流过该组合件。流过该容积的流体可以流过第三孔和下板材凸起部分的周围,使得第一和第二流体可以经由喷洒头流体隔离并保持分离,直到第一和第二流体分别通过第二和第三孔离开下板材为止。
可以将第一孔460成形为抑制离子带电物种迁移出前述的第一等离子体区域215,同时允许不带电的中性或自由基物种通过喷洒头225或气体分配组合件400。这些不带电的物种可以包括由较低反应性的载送气体输送通过孔的高反应性物种。如上所注意的,可以减少通过孔的离子物种迁移,并且在某些情况下可以完全抑制通过孔的离子物种迁移。控制通过气体分配组合件400的离子物种量可以对被带入而与下方的晶圆基板接触的气体混合物提供增多的控制,进而增加对气体混合物的沉积及/或蚀刻特性的控制。因此,在揭示的实施例中,第一孔可以包括以逐漸减小的直径延伸通过上板材的锥形形状,以控制流体的特性。具体地,此上板材可以作为离子抑制板材或离子阻挡件,使得配置有效地将离子抑制直接结合于喷洒头设计中,而且可以不用额外需要另外的抑制元件。
每个第一孔460可以具有往第一圆柱形部分逐渐变细的锥形入口部分,该第一圆柱形部分与第二孔465相交。第二孔可以包括各种形状的多个部分,以进一步影响通过第一和第二孔之间形成的通道的流体流动。在示例性的设计中,第二孔465可以包括多个直径增加并通向锥形部分的圆柱形部分,该锥形部分以增加的直径延伸到下板材425的底部。第三孔475可以同样地包括各种形状的多个部分,并且在示例性的配置中,第三孔475可以包括多个直径减少并通向锥形部分的圆柱形部分,该锥形部分以增加的直径延伸到下板材425的底部。在揭示的实施例中,该第二和第三孔包括至少三段不同的形状或直径。
对于离子抑制组合件,例如示例性的配置组合件400,孔的数量可以大于例如图3D和图3E的示例性组合件配置中的孔的数量。提供更大量的孔可以增加输入腔室的处理区域的物种的密度。图4A图标气体分配组合件400的底视图,气体分配组合件400包括具有第二孔465和第三孔475的下板材425。虽然只图示出四分之一圆的孔,将容易了解的是,该等孔被类似地界定于该组合件的全部四个四分之一圆中。虽然图3A图示示例性的9个六边形孔环,但例如图4A图示的大小类似的气体分配组合件可以包括约18个和25个之间的孔环。在图4A-4B中图标的高密度设计中的孔的总数可以包括2-10倍多的第二孔和第三孔总数。如图3E所图标的高密度配置可以包括直接在板材中心的附加第二孔365。
参照回图4B,气体分配组合件可以另外包括界定于下表面410中的第二流体通道408,第二流体通道408位于从第一流体通道406径向往外的环形主体440中。可以在整个环形主体360周围形成第二流体通道408,并且第二流体通道408还可以与第一流体通道406同心。可以将第二多个通口414界定于环形主体中的至少一部分中,所述环形主体中的该至少一部分为界定第一流体通道406的外壁和第二流体通道408的内壁的部分。第二流体通道408也可以位于下凹部的径向往内处,使得第二流体通道被下板材425覆盖。与图3E中描述的设计类似,一部分的下板材可以延伸进入第二流体通道408。
该部分的下板材425可以延伸进入第二通道至下凹部402的顶部上方某个高度。在揭示的实施例中,在下表面410中将第二流体通道408形成至比第一流体通道406更高的高度。下板材425延伸进入第二流体通道408的该部分可以在环形主体440内延伸到相当于第一流体通道406或更小的高度,或延伸到相当于约第一流体通道406的一半高度的高度。如上所说明的,延伸进入第二流体通道408的下板材部分可以限制当下板材与环形主体440耦接时可能发生的下板材变形的影响。可以类似于图3D或图3E的通口来界定第二多个通口414,但是是在下表面410中。多个通口414的底部可以由下板材425的顶部表面界定。
可以在第二流体通道408和第一流体通道406之间以垂直减少的角度形成第二多个通口414。藉由以一定的角度形成该等通口,该等通口可以不会被下板材延伸进入第二流体通道408的部分阻塞。在揭示的实施例中,第二多个通口414可以是形成在环形主体中的各种形状或尺寸的狭缝,而且可以以从第二流体通道408往第一流体通道406向下的角度形成该等狭缝,使得该等通口在下板材延伸进入第二流体通道408的该部分上方为流体连通的。在操作中,可以经由腔室中的侧边通口(例如流体输送通道422)输送流体通过气体分配组合件400。流体可以流入第二流体通道408,然后通过第二多个通口414,第二多个通口414可以将第二流体通道408与第一流体通道406流体耦接。然后,流体可以流过第一多个通口412,第一多个通口412将第一流体通道406与上板材420和下板材425之间界定的容积流体耦接。流体可以继续流过第三孔475而进入处理区域。在此配置中,可以将这样的流体与形成通过气体分配组合件的通道的第一和第二孔流体隔离。以此方式,该分配组合件可以防止此流体的流动进入第一孔,并且可以在没有压力差或强制流动下防止流体流过气体分配组合件的顶部。
图5A图示示例性的气体分配组合件500,气体分配组合件500配置成提供到处理区域的三个隔离的流体路径。组合件500可以包括与先前所述类似的组件,包括具有位于内径的内环形壁501、位于外径的外环形壁505、上表面515及下表面510的环形主体540。气体分配组合件500可以包括与环形主体540耦接的上板材520,上板材520界定第一组孔。中间板材530可以与上板材520耦接,并且可以包含多个第二孔和多个第三孔。可以耦接中间板材530,使得第二孔与上板材的第一孔对齐。该组合件可以另外包括与环形主体540和中间板材530耦接的下板材525。下板材525可以界定与上板材的第一孔和中间板材的第二孔对齐的多个第四孔,以形成通过板材的第一多个流体通道561。下板材也可以界定与中间板材的第三孔对齐的第五组孔,以形成通过中间板材和下板材的第二多个流体通道566。可以将第二多个流体通道566与第一多个流体通道561流体隔离。下板材还可以界定第六组孔,该第六组孔形成通过下板材的第三多个流体通道576。可以将第三多个流体通道576与第一和第二多个流体通道流体隔离。
在操作中,可以配置气体分配组合件,使得两种流体可以从侧边被输入喷洒头但在该组合件中形成的两个流体隔离的容积中保持流体分离。可以从气体分配组合件500的上方输送第一流体,并且该第一流体可以包括例如RPS或第一等离子体区域中产生的自由基物种。该第一流体可以流过第一多个流体通道561,可以将第一多个流体通道561个别隔离,并且无法从组合件容积内进入第一多个流体通道561。可以将第二流体从侧边通口或第一输送通道引入喷洒头中,第一输送通道在上板材520和中间板材530之间输送第二流体。第二流体可以在此第一界定的容积内流动,并通过第二多个流体通道。这些通道也可以与穿过组合件形成的其它通道流体隔离。可以将第三流体从另外的侧边通口或第二输送通道引入喷洒头中,第二输送通道在中间板材530和下板材525之间输送第三流体。第三流体可以在此第二界定的容积内流动,并通过第三多个流体通道,第三多个流体通道可以与穿过组合件形成的其它通道流体隔离。可以将另外的侧边通口或第二输送通道以及第二界定容积与第一输送通道和第一界定容积流体隔离。以此方式,可以将三种流体经由单一气体分配组合件输入处理区域,但可以将该等流体分开直到该等流体各自离开气体分配组合件并进入处理区域。
虽然各种孔的配置也被所揭示的技术涵括,但图5B和图5C图示第四孔567、第五孔568及第六孔577的两个示例性配置。附图显示下板材525和第四、第五及第六孔的示例性方位的部分平面图。在一些揭示的配置中,下板材可以包括的第四、第五及第六孔方位使得大部分的第四孔567每个皆被至少四个第五孔568和四个第六孔577包围。
如图5B所图示,第四孔567可以具有四个第五孔568位于每个第四孔567周围。此外,四个第六孔577也可位于每个第四孔567的周围。在此配置中,第五孔568a-d可以位于第四孔567周围,并且如由图所辨识,第五孔的中心绕着一个第四孔567的中心彼此间隔约90°。同样地,第六孔577可以位于第四孔周围,并且如由图所辨识,第六孔的中心绕着第四孔577的中心彼此间隔约90°。第六孔577还可以绕着第四孔577的中心与第五孔568偏离约45°,如由图所辨识。每个第五孔568可以另外地具有四个第六孔577,四个第六孔577绕着第五孔568的中心以彼此间隔约90°位于第五孔568的周围,如由图所辨识。也可以将该等孔视为基于第四孔567和第五孔568的孔的行。如图5B所示,第四孔567或第五孔568的每个水平行以各行的第四或第五孔与第六孔577交错。该等行在交替的行中额外位移一个孔,使得每一个第四或第五孔在每个交替的行中具有位于上方或下方的第六孔。
如图5C所图示,第四孔567可以具有四个或更多的位于每个第四孔567周围的第五孔568。第六孔568可以与第四孔567位于交错的列中。此外,6个第六孔577也可以被定位在每个第四孔567周围。在此配置中,第五孔568可以位于第四孔567周围,并且第五孔的中心绕着第四孔567的中心彼此间隔约60°,如由图所辨识。同样地,第六孔577可以位于第四孔周围,并且第六孔的中心绕着第四孔577的中心彼此间隔约60°,如由图所辨识。第六孔577还可以绕着第四孔577的中心与第五孔568偏离约30°,如由图所辨识。第五孔568可以位于与每个第四孔567的中心相距第一径向距离。此外,第六孔577可以位于与每个第四孔567的中心相距第二径向距离。如图5C所图示,第二径向距离可以小于第一径向距离。其它揭示的实施例可以具有大于第一径向距离的第二径向距离。可以再次考虑该等孔为第四或第五孔的交错水平孔行。如附图中所示,每个第四或第五孔与同行中的下一个第四或第五孔间隔两个第六孔。可以将孔行偏离,使得每一行位移任两个第四或第五孔之间的一半距离,使得每隔一行的孔就第六孔577而言是对齐。
在前面的描述中,为了解释的目的,已经提出许多细节,以提供对本发明的各种实施例的了解。然而,本领域技术人员显而易见的是,可以在没有部分的这些细节或具有另外的细节之情况下实施某些实施例。
有了揭示的几个实施例,本领域技术人员将理解到,可以在不偏离揭示的实施例的精神下使用各种修改、替代结构以及均等物。此外,并未描述数个习知的工艺及元件,以避免不必要地混淆本发明。因此,不应将以上描述视为限制本发明的范围。
当提供数值的范围时,应了解到,除非内文以其它方式清楚指明,否则在该范围的上限与下限之间、每个到下限单位的最小分率的中间值也是被具体揭示的。在陈述范围中的任何陈述值或中间值与该陈述范围中的任何其它陈述值或中间值之间的每个较小范围亦被涵括。该等较小范围的上限与下限可独立地被包括或排除于该范围中,而且不论是该等较小范围包括任一限值、不包括二限值或是包括二限值,该等较小范围中的每个范围亦被涵括于本发明中,取决于该陈述范围中任何经具体排除的限值。当该陈述范围包括该等限值中的一者或二者时,排除该等包括的限值中的任一者或二者的范围亦被包括。
除非内文以其它方式清楚指明,否则本文中与所附权利要求中使用的单数形“一”及“该”包括复数的指示对象。因此,举例来说,提及“一孔”包括多个该种孔,而提及“该板材”包括提及一或更多个板材及其为本领域技术人员所习知的均等物,以此类推。
同样地,当用于本说明书中及以下权利要求中时,字眼“包含”、“含有”及“包括”意欲指明陈述的特征、整数、组件或步骤的存在,但该等字眼并不排除一或多个其它的特征、整数、组件、步骤、动作或群组的存在或加入。

Claims (20)

1.一种基板处理腔室,包含:
面板材;
喷洒头,所述喷洒头包含:
环形主体,包含:
内环形壁、外环形壁、上表面及下表面,所述内环形壁位于内径,所述外环形壁位于外径;
上凹部,形成在所述环形主体的所述上表面中;
第一流体通道,界定于所述上表面中,所述第一流体通道从所述上凹部径向往内位于所述环形主体中;以及
第二流体通道,界定于所述上表面中,所述第二流体通道从所述第一流体通道径向往外位于所述环形主体中;
上板材,在所述上凹部处与所述环形主体耦接并覆盖所述第一流体通道,其中所述上板材界定多个第一孔,其中远程等离子体区域至少部分地界定在所述面板材与所述喷洒头之间;以及
环形构件,覆盖所述第二流体通道。
2.如权利要求1所述的基板处理腔室,进一步包含下板材,所述下板材与所述上板材黏合。
3.如权利要求1所述的基板处理腔室,其中多个通口被界定在所述环形主体的一部分中,所述环形主体的所述部分界定所述第一流体通道的外壁和所述第二流体通道的内壁。
4.如权利要求3所述的基板处理腔室,其中所述第二流体通道位于所述上凹部的径向往外处,使得所述第二流体通道未被所述上板材覆盖。
5.如权利要求4所述的基板处理腔室,其中第二上凹部被所述环形主体界定于所述第二流体通道的顶部附近并在所述内壁和外壁两者中。
6.如权利要求5所述的基板处理腔室,其中所述环形构件位于所述第二上凹部内。
7.如权利要求3所述的基板处理腔室,其中所述上凹部界定突出部,所述突出部与所述第一流体通道的所述外壁相交。
8.如权利要求3所述的基板处理腔室,进一步包含一对隔离通道,其中所述一对隔离通道中的一者被界定于所述环形主体的所述上表面中,并且所述一对隔离通道中的另一者被界定于所述环形主体的所述下表面中,且其中所述一对隔离通道彼此垂直对齐。
9.如权利要求3所述的基板处理腔室,其中所述第二流体通道位于所述上凹部的径向往内处,使得所述第二流体通道被所述上板材覆盖。
10.如权利要求9所述的基板处理腔室,其中所述上板材的一部分延伸进入所述第二流体通道而位于所述上凹部的底部下方。
11.如权利要求10所述的基板处理腔室,其中所述多个通口从所述第二流体通道往所述第一流体通道向上成一角度,使得所述通口在延伸进入所述第二流体通道的所述上板材的所述部分下方可流体连通。
12.如权利要求9所述的基板处理腔室,进一步包含隔离通道,所述隔离通道被界定于所述环形主体的所述下表面中。
13.如权利要求1所述的基板处理腔室,进一步包含环形温度通道,所述环形温度通道界定于所述环形主体中并配置成接收冷却流体,可操作所述冷却流体来保持所述环形主体的温度。
14.如权利要求1所述的基板处理腔室,进一步包含环形温度通道,所述环形温度通道界定于所述环形主体中并配置成接收加热元件,所述加热元件位于所述通道内,可操作所述加热元件来保持所述环形主体的温度。
15.一种半导体处理系统,包含:
远程等离子体单元;以及
处理腔室,与所述远程等离子体单元流体地耦接,所述处理腔室包含:
面板材;
基板支座;
喷洒头,所述喷洒头包含:
环形主体,包含:
内环形壁、外环形壁、上表面及下表面,所述内环形壁位于内径,所述外环形壁位于外径;
上凹部,形成在所述上表面中;
下凹部,形成在所述下表面中;
第一流体通道,界定于所述下表面中,所述第一流体通道从所述下凹部径向往内位于所述环形主体中;
第二流体通道,界定于所述下表面中,所述第二流体通道从所述第一流体通道径向往外位于所述环形主体中;
上板材,在所述上凹部处与所述环形主体耦接,其中所述上板材界定多个第一孔;以及
下板材,在所述下凹部处与所述环形主体耦接,并覆盖所述第一流体通道和所述第二流体通道,所述下板材包含:
多个第二孔,界定于所述下板材中,其中所述第二孔与界定于所述上板材中的所述第一孔对齐;
多个第三孔,界定于所述下板材中并位于所述第二孔之间;
其中所述上板材和所述下板材彼此耦接,使得所述第一孔与所述第二孔对齐而形成穿过所述上板材和所述下板材的通道,
第一等离子体区域,界定在所述面板材与所述喷洒头之间;以及
第二等离子体区域,界定在所述喷洒头与所述基板支座之间。
16.如权利要求15所述的半导体处理系统,其中多个通口被界定在所述环形主体的一部分中,所述环形主体的所述部分界定所述第一流体通道的外壁和所述第二流体通道的内壁,其中该多个通口配置成流体耦接所述第二流体通道与所述第一流体通道。
17.如权利要求16所述的半导体处理系统,其中所述第二流体通道位于所述下凹部径向往内,使得所述第二流体通道被所述下板材覆盖,且其中所述下板材的一部分延伸进入所述第二流体通道而位于所述下凹部的顶部上方。
18.如权利要求17所述的半导体处理系统,其中所述多个通口从所述第二流体通道往所述第一流体通道向下成一角度,使得所述通口在延伸进入所述第二流体通道的所述下板材的所述部分上方可流体连通。
19.如权利要求15所述的半导体处理系统,其中所述第一孔包含锥形形状,其直径随着所述第一孔延伸通过所述上板材而减小,且其中所述第二孔和所述第三孔包含锥形形状,其直径随着所述第二孔和所述第三孔延伸通过所述下板材而增加。
20.如权利要求15所述的半导体处理系统,其中所述第二孔和所述第三孔各自包含至少三个形状或直径不同的部分。
CN201711262383.XA 2012-09-21 2013-09-09 基板处理腔室和半导体处理系统 Active CN107799379B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261704257P 2012-09-21 2012-09-21
US61/704,257 2012-09-21
US13/799,840 2013-03-13
US13/799,840 US9132436B2 (en) 2012-09-21 2013-03-13 Chemical control features in wafer process equipment
CN201380048676.1A CN104641457B (zh) 2012-09-21 2013-09-09 气体分配组合件

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380048676.1A Division CN104641457B (zh) 2012-09-21 2013-09-09 气体分配组合件

Publications (2)

Publication Number Publication Date
CN107799379A CN107799379A (zh) 2018-03-13
CN107799379B true CN107799379B (zh) 2020-01-03

Family

ID=50341854

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201711262383.XA Active CN107799379B (zh) 2012-09-21 2013-09-09 基板处理腔室和半导体处理系统
CN201380048676.1A Active CN104641457B (zh) 2012-09-21 2013-09-09 气体分配组合件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201380048676.1A Active CN104641457B (zh) 2012-09-21 2013-09-09 气体分配组合件

Country Status (6)

Country Link
US (4) US9132436B2 (zh)
JP (3) JP6298060B2 (zh)
KR (2) KR102151202B1 (zh)
CN (2) CN107799379B (zh)
TW (2) TWI618141B (zh)
WO (1) WO2014046896A1 (zh)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2008316467A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
US8894767B2 (en) * 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN107835868B (zh) 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017119074A1 (ja) * 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
KR102292077B1 (ko) 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
EP3379416B1 (en) * 2017-03-24 2023-06-14 Nxp B.V. Memory system
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107326341B (zh) * 2017-07-14 2019-10-25 君泰创新(北京)科技有限公司 Lpcvd工艺腔匀气装置
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR102585595B1 (ko) 2017-07-31 2023-10-10 어플라이드 머티어리얼스, 인코포레이티드 배플을 갖는 가스 공급 부재
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN111433902A (zh) * 2017-12-08 2020-07-17 朗姆研究公司 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020023408A1 (en) 2018-07-26 2020-01-30 Sigray, Inc. High brightness x-ray reflection source
WO2020051221A2 (en) 2018-09-07 2020-03-12 Sigray, Inc. System and method for depth-selectable x-ray analysis
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US20200087788A1 (en) * 2018-09-17 2020-03-19 Applied Materials, Inc. Multiple channel showerheads
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11143605B2 (en) 2019-09-03 2021-10-12 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
US11387071B2 (en) 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
DE112021002841T5 (de) 2020-05-18 2023-03-23 Sigray, Inc. System und Verfahren für Röntgenabsorptionsspektroskopie unter Verwendung eines Kristallanalysators und mehrerer Detektorelemente
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
JP2023542674A (ja) 2020-09-17 2023-10-11 シグレイ、インコーポレイテッド X線を用いた深さ分解計測および分析のためのシステムおよび方法
KR20230109735A (ko) 2020-12-07 2023-07-20 시그레이, 아이엔씨. 투과 x-선 소스를 이용한 고처리량 3D x-선 이미징 시스템
US11742185B2 (en) 2021-03-26 2023-08-29 Applied Materials, Inc. Uniform in situ cleaning and deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
CN101378003A (zh) * 2007-08-29 2009-03-04 朗姆研究公司 用于等离子处理装置的交替气体输送和排空系统
CN102051600A (zh) * 2009-10-15 2011-05-11 奥博泰克Lt太阳能公司 用于等离子处理腔的喷头组件

Family Cites Families (2209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4381441A (en) 1980-10-30 1983-04-26 Western Electric Company, Inc. Methods of and apparatus for trimming film resistors
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
CH640571A5 (fr) * 1981-03-06 1984-01-13 Battelle Memorial Institute Procede et dispositif pour deposer sur un substrat une couche de matiere minerale.
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS59222922A (ja) 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
DE3324388A1 (de) 1983-07-06 1985-01-17 Kraftwerk Union AG, 4330 Mülheim Verfahren und anlage zur wiederaufwaermung von rauchgasen hinter einer nassen rauchgasentschwefelungsanlage
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
JPS6245119A (ja) 1985-08-23 1987-02-27 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2598019B2 (ja) 1987-06-01 1997-04-09 富士通株式会社 感光体の製造方法
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
KR930003136B1 (ko) 1987-10-14 1993-04-22 후루가와덴기 고오교오 가부시기가이샤 프라즈마 cvd에 의한 박막 형성장치
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
JPH02121330U (zh) 1989-03-15 1990-10-02
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
EP0478233B1 (en) 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5279705A (en) 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US5217559A (en) 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
CA2068623A1 (en) 1991-05-28 1992-11-29 David Wilson Jr. Socket and drive assembly
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5274917A (en) 1992-06-08 1994-01-04 The Whitaker Corporation Method of making connector with monolithic multi-contact array
US5880036A (en) 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
EP0647163B1 (en) 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5415753A (en) * 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5454170A (en) 1994-03-02 1995-10-03 Vlsi Technology Inc. Robot to pedestal alignment head
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3501524B2 (ja) 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3411678B2 (ja) 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (ja) 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
CN1053764C (zh) 1994-12-09 2000-06-21 中国科学院微电子中心 束致变蚀方法
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
ATE190678T1 (de) 1994-12-19 2000-04-15 Alcan Int Ltd Reinigung von aluminium werkstücken
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69636880T2 (de) 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JPH09260356A (ja) 1996-03-22 1997-10-03 Toshiba Corp ドライエッチング方法
EP0891684B1 (en) 1996-03-25 2008-11-12 S. George Lesinski Attaching of an implantable hearing aid microactuator
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1068094A (ja) 1996-06-13 1998-03-10 Samsung Electron Co Ltd 遷移金属薄膜用蝕刻ガス混合物およびこれを用いた遷移金属薄膜の蝕刻方法
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6209480B1 (en) 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5868897A (en) 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19651646C2 (de) 1996-12-12 2002-07-11 Deutsch Zentr Luft & Raumfahrt Verfahren zum Einblasen einer ersten und zweiten Brennstoffkomponente und Einblaskopf
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6143158A (en) 1997-04-25 2000-11-07 Fuji Photo Film Co., Ltd. Method for producing an aluminum support for a lithographic printing plate
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6037273A (en) 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5982100A (en) 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6379576B2 (en) 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6177222B1 (en) 1998-03-12 2001-01-23 Xerox Corporation Coated photographic papers
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
JP2976965B2 (ja) 1998-04-02 1999-11-10 日新電機株式会社 成膜方法及び成膜装置
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6077386A (en) 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6468604B1 (en) 1999-03-17 2002-10-22 Anelva Corporation Method for manufacturing a titanium nitride thin film
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
TW477009B (en) 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6242360B1 (en) 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
EP1077480B1 (en) 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
EP1083593A1 (en) 1999-09-10 2001-03-14 Interuniversitair Micro-Elektronica Centrum Vzw Etching of silicon nitride by anhydrous halogen gas
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6573194B2 (en) 1999-11-29 2003-06-03 Texas Instruments Incorporated Method of growing surface aluminum nitride on aluminum films with low energy barrier
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
US6465350B1 (en) 1999-11-29 2002-10-15 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
US6572937B2 (en) 1999-11-30 2003-06-03 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3659101B2 (ja) 1999-12-13 2005-06-15 富士ゼロックス株式会社 窒化物半導体素子及びその製造方法
JP4695238B2 (ja) 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
KR100385133B1 (ko) 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
US6463782B1 (en) 2000-01-13 2002-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-centering calibration tool and method of calibrating
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
TW580735B (en) 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
EP1127957A1 (en) 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
JP2001284340A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6779481B2 (en) 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
JP2001313282A (ja) 2000-04-28 2001-11-09 Nec Corp ドライエッチング方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6364958B1 (en) 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
TW454429B (en) 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6531069B1 (en) 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
KR100767294B1 (ko) 2000-06-23 2007-10-16 캐논 아네르바 가부시키가이샤 Cvd장치
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6736987B1 (en) 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
TW512645B (en) 2000-07-25 2002-12-01 Ibiden Co Ltd Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clamp holder, and substrate for wafer prober
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
JP2002075972A (ja) 2000-09-04 2002-03-15 Hitachi Ltd 半導体装置の製造方法
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
US20020038791A1 (en) 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
JP2002115068A (ja) 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4602532B2 (ja) 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
KR100382493B1 (ko) 2000-12-28 2003-05-09 엘지전자 주식회사 세경관형 열교환기
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4657473B2 (ja) 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
KR100423953B1 (ko) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
EP1383943A1 (en) 2001-04-20 2004-01-28 Corus Aluminium Walzprodukte GmbH Method of plating and pretreating aluminium workpieces
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
DE10222083B4 (de) 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolationsverfahren für eine Halbleitervorrichtung
US20020170678A1 (en) 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US20030010645A1 (en) 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
WO2003017359A1 (en) 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
CN100462475C (zh) 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (ko) 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6462372B1 (en) 2001-10-09 2002-10-08 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
EP1453083A4 (en) 2001-12-07 2007-01-10 Tokyo Electron Ltd INSULATING FILM NITRIDING PROCESS, SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME, AND SURFACE TREATING DEVICE AND METHOD
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
AU2002353145A1 (en) 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
JP4488662B2 (ja) * 2001-12-13 2010-06-23 東京エレクトロン株式会社 プラズマ処理装置、マッチングボックス
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
JP3969081B2 (ja) 2001-12-14 2007-08-29 東京エレクトロン株式会社 プラズマ処理装置
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6730355B2 (en) 2002-03-06 2004-05-04 Micron Technology, Inc. Chemical vapor deposition method of forming a material over at least two substrates
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (ja) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
JP4330315B2 (ja) 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3773189B2 (ja) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6794889B2 (en) 2002-04-26 2004-09-21 Agilent Technologies, Inc. Unified apparatus and method to assure probe card-to-wafer parallelism in semiconductor automatic wafer test, probe card measurement systems, and probe card manufacturing
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP2003338491A (ja) 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
TW535991U (en) 2002-05-24 2003-06-01 Winbond Electronics Corp Barrier device
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6673200B1 (en) 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
WO2003107409A1 (ja) 2002-06-01 2003-12-24 積水化学工業株式会社 酸化膜形成方法及び酸化膜形成装置
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7686918B2 (en) 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6826451B2 (en) 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US6818561B1 (en) 2002-07-30 2004-11-16 Advanced Micro Devices, Inc. Control methodology using optical emission spectroscopy derived data, system for performing same
JP2006509999A (ja) 2002-08-02 2006-03-23 イー エイ フィシオネ インストルメンツ インコーポレーテッド 顕微鏡の試料調製方法及び装置
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP2005536042A (ja) 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6838684B2 (en) 2002-08-23 2005-01-04 Asml Netherlands B.V. Lithographic projection apparatus and particle barrier for use therein
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
JP3832409B2 (ja) 2002-09-18 2006-10-11 住友電気工業株式会社 ウエハー保持体及び半導体製造装置
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW587139B (en) 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
TW561068B (en) 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
KR100964398B1 (ko) 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
KR100739837B1 (ko) 2003-02-19 2007-07-13 마쯔시다덴기산교 가부시키가이샤 불순물 도입 방법 및 불순물 도입 장치
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7212078B2 (en) 2003-02-25 2007-05-01 Tokyo Electron Limited Method and assembly for providing impedance matching network and network assembly
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
JP2004296467A (ja) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US6844929B2 (en) 2003-04-09 2005-01-18 Phase Shift Technology Apparatus and method for holding and transporting thin opaque plates
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
WO2004093178A1 (ja) 2003-04-11 2004-10-28 Hoya Corporation クロム系薄膜のエッチング方法及びフォトマスクの製造方法
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
TWI227565B (en) 2003-04-16 2005-02-01 Au Optronics Corp Low temperature poly-Si thin film transistor and method of manufacturing the same
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
JP5404984B2 (ja) 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US20040237897A1 (en) 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
DE112004000057B4 (de) 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
KR100797498B1 (ko) 2003-06-27 2008-01-24 동경 엘렉트론 주식회사 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
CN100495655C (zh) 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
KR100518594B1 (ko) 2003-09-09 2005-10-04 삼성전자주식회사 로컬 sonos형 비휘발성 메모리 소자 및 그 제조방법
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR20030083663A (ko) 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
EP1676295A2 (en) 2003-10-06 2006-07-05 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4393844B2 (ja) 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100558925B1 (ko) 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
KR100546401B1 (ko) 2003-12-17 2006-01-26 삼성전자주식회사 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JPWO2005076336A1 (ja) 2004-02-09 2007-08-02 大見 忠弘 半導体装置の製造方法および絶縁膜のエッチング方法
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20060054280A1 (en) 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US20060081337A1 (en) 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
JP3998003B2 (ja) 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
CN100594619C (zh) 2004-05-21 2010-03-17 株式会社半导体能源研究所 半导体器件及其制造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (ko) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7220687B2 (en) 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US20060165994A1 (en) 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4579611B2 (ja) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
JP4718141B2 (ja) 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US20060032833A1 (en) 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
CN101053063B (zh) 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
JP2006108629A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP4467453B2 (ja) 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
JP2006193822A (ja) 2004-12-16 2006-07-27 Sharp Corp めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
WO2006069085A2 (en) 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
JP4191137B2 (ja) 2004-12-24 2008-12-03 東京エレクトロン株式会社 基板処理装置のクリーニング方法
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
US20060183270A1 (en) 2005-02-14 2006-08-17 Tessera, Inc. Tools and methods for forming conductive bumps on microelectronic elements
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP4518986B2 (ja) 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
JP2006303309A (ja) 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR100676203B1 (ko) 2005-06-21 2007-01-30 삼성전자주식회사 반도체 설비용 정전 척의 냉각 장치
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
TW200721363A (en) 2005-07-25 2007-06-01 Sumitomo Electric Industries Wafer holder, heater unit having the wafer holder, and wafer prober having the heater unit
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US8535443B2 (en) 2005-07-27 2013-09-17 Applied Materials, Inc. Gas line weldment design and process for CVD aluminum
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
JP5213150B2 (ja) 2005-08-12 2013-06-19 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理装置を用いた製品の製造方法
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US8039813B2 (en) 2005-09-06 2011-10-18 Carl Zeiss Smt Gmbh Charged particle-optical systems, methods and components
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US7718030B2 (en) 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP4823628B2 (ja) 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
US8772214B2 (en) 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
JP4344949B2 (ja) 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100712727B1 (ko) 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
KR100678696B1 (ko) 2006-02-08 2007-02-06 주식회사 뉴파워 프라즈마 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
CN101378850A (zh) 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2009530288A (ja) 2006-03-16 2009-08-27 ノバルティス アクチエンゲゼルシャフト 特に黒色腫の処置のためのヘテロ環式有機化合物
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
WO2007112454A2 (en) 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7743731B2 (en) 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
CN101473073B (zh) 2006-04-26 2012-08-08 高级技术材料公司 半导体加工系统的清洁
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7541292B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5119609B2 (ja) 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US8114781B2 (en) 2006-06-29 2012-02-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
GB0615343D0 (en) 2006-08-02 2006-09-13 Point 35 Microstructures Ltd Improved etch process
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100761757B1 (ko) 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US20080063798A1 (en) 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
JP2008163430A (ja) 2006-12-28 2008-07-17 Jtekt Corp 高耐食性部材およびその製造方法
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR100843236B1 (ko) 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7576018B2 (en) 2007-03-12 2009-08-18 Tokyo Electron Limited Method for flexing a substrate during processing
KR101526615B1 (ko) 2007-03-12 2015-06-05 도쿄엘렉트론가부시키가이샤 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
JP4833890B2 (ja) 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
WO2008123060A1 (ja) 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
CN101657565A (zh) 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP2008305871A (ja) 2007-06-05 2008-12-18 Spansion Llc 半導体装置およびその製造方法
KR20080111627A (ko) 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
US20090000641A1 (en) 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
JP4438008B2 (ja) 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
JP5583011B2 (ja) 2007-07-19 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 照明装置データを送信するための方法、システム及び装置
JP5077659B2 (ja) 2007-07-20 2012-11-21 ニチアス株式会社 触媒コンバーター及び触媒コンバーター用保持材
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5251033B2 (ja) 2007-08-14 2013-07-31 ソニー株式会社 半導体装置の製造方法
JP4160104B1 (ja) 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
KR20100045954A (ko) 2007-08-21 2010-05-04 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리장치에서의 플라즈마 방전상태 감시방법
KR101190074B1 (ko) 2007-08-31 2012-10-11 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5148955B2 (ja) 2007-09-11 2013-02-20 東京エレクトロン株式会社 基板載置機構及び基板処理装置
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5169097B2 (ja) 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
JP2009088522A (ja) 2007-09-28 2009-04-23 Hynix Semiconductor Inc 半導体装置のリセスゲート製造方法
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
JP4865672B2 (ja) * 2007-10-22 2012-02-01 シャープ株式会社 気相成長装置及び半導体素子の製造方法
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
WO2009058376A2 (en) 2007-10-31 2009-05-07 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8668775B2 (en) 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
WO2009057838A1 (en) 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8592318B2 (en) 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
JP5150217B2 (ja) 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US20090120364A1 (en) 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
JP5172617B2 (ja) * 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
AU2008333222A1 (en) 2007-12-04 2009-06-11 Parabel Ag Multilayer solar element
FR2924501B1 (fr) 2007-12-04 2010-02-05 Commissariat Energie Atomique Procede de reglage d'un circuit d'excitation et detection pour resonance magnetique nucleaire et circuit d'excitation et detection adapte a la mise en oeuvre d'un tel procede
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
JP2011508436A (ja) 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 基板の温度を制御するための方法及び装置
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
JP4974873B2 (ja) 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
WO2009084194A1 (en) 2007-12-28 2009-07-09 Tokyo Electron Limited Etching method for metal film and metal oxide film, and manufacturing method for semiconductor device
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
JP2009170509A (ja) 2008-01-11 2009-07-30 Hitachi High-Technologies Corp ヒータ内蔵静電チャックを備えたプラズマ処理装置
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US7998864B2 (en) 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
WO2009099776A1 (en) 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5250279B2 (ja) 2008-02-23 2013-07-31 東京エレクトロン株式会社 プローブ装置
JP5108933B2 (ja) 2008-02-26 2012-12-26 京セラ株式会社 静電チャック
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8066895B2 (en) 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8336891B2 (en) 2008-03-11 2012-12-25 Ngk Insulators, Ltd. Electrostatic chuck
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5188849B2 (ja) 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
US7915597B2 (en) 2008-03-18 2011-03-29 Axcelis Technologies, Inc. Extraction electrode system for high current ion implanter
JP5179389B2 (ja) 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5264231B2 (ja) 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
WO2009119285A1 (ja) 2008-03-24 2009-10-01 東京エレクトロン株式会社 シャワープレートとこれを用いたプラズマ処理装置
JP5352103B2 (ja) 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US7977246B2 (en) 2008-04-25 2011-07-12 Applied Materials, Inc. Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
CN102017056B (zh) 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100999182B1 (ko) 2008-05-20 2010-12-08 주식회사 뉴파워 프라즈마 내장 변압기를 갖는 플라즈마 반응기
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
KR101006848B1 (ko) 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7754601B2 (en) 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US20090302005A1 (en) 2008-06-04 2009-12-10 General Electric Company Processes for texturing a surface prior to electroless plating
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8607731B2 (en) 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
EP2290124A1 (en) 2008-06-27 2011-03-02 Mitsubishi Heavy Industries, Ltd. Vacuum processing apparatus and method for operating vacuum processing apparatus
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
KR101245430B1 (ko) 2008-07-11 2013-03-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
CN102089863B (zh) 2008-07-11 2014-03-05 应用材料公司 用于cvd应用的腔室部件
JP4473344B2 (ja) 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
CN102204414B (zh) 2008-08-20 2014-10-22 视觉动力控股有限公司 产生用于对衬底表面进行构图的等离子体放电的设备
US7882808B2 (en) 2008-08-20 2011-02-08 Crazy K Poultry + Livestock, LLC Protective hen apron
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
JP2010047818A (ja) 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP2010080846A (ja) 2008-09-29 2010-04-08 Tokyo Electron Ltd ドライエッチング方法
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8093116B2 (en) 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
CN102197714A (zh) 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8043933B2 (en) 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
JP5358165B2 (ja) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US7749917B1 (en) 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
WO2010088267A2 (en) 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
KR101527195B1 (ko) 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP2010180458A (ja) 2009-02-06 2010-08-19 Kit:Kk アルミニウム表面の酸化層形成方法及び半導体装置の製造方法
CN102365906B (zh) 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
KR101566922B1 (ko) 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP2012519956A (ja) * 2009-03-03 2012-08-30 ジュソン エンジニアリング カンパニー リミテッド ガス分配装置およびこれを備える基板処理装置
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP2012521075A (ja) 2009-03-17 2012-09-10 ロート ウント ラウ アーゲー 基板処理装置および基板処理方法
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
KR101534357B1 (ko) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 기판 지지 장치 및 기판 지지 방법
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
WO2010123707A2 (en) 2009-04-20 2010-10-28 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
JP5822823B2 (ja) 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
US20100273291A1 (en) 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US8894767B2 (en) * 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8440061B2 (en) 2009-07-20 2013-05-14 Lam Research Corporation System and method for plasma arc detection, isolation and prevention
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101095119B1 (ko) 2009-08-19 2011-12-16 삼성전기주식회사 다이 패키지 및 그 제조방법
US9299539B2 (en) 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
WO2011028597A1 (en) 2009-08-26 2011-03-10 Veeco Instruments, Inc. System for fabricating a pattern on magnetic recording media
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
CN102498550B (zh) 2009-09-02 2014-07-16 积水化学工业株式会社 用于蚀刻含硅膜的方法
US20120171852A1 (en) 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
WO2011052384A1 (en) 2009-10-30 2011-05-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
SG10201407094SA (en) 2009-11-04 2014-12-30 Applied Materials Inc Plasma ion implantation process for patterned disc media applications
US8716780B2 (en) 2009-11-06 2014-05-06 Rambus Inc. Three-dimensional memory array stacking structure
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
TW201133482A (en) 2009-11-30 2011-10-01 Applied Materials Inc Chamber for processing hard disk drive substrates
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
WO2011070945A1 (ja) 2009-12-11 2011-06-16 株式会社アルバック 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
WO2011080876A1 (ja) 2009-12-28 2011-07-07 パナソニック株式会社 プラズマドーピング装置
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
EP2536772B1 (en) 2010-02-15 2016-02-10 Daikin Industries, Ltd. Water and oil resistant agent for paper and paper treatment process
JP5476152B2 (ja) 2010-02-16 2014-04-23 積水化学工業株式会社 窒化シリコンのエッチング方法及び装置
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101214758B1 (ko) 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
CN102762767B (zh) 2010-03-12 2015-11-25 应用材料公司 具有多重注射道的原子层沉积腔室
WO2011115926A1 (en) 2010-03-16 2011-09-22 Sandisk 3D, Llc Bottom electrodes for use with metal oxide resistivity switching layers
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8562742B2 (en) 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
JP5567392B2 (ja) 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
JP2011258768A (ja) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
JP5463224B2 (ja) 2010-07-09 2014-04-09 日本発條株式会社 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート
KR101202352B1 (ko) 2010-07-19 2012-11-16 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조 방법
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8222125B2 (en) 2010-08-12 2012-07-17 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
JP2012057251A (ja) 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
TW201213594A (en) 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US20120017989A1 (en) 2010-08-24 2012-01-26 Pai-Chun Chang Metal and metal oxide surface texturing
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US20120088356A1 (en) 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8993434B2 (en) 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR101209003B1 (ko) 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9443749B2 (en) 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120216955A1 (en) 2011-02-25 2012-08-30 Toshiba Materials Co., Ltd. Plasma processing apparatus
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
TW201246362A (en) 2011-03-01 2012-11-16 Univ King Abdullah Sci & Tech Silicon germanium mask for deep silicon etching
EP2681088B1 (en) 2011-03-02 2016-11-23 Game Changers, Llc Air cushion transport
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
CN103430285B (zh) * 2011-03-22 2016-06-01 应用材料公司 用于化学气相沉积腔室的衬里组件
US8828245B2 (en) 2011-03-22 2014-09-09 Industrial Technology Research Institute Fabricating method of flexible circuit board
WO2012128348A1 (ja) 2011-03-23 2012-09-27 住友大阪セメント株式会社 静電チャック装置
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US9196463B2 (en) 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US20120258607A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8815720B2 (en) 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
JP2014518944A (ja) 2011-05-13 2014-08-07 グリーンセンター カナダ 11族モノ金属前駆化合物およびその金属堆積における使用
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8663389B2 (en) 2011-05-21 2014-03-04 Andrew Peter Clarke Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor
JP5563522B2 (ja) 2011-05-23 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9589772B2 (en) 2011-06-09 2017-03-07 Korea Basic Science Institute Plasma generation source including belt-type magnet and thin film deposition system using this
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
CN102867748B (zh) 2011-07-06 2015-09-23 中国科学院微电子研究所 一种晶体管及其制作方法和包括该晶体管的半导体芯片
JP5902896B2 (ja) 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8921177B2 (en) 2011-07-22 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit device
JP2013033965A (ja) 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
WO2013033315A2 (en) 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20150270135A1 (en) 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US9494875B2 (en) 2011-10-06 2016-11-15 Asml Netherlands B.V. Chuck, a chuck control system, a lithography apparatus and a method of using a chuck
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US20130149866A1 (en) 2011-12-12 2013-06-13 Texas Instruments Incorporated Baffle plate for semiconductor processing apparatus
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
JP6010406B2 (ja) 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP5836144B2 (ja) 2012-01-31 2015-12-24 東京エレクトロン株式会社 マイクロ波放射機構および表面波プラズマ処理装置
WO2013118260A1 (ja) 2012-02-08 2013-08-15 岩谷産業株式会社 三フッ化塩素使用装置での三フッ化塩素供給路の内面処理方法
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US8747610B2 (en) 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
KR20170109690A (ko) 2012-04-26 2017-09-29 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
JP6005579B2 (ja) 2012-04-27 2016-10-12 日本碍子株式会社 半導体製造装置用部材
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN103377979B (zh) 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
KR101917815B1 (ko) 2012-05-31 2018-11-13 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101989514B1 (ko) 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US20140027060A1 (en) * 2012-07-27 2014-01-30 Applied Matericals, Inc Gas distribution apparatus for substrate processing systems
US20150170811A1 (en) 2012-08-01 2015-06-18 Tdk Corporation Ferrite magnetic material, ferrite sintered magnet, and motor
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US20140051253A1 (en) 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
WO2014035933A1 (en) 2012-08-28 2014-03-06 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
JP2014078678A (ja) 2012-09-18 2014-05-01 Toyoda Gosei Co Ltd 半導体発光装置の製造方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
KR102137617B1 (ko) 2012-10-19 2020-07-24 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6173684B2 (ja) 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
JP5962773B2 (ja) 2012-12-28 2016-08-03 ニュー パワー プラズマ カンパニー リミテッド プラズマ反応器及びこれを用いたプラズマ点火方法
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
JP2014157944A (ja) 2013-02-15 2014-08-28 Toshiba Corp ガス供給部材及びプラズマ処理装置
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
KR102021988B1 (ko) 2013-03-12 2019-09-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
TWI591211B (zh) 2013-03-13 2017-07-11 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140272184A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US20140288528A1 (en) 2013-03-15 2014-09-25 Dr. Py Institute, Llc Single-use needle assembly and method
US9224583B2 (en) 2013-03-15 2015-12-29 Lam Research Corporation System and method for heating plasma exposed surfaces
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
JP5386046B1 (ja) 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
TWI600786B (zh) 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6002087B2 (ja) 2013-05-29 2016-10-05 東京エレクトロン株式会社 グラフェンの生成方法
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102038647B1 (ko) 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9070635B2 (en) 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US20150050812A1 (en) 2013-08-13 2015-02-19 Globalfoundries Inc. Wafer-less auto clean of processing chamber
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2016529736A (ja) 2013-08-27 2016-09-23 東京エレクトロン株式会社 ハードマスクを横方向にトリミングする方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
CN110066984B (zh) 2013-09-27 2021-06-08 应用材料公司 实现无缝钴间隙填充的方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
SG11201600440VA (en) 2013-11-06 2016-02-26 Mattson Tech Inc Novel mask removal process strategy for vertical nand device
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
FR3013503B1 (fr) 2013-11-20 2015-12-18 Commissariat Energie Atomique Procede de gravure selective d’un masque dispose sur un substrat silicie
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
KR102237700B1 (ko) 2013-11-27 2021-04-08 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015082083A1 (en) 2013-12-04 2015-06-11 Nec Europe Ltd. Method and system for generating a virtual device resource accessible by an application
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
KR102102787B1 (ko) 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN105765704B (zh) 2013-12-27 2019-02-19 英特尔公司 用于选择性蚀刻氧化物和氮化物材料的技术及使用该技术形成的产品
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
KR102128465B1 (ko) 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
US9945033B2 (en) 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9299577B2 (en) 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
JP6312451B2 (ja) 2014-01-29 2018-04-18 東京エレクトロン株式会社 給電部カバー構造及び半導体製造装置
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9209031B2 (en) 2014-03-07 2015-12-08 Sandisk Technologies Inc. Metal replacement process for low resistance source contacts in 3D NAND
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9177853B1 (en) 2014-05-14 2015-11-03 Sandisk Technologies Inc. Barrier layer stack for bit line air gap formation
CN104392963B (zh) 2014-05-16 2017-07-11 中国科学院微电子研究所 三维半导体器件制造方法
US9520485B2 (en) 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102248205B1 (ko) 2014-06-25 2021-05-04 삼성전자주식회사 수직 채널 및 에어 갭을 갖는 반도체 소자
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US10487399B2 (en) 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US10196741B2 (en) 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
KR20160002543A (ko) 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
TWI654332B (zh) 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160043099A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Wordline 3d flash memory air gap
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6346849B2 (ja) * 2014-08-20 2018-06-20 東京エレクトロン株式会社 ガス供給系、プラズマ処理装置、及びプラズマ処理装置の運用方法
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
JP5764246B1 (ja) 2014-09-24 2015-08-19 株式会社日立国際電気 基板処理装置、ガス導入シャフト及びガス供給プレート
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US20160099173A1 (en) 2014-10-03 2016-04-07 Applied Materials, Inc. Methods for etching a barrier layer for an interconnection structure for semiconductor applications
US10407771B2 (en) 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
CN107148661B (zh) 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US9202708B1 (en) 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10781518B2 (en) 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10134750B2 (en) 2014-12-30 2018-11-20 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing the same
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
JP2016134530A (ja) 2015-01-20 2016-07-25 株式会社東芝 加工制御装置、加工制御プログラムおよび加工制御方法
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
WO2016135849A1 (ja) 2015-02-24 2016-09-01 株式会社 東芝 半導体記憶装置及びその製造方法
WO2016138218A1 (en) 2015-02-25 2016-09-01 Applied Materials, Inc. Methods and apparatus for using alkyl amines for the selective removal of metal nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US10153133B2 (en) 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
JP2016184610A (ja) 2015-03-25 2016-10-20 株式会社東芝 上部電極、エッジリングおよびプラズマ処理装置
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US20160293388A1 (en) 2015-04-03 2016-10-06 Tokyo Electron Limited Pneumatic counterbalance for electrode gap control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
KR102452593B1 (ko) 2015-04-15 2022-10-11 삼성전자주식회사 반도체 장치의 제조 방법
US9576815B2 (en) 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10049862B2 (en) 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
JP6184441B2 (ja) 2015-06-01 2017-08-23 キヤノンアネルバ株式会社 イオンビームエッチング装置、およびイオンビーム発生装置
JP6295439B2 (ja) 2015-06-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
WO2016194211A1 (ja) 2015-06-04 2016-12-08 株式会社 東芝 半導体記憶装置及びその製造方法
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US11473826B2 (en) 2015-07-27 2022-10-18 Mitegen, Llc Cryogenic cooling apparatus, methods, and applications
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10147736B2 (en) 2015-09-03 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
CN108140550B (zh) 2015-10-08 2022-10-14 应用材料公司 具有减少的背侧等离子体点火的喷淋头
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170133202A1 (en) 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
JP2017098478A (ja) 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
US9583399B1 (en) 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10043636B2 (en) 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
WO2017106089A1 (en) 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
KR20180097763A (ko) 2016-01-20 2018-08-31 어플라이드 머티어리얼스, 인코포레이티드 측방향 하드마스크 리세스 감소를 위한 하이브리드 탄소 하드마스크
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
TWI677593B (zh) 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
KR102649369B1 (ko) 2016-04-11 2024-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102158668B1 (ko) 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
KR101773448B1 (ko) 2016-04-29 2017-09-01 세메스 주식회사 안테나 및 그를 이용하는 기판 처리 장치
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10622189B2 (en) 2016-05-11 2020-04-14 Lam Research Corporation Adjustable side gas plenum for edge rate control in a downstream reactor
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10829855B2 (en) 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
GB201609119D0 (en) 2016-05-24 2016-07-06 Spts Technologies Ltd A method of cleaning a plasma processing module
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9812462B1 (en) 2016-06-07 2017-11-07 Sandisk Technologies Llc Memory hole size variation in a 3D stacked memory
JP6792786B2 (ja) 2016-06-20 2020-12-02 東京エレクトロン株式会社 ガス混合装置および基板処理装置
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10522377B2 (en) 2016-07-01 2019-12-31 Lam Research Corporation System and method for substrate support feed-forward temperature control based on RF power
US20180025900A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Alkali metal and alkali earth metal reduction
KR102390323B1 (ko) 2016-08-26 2022-04-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
WO2018052477A2 (en) 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US11122663B2 (en) 2016-10-28 2021-09-14 Signify Holding B.V. Monitoring lighting
US10418246B2 (en) * 2016-11-03 2019-09-17 Applied Materials, Inc. Remote hydrogen plasma titanium deposition to enhance selectivity and film uniformity
KR102633031B1 (ko) 2016-11-04 2024-02-05 에스케이하이닉스 주식회사 반도체 메모리 소자
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10141328B2 (en) 2016-12-15 2018-11-27 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
US10692880B2 (en) 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018126279A1 (en) 2016-12-30 2018-07-05 Lyons Jessica Barbara Digital video file generation
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US9779956B1 (en) 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP7176860B6 (ja) 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11447868B2 (en) 2017-05-26 2022-09-20 Applied Materials, Inc. Method for controlling a plasma process
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US20190032211A1 (en) 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
TWI815813B (zh) 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11049719B2 (en) 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
JP6883495B2 (ja) 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR20200123481A (ko) 2018-03-16 2020-10-29 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
CN101378003A (zh) * 2007-08-29 2009-03-04 朗姆研究公司 用于等离子处理装置的交替气体输送和排空系统
CN102051600A (zh) * 2009-10-15 2011-05-11 奥博泰克Lt太阳能公司 用于等离子处理腔的喷头组件

Also Published As

Publication number Publication date
KR102151202B1 (ko) 2020-09-02
TW201732926A (zh) 2017-09-16
KR20200103896A (ko) 2020-09-02
CN104641457A (zh) 2015-05-20
KR102304903B1 (ko) 2021-09-23
US9978564B2 (en) 2018-05-22
JP2018117136A (ja) 2018-07-26
JP6298060B2 (ja) 2018-03-20
US20140097270A1 (en) 2014-04-10
US20170236691A1 (en) 2017-08-17
WO2014046896A1 (en) 2014-03-27
TW201419403A (zh) 2014-05-16
US10354843B2 (en) 2019-07-16
KR20150056631A (ko) 2015-05-26
JP6580729B2 (ja) 2019-09-25
JP2015529984A (ja) 2015-10-08
CN104641457B (zh) 2018-02-02
US20160005572A1 (en) 2016-01-07
JP2020014004A (ja) 2020-01-23
US20190385823A1 (en) 2019-12-19
JP6908660B2 (ja) 2021-07-28
TWI618141B (zh) 2018-03-11
CN107799379A (zh) 2018-03-13
TWI595554B (zh) 2017-08-11
US9132436B2 (en) 2015-09-15
US11264213B2 (en) 2022-03-01

Similar Documents

Publication Publication Date Title
CN107799379B (zh) 基板处理腔室和半导体处理系统
TWI795404B (zh) 用於多前驅物流的半導體處理腔室與系統
JP7176860B6 (ja) 前駆体の流れを改善する半導体処理チャンバ
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
US11915950B2 (en) Multi-zone semiconductor substrate supports
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
US11222771B2 (en) Chemical control features in wafer process equipment
US20210032753A1 (en) Methods and apparatus for dual channel showerheads

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant