KR937000918A - 고성능 버스 인터페이스를 사용하는 집적회로 입출력 - Google Patents
고성능 버스 인터페이스를 사용하는 집적회로 입출력Info
- Publication number
- KR937000918A KR937000918A KR1019920702584A KR920702584A KR937000918A KR 937000918 A KR937000918 A KR 937000918A KR 1019920702584 A KR1019920702584 A KR 1019920702584A KR 920702584 A KR920702584 A KR 920702584A KR 937000918 A KR937000918 A KR 937000918A
- Authority
- KR
- South Korea
- Prior art keywords
- bus
- semiconductor device
- semiconductor
- master
- address
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 claims description 278
- 230000015654 memory Effects 0.000 claims 73
- 230000000875 corresponding Effects 0.000 claims 26
- 230000000977 initiatory Effects 0.000 claims 11
- 230000004044 response Effects 0.000 claims 10
- 238000002679 ablation Methods 0.000 claims 5
- 230000005540 biological transmission Effects 0.000 claims 5
- 230000001360 synchronised Effects 0.000 claims 5
- 238000001514 detection method Methods 0.000 claims 4
- 210000000078 Claw Anatomy 0.000 claims 3
- ICFJFFQQTFMIBG-UHFFFAOYSA-N Phenformin Chemical compound NC(=N)NC(=N)NCCC1=CC=CC=C1 ICFJFFQQTFMIBG-UHFFFAOYSA-N 0.000 claims 2
- 230000003213 activating Effects 0.000 claims 2
- RWSOTUBLDIXVET-UHFFFAOYSA-N dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 claims 2
- 230000002093 peripheral Effects 0.000 claims 2
- 238000011084 recovery Methods 0.000 claims 2
- 206010000210 Abortion Diseases 0.000 claims 1
- PGOOBECODWQEAB-UHFFFAOYSA-N Clothianidin Chemical compound [O-][N+](=O)\N=C(/NC)NCC1=CN=C(Cl)S1 PGOOBECODWQEAB-UHFFFAOYSA-N 0.000 claims 1
- 235000010724 Wisteria floribunda Nutrition 0.000 claims 1
- 231100000176 abortion Toxicity 0.000 claims 1
- 239000011324 bead Substances 0.000 claims 1
- 238000010009 beating Methods 0.000 claims 1
- 238000006243 chemical reaction Methods 0.000 claims 1
- 230000001276 controlling effect Effects 0.000 claims 1
- 238000001816 cooling Methods 0.000 claims 1
- 239000007799 cork Substances 0.000 claims 1
- 230000005284 excitation Effects 0.000 claims 1
- 230000002401 inhibitory effect Effects 0.000 claims 1
- 230000003993 interaction Effects 0.000 claims 1
- 230000005012 migration Effects 0.000 claims 1
- 230000004048 modification Effects 0.000 claims 1
- 238000006011 modification reaction Methods 0.000 claims 1
- 238000001208 nuclear magnetic resonance pulse sequence Methods 0.000 claims 1
- 238000004088 simulation Methods 0.000 claims 1
- 238000010586 diagram Methods 0.000 description 2
- 238000004806 packaging method and process Methods 0.000 description 1
Abstract
내용 없음.
Description
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 메모리 디바이스의 기본적인 2차원 구성을 도시하는 다이어그램,
제2도는 시스템에서 모든 버스라인의 병렬접속 및 각 디바이스에 대한 직력 리세트(Reset)라인을 도시하는 개략 블록도,
제3도는 주버스상의 반도체 디바이스의 3차원 패키칭을 도시하는 발명의 시스템의 투시도.
Claims (149)
- 버스에 병렬로 접속된 두 개의 메모리 디바이스를 포함하고; 상기 버스는 상기 메모리 디바이스에 의해 필요한 실체로 모든 어드레스 데이터, 및 제어정보를 전달하기 위한 다수의 버스를 포함하고; 상기 제어정보는 디바이스-선택 정보를 하고; 상기 버스는 단일 어드레스내 비트수보다 실체 더 적은 버스라인을 포함하고; 상기 버스는 개별 메모리 디바이스에 직접 접속된 분리된 디바이스-선택라인의 필요없이 디바이스-선택정보를 전달하는 것을 특징으로 하는 메모리 서브시스템.
- 제1항에 있어서, 상기 버스는 적어도 16어드레스 비트와 적어도 8데이터 비트를 운반하기 위해 채택된 적어도 8버스라인을 포함하는 것을 특징으로 하는 메모리 서브시스템.
- 제1항에 있어서, 상기 버스는 클록과 전원에 대한 병렬라인을 포함하는 것을 특징으로 하는 메모리 서브 시스템.
- 메모리 서브시스템의 각 버스가 그들 자신의 트랜시버 디바이스에 접속된 제1항의 메모리 서브시스템; 상기 트랜시버 디바이스를 접속하는 트랜시버 버스; 및 상기 메모리 서브시스템의 상기 버스의 각각와 상기 트랜시버 버스 사이에 정보를 전달함으로써 메모리 서브시스템이 개별 서브시스템 보다 더 많은 메모리를 갖는 더 큰 시스템으로 집적되도록 하는 수단을 포함하는 것을 특징으로 하는 시스템.
- 제4항에 있어서, 다수의 메모리 서브시스템을 갖는 것을 특징으로 하는 시스템.
- 제4항에 있어서, 상기 트랜시버 버스에 접속된 마스터 디바이스를 추가로 포함 하는 것을 특징으로 하는 시스템.
- 제6항에 있어서, 상기 마스터 디바이스는 중앙처리장치, 부동 소숫점 장치, 및 직접 메모리 억세스 장치로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
- 제4항에 있어서, 트랜서버 버스에 접속되고 버스상이 아니고 디바이스에 접속하기 위해 채택된 주변 장치를 추가로 포함하는 것을 특징으로 하는 시스템.
- 제8항에 있어서, 상기 주변장치는 I/O 인터페이스 포트, 비데오 제어기 및 디스크 제어기로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
- 제5항에 있어서, 상기 트랜시버 버스는 상기 메모리 서브시스템의 버스 면이 아닌 다른 면에 위치한 것을 특징으로 하는 시스템.
- 제5항에 있어서, 각 메모리 서브시스템의 버스는 실제 서브시스템 버스 면내에 위치하여 상기 트랜시버 버스는 상기 서브시스템 버스면에 수직인 면에 위치하는 것을 특징으로 하는 시스템.
- 제4항에 있어서, 각각이 제1트랜시버를 통해 트랜시버 버스에 접속된 다수의 메모리 서브시스템 버스를 갖는 적어도 두 개의 트랜시버 버스를 갖고; 상기 각 트랜시버 버스는 2차 트랜시버 버스로 인터페이스하도록 채택된 제2트랜시버에 추가로 접속됨으로써 각 트랜시버 버스는 상기 제2트랜시버를 통해 접속되어 2차 트랜시버 버스 유니트를 형성하는 것을 특징으로 하는 시스템.
- 적어도 하나가 메모리 서브시스템에 차례로 접속된 트랜시버 디바이스 혹은 메모리 디바이스이고 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하고; 상기 버스는 상기 반도체 디바이스에 의해 필요한 실체 모든 어드레스, 데이터, 및 제어정보를 전달하기 위해 다수의 버스라인을 포함하고; 상기 제어정보는 반도체 디바이스-선택정보를 포함하고; 상기 버스는 단일 어드레스에 비트수 보다 실체 더 적은 버스라인을 포함하고; 상기 버스는 개별 반도체 디바이스에 적접 분리된 디바이스-선택라인의 필요없이 디바이스-선택정보를 전달하고; 상기 버스상에서 각 반도체 디바이스내 적어도 하나의 수정가능한 레지스터가 상기 버스로부터 억세스 가능함으로서의 서브시스템이 상기 버스상에 전송된 신호를 이용해서 구성되는 것을 특징으로 하는 반도체.
- 제13항에 있어서, 수정가능한 레지스터의 한 형태가 시간지연을 기억하도록 설계된 억세스-시간 레지스터이며, 그 지연시간후 디바이스가 상기 버스상에서 몇몇 특정된 동작을 취하 수 있는 것을 특징으로 하는 반도체 서브시스템.
- 제13항에 있어서, 적어도 두 개의 억세스-시간 레지스터를 갖는 반도체 디바아스를 추가로 포함하고; 상기 억세스-시간 레지스터의 하나는 고정된 값을 포함하도록 영구적으로 프로그램되며 적어도 하나의 상기 억세스-시간 레지스터는 상기 버스상에서 전달된 정보에 의해 소정될 수 있는 것을 특징으로 하는 반도체 서브 시스뎀.
- 제13항에 있어서, 추가로 적어도 하나의 이산 메모리부와 또한 상기 각 이산 메모리부에 해당하는 메모리 어드레스 정보를 기억하도록 채택된 수정가능한 어드레스 레지스터를 갖는 것을 특징으로 하는 반도체 서브 시스템.
- 제16항에 있어서, 상기 메모리 어드레스 정보는 상기 이산 메모리부에 대한 포이터를 포함하는 것을 특징으로 하는 반도체 서브시스템
- 제16항에 있어서, 상기 이산 메모리부는 톱과 보텀을 가지며 상기 어드레스 정보는 상기 톱과 보텀에 대해 포인터를 포함하는 것을 특징으로 하는 반도체 서브시스템.
- 제16항에 있어서, 상기 메모리 어드레스 정보가 상기 이산 메모리부에 대한 포인터와 상기 메모리부의 크기를 표시하는 범위값을 포함하는 것을 특징으로 하는 반도체 서브시스템.
- 제16항에 있어서, 상기 메모리 디바이스 각각의 상기 이산 메모리부 각각의 상기 어드레스 레지스터가 각 이산 메모리부에 대해 상이한 메모리 어드레스 정보를 포함하도록 설정되어 각 이산 메모리부내 최고 메모리 어드레스가 또다른 이산 메모리부내 최저 메모리 어드레스보다 1이 작으므로서 메모리는 1또는 소수의 연속 메모리 블록으로 구성된 것을 특징으로 하는 반도체 서시스템.
- 제16항에 있어서, 적절히 작동시키시 위해 상기 메모리 디바이스의 각각의 상기 이산 메모리부의 각각을 테스트하기 위한 수단; 비-기능적 이산 메모리부의 각각에 대한 상기 이산 메모리부에 해당하는 적어도 하나의 어드레스 레지스터를 설정해서 상기 이산 메모리부가 비-기능적이란 것을 표시하기 위한 수단; 가능적 이산 메모리부의 각각에 대해 상기 이산 메모리부에 해당하는 적어도 하나의 어드레스 레지스터를 설정해서 그러한 해당 어드레스 정보를 포함하기 위한 수단을 추가로 포함하는 것을 특징으로 하는 반도체 서브시스템.
- 제2항에 있어서, 상기 이산 메모리에 해당하는 상기 어드레스 레지스터가 서브 시스템내 하나의 연속 메모리 블록을 제공하도록 설정되는 것을 특징으로 하는 반도체 서브시스템.
- 제13항에 있어서, 상기 수정가능한 레지스터중 하나가 반도체 디바이스에 대해 유일한 값을 포함하도록 수정될 수 있는 디바이스 판별 레지스터인 것을 특징으로 하는 반도체 서브시스템.
- 상기 디바이스 판별 레지스터가 다른 반도체 디바이스 혹은 상기 버스 관계에 따라 혹은 상기 버스를 따라 반도체 디바이스의 물리적 위치의 함수인 유일 값을 포함하도록 설정되는 것을 특징으로 하는 반도체 서브 시스템.
- 버스에 병렬로 접속되며 그중 하나가 마스터 디바이스신 두 개의 반도체 디바이스로 구성되며; 상기 마스터 디바이스는 버스 트랜스액션을 개시하기 위한 수단을 포함하며; 상기 버스는 상기 디바이세 의해 필요한 실체 모든 어드레스, 데이터 및 제어 정보를 전달하기 위한 다수의 버스라인을 포함하며; 상기 제어정보는 디바이스-선택정보를 포함하며; 상기 버스는 단일 어드레스내 비트수보다 실체 더 적은 라인을 포함하며; 상기 버스는 상기 버스상에서 개별 디바이스에 직접 접속된 분리된 디바이스-선택라인의 필요없이 디바이스-선택정보를 전달함으로써 상기 마스터 디바이스는 상기 버스상에서 상기 반도체 디바이스 사이에서 정보를 달하는 버스 트랜스액션을 개시시키는 것을 특징으로 하는 버스 서브시스템.
- 제25항에 있어서, 상기 반도체 디바이스의 하나는 상기 버스가 아닌 버스 산에서 메모리 디바이스에 병렬로 접속되고 상기 버스에 병렬로 접속된 트랜시버 디바이스를 포함하는 것을 특징으로 하는 버스 서버시스템.
- 제25항에 있어서, 상기 마스터 디바이스에 대해 상기 메모리 디바이스로 하여금 상기 버스를 따라 요구 패키트를 송신함으로써 버스 트랜스액션을 준비하도록 요구하는 수단을 포함하고; 상기 메모리 디바이스와 상기 디바이스 각각은 디바이스-내부 페이즈중에 상기 버스 트랜스액션을 시작하도록 준비하는 디바이스 내부 수단을 가지며, 버스 억세스 페이즈중에 사이 버스 트랜스액션을 발생하는 버스 억세스 수단을 추가로 가지며; 상기 요구 패키트는; 어드레스와 제어정보를 포함하는 바이트의 시퀀스; 몇몇 버스 사이클에 해당하고, 상기 버스-억세스 페이즈를 시작하기전에 방해할 필요가 있는 억세스 시간과 요구된 버스 트랜스액션에 관한 정보를 포함하는 상기 제어정보; 및 사이 메모리 디바이스의 상기 이산 메모리부의 하나내에 적어도 하나의 메모리 위치를 가르키는 상기 어드레스 정보를 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제27항에 있어서, 상기 메모리 디바이스는 상기 제어정보를 판독해서 상기 억세스 시간내 상기 디바이스-내부 페이즈를 끝내기 위해 한번에 상기 비다이스-내부 수단을 개시해서 상기 버스 사이클의 수를 뒤따라 상기 버스 억세스 페이즈를 시작하는 수단을 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제27항에 있어서, 상기 제어정보가 명령코드를 포하하는 것을 특징으로 하는 버스 서브시스템.
- 제29항에 있어서, 상기 메모리 디바이스는 약간의 정보를 홀드하거나 선택된 시간후에 프리차지하도록 채택된 감지 증폭기와 상기 메모리 디바이스로부터 데이터를 판독하거나 상기 메모리 디바이스내로 기록하는 데이터 블록전달주에 데이터 블록을 전달하는 수단을 포함하며; 상기 명령코드는 상기 메모리 디바이스가 응답 수단을 활성화시키도록 명령하여, 상기 응답수단은; 데이터 블록 전달을 개시하는 수단; 상기 데이터 블록의 크기를 선택하는 수단; 상기 데이터 블록 전달을 개시아흔 선택하는 수단; 상기 제어레지스터로의 기록 또는 부터의 판독을 포함해서 제어 레지스터를 억세스하는 수단; 각각의상기 데이터 블록 전달이 끝난후 상기 감지 증폭기를 프리차지하는 수단; 각각의 상기 데이터 블록 전달이 끝난후 각각의 상기 감지 증폭기내 약간의 정보를 홀드하는 단계; 또는 노말 혹은 페이지-모드 억세스를 선택하는 단계를 포함하는 것을 특징으로 하는 버스 서브시스템
- 제30항에 있어서, 상기 데이터 블록 전달은 단일 메모리 디바이스내 메모리로의 기록 또는 부터의 판독을 포함하는 것을 특징으로 하는 버스 서브시스템
- 제27항에 있어서, 상기 마스터 디바이스에 대해서 상기 요구 패키드내에서 반도체 디바이스에 대해 유일한 디바이스 판별수를 포함함으로써 제어정보를 상기 반도체 디바이스중 상기 특정하나에 송신하는 수단을 추가로 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제27항에 있어서, 상기 마스터 디바이스에 대해서 상기 요구 패키트에서 특정 메모리 어드레스를 포함함으로써 제어정보를 상기 이산 메모리부의 선택된 곳으로 송신하는 수단을 추가로 포함하는 것을 특징으로 하는 서브시스템.
- 제27항에 있어서, 상기 마스터 디바이스에 대해서 상기 요구 패키트에서 상기 반도체 디바이스에 의해 인식되는 고유한 디바이스 판별수를 포함함으로써 제어 정보를 상기 버스상에서 실체 모든 반도체 디바이스로 전달하는 수단을 추가로 포함하는 것을 특징으로 하는 서브시스템.
- 제27항에 있어서, 상기 제어정보가 상기 버스 억세스 페이즈를 개시하기전에 대기하는 상기 메모리 디바이스와 상기 마스터 디바이스에 대해 버스 사이클의 수를 직접 혹은 간접으로 특정하는 것을 특징으로 하는 버스 서브시스템.
- 제35항에 있어서, 데이 블록전달에 대해서 상기 마스터 비다이스와 상기 메모리 디바이스는 상기 데이터 블록전달이 판독 혹은 기록동작인가에 관계없이 동일한 억세스 시간과 동일한 데이터 블록 크기를 이용하는 것을 특징으로 하는 버스 서브시스템.
- 제27항에 있어서, 상기 제어정보는 전송된 데이터블록의 크기를 코드화하고 특정화한 블록크기값을 추가로 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제37항에 있어서, 상기 블록크기값은 상대적으로 작은 블록크기에 대한 선형값으로 코드화되고, 상대적으로 더 큰 블록크기에 대한 로그값으로 코드화되는 것을 특징으로 하는 버스 서브시스템.
- 제37항에 있어서, 상기 블록크기값은 4개의 비트를 사용하는 코드화되고 코드값은코드값 블록크기(바이트)0 01 12 23 34 45 56 67 78 89 1610 3211 6412 12813 25614 51215 1024인 것을 특징으로 하는 버스 서브시스템.
- 제26항에 있어서, 상기 메모리 디바이스는 다수의 센스증폭기, 디바이스를 페이지 모드로 두고 판독 또는 기이박동후 비수정상태로 상기 센스증폭기를 유지하는 수단, 상기 센스증폭히를 예비충전하는 수단, 그리고 상기 센스증폭기의 예비충전 또는 비수정상태로의 상기 센스증폭기의 유지여무를 선택하는 수단을 포함한 DRAM 디바이인 것을 특징으로 하는 버스 서브시스템.
- 제27항에 있어서, 상기 요구패키트는 우수바이트로 구성되는 것을 특징으로 하는 버스 서브시스템.
- 제27항에 있어서, 상기 버스가 상기 어드레스, 데이터 및 제어정보를 전달하는 동안 다수의 버스사이클을 형성하고 제어하는 수단을 추가로 포함하며, 여기서 상기 버스사이클을 우수 및 기수사이클로 교대로 설계되고, 상기 요구패키트는 우수사이클에서만 시작하는 것을 특징으로 하는 버스 서부시스템.
- 제27항에 있어서, 데이터 일블록에 대응한 ECC 정보를 형성하는 수단 및 사이 데이터블록을 기억 또는 판독하는데 에러를 교정하기 위해 상기 ECC 정보를 사용하는 수단을 추가로 포함하고, 여기서 상기 ECC 정보는 상기 블록데이터로부터 분리되어 기억될 수 있는 것을 특징으로 하는 버스 서브시스템.
- 제43항에 있어서, 적어도 두 개의 상기 메모리 디바이스로 추가 구성되어 상기 ECC 정보와 상기 대응 데이터블록이 제1 및 제2 상기 메모리 디바이스에 각각 기억되며, 상기 마스터 디바이스는 상기 ECC 정보의 상기 대응 데이터블록에 대한 상기 요구패키트의 분리된 것들을 보내서 에러교정과 함께 상기 데이터블록을 기입 또는 판독하는 수단을 포함하는 것을 특징으로 하는 버스 서브시스템.
- 버스상에 병렬로 접속된 메모리 디바이스와 마스터 디바이스, 요구패키트를 보내고 버스트랜스액션을 개시하는 상기 마스터 디바이스용 수단, 그리고 현재 및 임박한 버스트랜스액션의 트랙을 유지하는 상기 마스터 디바이스용 수단으로 구성되며, 상기 버스는 상기 메모리 디바이스에서 요구되는 사실상 모드 어드레스, 데이터 및 제어정보를 전달하는 복수의 버스선을 포함하고, 상기 버스는 단일 어드레스에서의 비트수보다 사실상 더 적은 수의 선을 포함하고, 상기 버스는 개개의 디바시스에 직접 접속된 분리디바이스-선정선에 대한 요구 없이 상기 버스상에서 디바이스- 선정정보를 전달하고 이에 따라 상기 마스터 디바이스는 상기 버스상의 디바이스들 사이에 정보를 전송하는 버스트랜스액션을 개시하고 상기 마스터 디바이스가 현재 또는 임박한 버스트랜스액션과 충돌할 수 있는 버스트랜스액션의 개시를 피하기 때문에 상기 버스상의 충돌은 회피된 것을 특징으로 하는 버스 서브시스템.
- 제45항에 있어서, 적어도 두 개의 상기 마스터 디바이스를 갖고, 제1의 상기 요구패키트를 보내는 제1 상기 마스터 디바이스가 상기 제1요구패키느의 전달과 중첩하여 또는 초기전달과 동시에 전달될 수 있는 상기 충돌요구패키트중 하나를 전달하는 제2 상기 마스터 디바이스를 검출할 수 잇게 하는 충돌검출수단, 그리고 상기 제1 및 상기제2마스터 디바이스가 상기 버스에 순차로 억세스 허용될 각각의 상기 마스터 디바이스의 우선순서를 선택하게 하는 아비트레이션수단을 포함하는 것을 특징르로 하는 버스 서브시스템.
- 제46항에 있어서, 각각의 상기 마스터 디바이스는 마스터 ID 넘버를 가지며 각각의 상기 요구패키트는 상기 요구패키트에서의 소정의 위치의 소정 비트수인 마스트 ID 위치를 포함하며, 여기서 상기 충돌검출수단은 상기 요구패키트의 상기 마스터 ID 위치에서 상기 마스터 디바이스의 상기 마스터 ID 넘버를 포함하고 요구 패키트를 전달하는 각 마스터 디바이스에 포함된 수단, 그리고 충돌을 검출하고 어떤 마스터 디바이스가 상기 마스터 ID 위치에서의 다른 마스터 ID 넘버를 검출할 경우 상기 아비트레이션수단을 작동시키는 수단으로 구성되는 것을 특징으로 하는 버스 서브시스템.
- 제46항에 있어서, 각각의 상기 마스터 디바이스는 요구패키트를 전달하는 수단, 상기 요구패키트를 전달하면서 적어도 하나의 선택된 버스사이클동안 선택된 버스선 또는 선들을 구동하는 수단, 상기 마스터 디바이스가 충돌요구패키트를 보내는지 여부를 알기 위해 상기 선택된 버스선 또는 선들을 감시하는 수단, 그리고 충돌이 일어나는 모든 다른 마스터 디바이스에 대한 정보제공하고 상기 아비트레이션수단을 작동하는 수단을 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제46항에 있어서, 각각의 상기 마스터 다비이스는 요구캐키트가 보내질 때 적어도 하나의 선택된 버스사이클동안 소정전류로 선택된 버스선 또는 버스선들을 구동하는 수단, 다른 마스터 디바이스가 그 선 및 선들을 구동하는지 여부를 알기 위해 통상 전류보다 더 큰 상기 선택된 버스선 또는 선들을 감시하는 수단, 통상 전류보다 더 큰 것을 검출하는 수단, 그리고 충돌이 일어난 모든 상기 마스터 디바이스에 대한 정보제공하고 상기 아비트레이션수단을 작동하는 수단을 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제46항에 있어서, 상기 아비트레이션수단은 아비트레이션 사이클을 개시하는 수단, 상기 아비트레이션 사이클의 시작과 관계된 적어도 하나의 선택된 버스사이클동안 각 마스터 디바이스에 단일버스선을 할당하는 수단, 이용가능한 버스선보다 더 많은 마스터 디바이스가 존재하는 경우 상기 선택된 버스사이클중 하나동안 단일 버스선에 각 마스터 디바이스를 할당하는 수단, 상기 선택된 버스사이클 동안 상기 마스터 디바이스에 할당된 상기 버스선을 구동하기 위해 충돌요구패키트를 보내는 상기 각각의 마스터 디바이스의 수단, 그리고 적어도 하나의 상기 마스터 디바이스에 마스터 디바이스가 보낸 충돌요구패키트 정보를 기억하는 수단으로 구성되며, 이에 따라 상기 마스터 디바이스는 상기 아비트레이션 사이클동안 선택된 버스선들을 감시하고 충돌요구패키트를 보낸 각각의 상기 마스터 디바이스를 식별할 수 있는 것을 특징으로 하는 버스 서브시스템.
- 제46항에 있어서, 상기 아비트레이션수단은 충돌요구패키트를 보낸 각각의 상기 마스터 디바이스를 식별하기 위해 충돌요구패키트를 보낸 상기 마스터 디바이스중 첫째것에 포함된 수단, 충돌요구패키트를 보낸 각각의 상기 마스터 디바이스에 우선권을 지정하는 수단, 그리고 충돌구패키트를 보낸 각각의 상기 마스터수단이 그 우선권에 따라 사실상 버스를 억세스하도록 하는 수단으로 구성되는 것을 특징으로 하는 버스 서브시스템.
- 제51항에 있어서, 상기 우선권은 각각의 상기 마스터 디바이스의 실제 위치에 의거되는 것을 특징으로 하는 버스 서브시스템.
- 제51항에 있어서, 상기 우선권은 상기 마스터 디바이스의 상기 마스터 ID 넘버에 의거되는 것을 특징으로 하는 버스 서브시스템.
- 제51항에 있어서, 충돌요구패키트를 보낼 때, 마스터 디바이스가 어느시간에 어느 순서에 다음 요구패키트를 보낼 수 있는가를 결정하는 수단을 포함하며, 이에 따라 각 임박한 요구패키트에 대한 응답이 완료되었거나 또는 스케줄링 되었을때까지 어떤 마스터 디바이스도 요구 패키트를 보낼 수 없는 것을 특징으로 하는 버스 서브시스템.
- 버스에 병렬로 접속된 다수의 반도체 디바이스로 구성되며, 상기 버스는 상기 반도체 디바이스에 의해 요구된 사실상 모든 어드레스, 데이터 및 제어정보를 전달하기 위해 다수의 버스선을 포함하고, 상기 제어정보는 디바이스-선정정보를 포함하고, 상기 버스는 단일 어드레스의 비트수보다 사실상 더 적은 선을 포함하고, 상기 버스는 개개의 반도체 디바이스에 직접 접속된 분리된 디바이스-선정선에 대한 요구없이 상기 디바이스-선정정보를 전달하고, 상기 반도체 디바이스는 하나의 입력부와 하나의 출력부를 갖춘 리세트수단을 포함하며 하나의 반도체 디바이스의 라세트 수단 출력부는 직렬로 다음 반도체 비다이스의 리세트수단 입력부에 접속되는 것을 특징으로 하는 버스 서브시스템.
- 제55항에 있어서, 제1 및 제2리세트신호를 형성하는 수단, 상기 제1리세트신호를 상기 반도체 디바이스의 첫째것에 그후 직렬로 접속된 다음것에 통과시키는 수단, 그리고 제2리세트신호를 상기 첫째 반도체 디바이스에 그후 직렬로 접속된 다음것에 통과시키는 수단으로 구성된 시스템 리세트수단을 추가로 포함하고, 상기 버스 서브시스템은 상기 버스 서브시스템냉 상기 반도체 디바이스에 유일한 숫자를 포함하도록 적용된 디바이스식별 레지스터, 디바이스식별 레지스터 설정수단, 그리고 상기 제1리세트신호에 반응하여 소망된 기지의 리세트상태로 상기 반도체 디바이스를 리세팅하고 상기 제2리세트신호에 반응하여 상기 디바이스식별 레지스터를 설정하는 디바이스 리세트수단을 포함한 하나의 상기 반체 디바이스를 포함하고, 이에따라 상기 버스시스템은 상기 반도체 디바이스 각각의 상기 디바이스식별 레지스터내에 유일한 디바이스식별갓을 가진 기지의 리세트상태로 리세트될 수 있는 것을 특징으로 하는 버스 서브시스템.
- 제56항에 있어서, 상기 소망된 기지의 리세트상태는 반도체 디바이스내의 모든 레지스터가 클리어되고 상태기기가 리세트되는 것인 것을 특징으로 하는 버스서브시스템.
- 세56항에 있어서, 상기 디바이스식별 레지스터 설정수단은 사이 제2리세트신호를 검출하는 수단, 상기 제2리세트신호에 관련된 특정시간에 상기 버스선으로부터 디바이스식별숫자를 판독하는 수단, 그리고 상기 반도체 디바이스의 상기 디바이스 식별 레지스터에 상기 디바이스식별숫자를 기억하는 수단으로 구성되는 것을 특징으로 하는 버스 서브시스템.
- 제56항에 있어서, 상기 제2리세트신호는 다중펄스퀀스로 구성되고, 상기 디바이스 식별 설정수단은 디바이스 식별숫자로서 상기 펄스퀀스를 해석하는 수단, 그리고 상기 반도체 디바이스의 상기 디바이스 식별레지스터내의 상기 디바이스 식별숫자를 기억하는 수단을 포함하는 것을 특징으로 하는 버스서브시스템.
- 제56항에 있어서, 상기 디바이스 리세트수단은 n-비트값을 기억사능한 n-스테이지 시프트 레지스터로 구성되며, 여기서 상기 비다이스 리세트수단은 상기 제1리세트 신호로서 상기 시프트 레지스터내의 특정값을 해석하고 상기 제2리세트신호로서 상기 시프트 레지스터내의 특정값을 해석하는 것을 특징으로 하는 버스 서브시스템.
- 제56항에 있어서, 상기 반도체 디바이스중 하나는 마스터 디바이스이고, 상기 마스터 디바이스는 상기 제1 및 제2리세트신호를 발생하는 수단을 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제56항에 있어서, 상기 반도체디바이스의 하나는 마스터 디바이스이고, 상기 마스터 디바이스는 마스터 ID레지스터, 상기 마스터 디바이스에 마스터 ID 넘버를 할당하는 수단, 그리고 상기 마스터 ID 레지스터내의 사이 마스터 ID 넘버를 기억하는 수단을 포함하는 것을 특징으로 하는 버스 서브시스템
- 제56항에 있어서, 제2의 상기 마스터 디바이스와 사실상 모든 다른 마스터 디바이에 마스터 ID 넘버를 할당하기 위한 제1의 상기 마스터 디바이스에 대한 수단으로 추가로 구성되며, 이에 따라 상기 제1마스터 디바이스는 상기 버스 서브시스템상이 각각의 상기 마스터 디바이스에 상기 마스터 ID 넘버를 기억하는 것을 특징으로 하는 버스 서브시스템.
- 제56항에 있어서, 상기 반도체 디바이스중 하나는 반도체 디바이스의 디바이스 형식의 특징을 갖는 식별자를 포함하도록 적용된 디바이스형식 레지스터와 적어도 하나가 억세스시간을 기억하도록 적용된 억세스시간 레지스터인 하나이상의 수정 가능 레지스터를 포함하는 것을 특징으로 하는 버스 서브시스템.
- 제64항에 있어서, 상기 반도체 디바이스중 하나는 반도체 디바이스를 선택하는 수단, 상기 선택된 반도체 디바이스의 상기 디바이스형식 레지스터를 판독하는 수단, 상기 선택된 반도체 디바이스의 디바이스형식을 결정하는 수단, 상기 선택된 반도체 디바이스에 적합한 억세스시간값을 결정하고 상기 선택된 반도체 디바이스의 대응레지스터에 상기 선택된 반도체 디바이스용으로 적합한 다른값을 선택하고 기억하는 수단을 갖춘 마스터디바이스이며, 이에 따라 상기 마스터 디바이스는 반도체 디바이스를 선택하고, 그것의 형식을 결정하고, 그리고 상기 억세스시간과 다른 레지스터들을 적절한 값을 포함하도록 설정할 수 있는 것을 특징으로 하는 버스 서브시스템.
- 제65항에 있어서, 적어도 하나의 이산메모리부와 각각의 상기 이산메모리부에 대응한 메모리 어드레스정보를 기억하도록 적용된 적어도 하나의 수정가능 어드레스 레지스터를 갖춘 메모리 디바이스로 추가로 구성되며, 그리고 상기 마스터 디바이스는 상기 이산 메모리부의 각각을 선택하고 시험하는 수단과 각각의 상기 이산메모리부에 대응한 상기 어드레스 레지스터에 어드레스정보를 기억하는 수단으로 추가로 구성되며, 이에 따라 상기 마스터 다비이스는 모든 상기 이산메모리를 시험하고 거기에 유일한 어드레스값을 할당할 수 있는 것을 특징으로 하는 버스 서브시스템.
- 구중 하나가 마스터 디바이스인 버스에 병렬로 접속된 두 개 반도체 디바이스로 구성되며, 상기 버스는 상기 반도체 디바이스에 의해 요구된 사실상 모든 어드레스, 데이터 및 제어정보를 전달하고 다수의 버스데이터선을 포함하고, 상기 제어정보는 디바이스 선정정보를 포함하고, 상기 버스는 단일 어드레스에서의 비트수보다 사실상 더 작은 수이 상기 버스 데이터선을 포함하고, 그리고 상기 버스는 개개의 반도체 디바이스에 적접 접속된 분리된 디바이스 선정선의 필요성없이 디바이스 선정정보를 전달하고, 여기서 상기 모든 버스데이터선을 전승선에 의해 말단을 이루며 모든 상기 어드레스, 데이터 및 제어정보는 저전압 스윙신호의 형태인 순차적 비트열로 상기 버스데이터션에서 전달되는 것을 특징으로 하는 버스 서브시스템.
- 제67항에 있어서, 상기 버스데이터선중 하나를 구동하기 위해 접속된 전류모드 구동기를 포함한 반도체 디바이스로 추가로 구성되는 것을 특징으로 하는 버스 서브시스템.
- 제68항에 있어서, 상기 버스데이터선중 선택된 하나에 대한 상기 저전압 스윙신호의 전압을 측정하는 수단을 갖추는 반도체 디바이스로 추가로 구성되고, 이에 따라 상기 반도체 디바이스는 하나 또는 그 이상의 상기 전류모드 구동기가 상기 선택된 버스데이터선을 구동하는지 또는 어느 구동기도 상기 선택된 버스데이차선을 구동하지 않는지를 결정할 수 있는 것을 특징으로 하는 버스 서브시스템.
- 제69항에 있어서, 상기 버스데이터선중 하나에 접속된 다수의 입력수신기, 그리고 상기 순차비트열의 비트를 동시에 차례로 감지하고 기억하기 위해 상기 입력수신기를 선택하는 선택수단을 갖춘 반도체 디바이스로 추가로 구성된 것을 특징으로 하는 버스 서브시스템.
- 제69항에 있어서, 상기 버스데이터선중 하나와 접속된 두 개의 입력수신기를 갖춘 반도체 디바이스로 추가로 구서되는 것을 특징으로 하는 버스 서브시스템.
- 제1 및 제2말단을 갖추며 버스클록선을 포함한 버스에 병렬로 접속된 두 개의 반도체 디바이스 여기서 상기 버스클록선을 각각 상기버스의 제1 및 제2말단에 대응하는 제1 및 제2단을 갖추며, 표준상승 시간으로 최초 버스클록신호를 발생하기 위해 상기 버스콜록선의 상기 제1말단에 접속된 클록발생기, 그리고 대응 최후 버스클록신호로서 상기 최초 버스클록신호를 상기 버스의 상기 제1말단에 복귀시키기 위하 사이 버스클록선의 상기 제2말단에서의 신호복쉬수단으로 구성되며, 이에 따라 각각의 상기 최초 버스클록신호는 상기 버스의 상기 제1말단으로부터 사이 제2말단으로 상기 클록선을 따라 상기 클록발생기로부터 전파되고 그후 대응 최후 버스클로신호로서 상기 버스의 상기 제1말단에 더 늦은 시간에 복귀할 것이어서, 이에 따라 상기 버스상의 각 반도에 디바이스는 상기 최초 버스클록신호와 상기 대응 최후 버스클록신호를 검출할 수 있는 것을 특징으로 하는 버스 서브시스템.
- 제73항에 있어서, 상기 버스의 상기 제1 및 상기 제2말단에 각각 제1 및 제2말단을 갖춘 제1 및 제2의 상기 버스클록선으로 추가로 구성되고, 여기서 상기 신호복귀수단은 상기 제1 및 제 버스클록선의 상기 제2말단들을 직접 연결하고 이에 따라 각각의 상기 최초 버스클록신호는 상기 제1버스클록선을 따라 상기 버스의 상기 제1말단에서의 상기 클록발생기로부터 상기 버스의 상기 제2말단으로 전파되고 그후 상기대응 최후 버스 클록신호의 하나로서 상기 버스의 제1말단에 상기 제2버스클록선을 따라 복귀하는 것을 특징으로 하는 버스 서브시스템.
- 제72항에 있어서, 신호복쉬수단은 그것의 상기 제2말단에 라인종료기가 없는 상기 제1버스클록선으로 구성되며, 이에 따라 상기 제1버스클록선의 상기 제2말단에 도착한 각각의 상기 최초 버스클록신호는 상기 대응 최후 버스클록 신호로서 상기 제1버스클록선을 따라 반사되는 것을 특징으로 하는 버스 서브시스템.
- 제72항에 있어서, 소정의 버스사이클 주파수와 대응 버스사이클주기를 가진 버스 사이클로 상기 버스를 동작시키는 수단, 그리고 버스사이클주기의 두배의 주기로상기 클록발생기를 동작시키는 수단으로 추가로 구성되는 것을 특징으로 하는 서브시스템.
- 제75항에 있어서, 상기 버스사이클 주파수는 약 500MHZ보다 크고 약 50MHZ와 같거나 작은 것을 특징으로 하는 버스 서브시스템.
- 제72항에 있어서, 상기 최초 및 대응 최후 버스클록신호간의 중간시간을 도출하고 상기 중간시간에 동기된 내부디바이스 클록을 발생하기 위해 내부디바이스 클록발생수단을 갖춘 반도체 디바이스를 추가로 포함한 것을 특징으로 하는 버스 서브시스템.
- 제72항에 있어서, 입력부, 출력부 및 기본지연부와 상기 최초 버스클록신호로 상기 제1지연선의 출력을 동기화하는 수단을 갖춘 제1지연선, 가변지연부와 함께 상기 기본지연부를 가지며, 상기 최후 버스클록신호로 상기 제2지연선의 출력을 동기화하는 수단 및 출력부를 갖춘 제2지연선, 그리고 제3지연부와 제1 및 제2지연선의 지연간의 중간으로 상기 제3지연을 설정하는 수단을 가지며 상기 최초 및 최후 버스클록신호간의 중간시간으로 동기화된 내부 디바이스 클록신호를 제공하는 출력부를 가진 제3지연선으로 구성된 로우스큐(low-skew)클록발생기를 가진 반도체 디바이스를 추가로 포함하는 것을 특징으로하는 버스 서브시스템.
- 제72항에 있어서, 상기 최초 및 최후 버스클록신호는 로우 및 하이논리값간을 주기적으로 변하는 저전압 스윙신호이며, 상기 최초 및 최후 버스클록신호를 풀스윙(full-swing)논리신호로 변환하는 DC 증폭기, 제1가변지연부와 입출력부를 가지고 하기 제1가변지연선의 입력ㅂ는 상기 DC 증폭기에 접속되는 제1가변지연선, 각각 입출력부를 가진, 제1, 제2 및 제3부가지연선-여기서 상기 부가지연선의 각 입력부는 상기 제1지연선의 출력부에 접속되며, 상기 제1부가지연선은 고정 지연부를 가지며, 상기 제2부가지연선은 상기 고정지연부 및 제2가변지연부를 가지며, 그리고 상기 제3부 가지연선은 상기 고정지연부 및 상기 제2가변지연부의 반을 가진다. 상기 최초 버스클록신호를 샘플링하기 위해 접속되며 상기 제1부가 지연선의 상기 출력에 의해 게이트된 제1클록입력수신기, 상기 최초 버스클록신호의 변환에 의해 곧 상기 제1클록입력수신기가 상기 최초 버스클록 신호를 샘플링하도록 상기 제1가변지연을 조절하는 수단, 상기 최후 버스클록신호를 샘플링하기 위해 접속되고 상기 제2부가 지연선의 상기 출력에 의해 게이트된 제2클록입력 수신기, 상기 최후 버스 클록신호의 변환에 의해 곧 상기 제2클록입력수신기가 상기 최후 버스클록신호를 샘플링하도록 상기 제2가변을 조절하는 수단으로 구성된 로우스큐클록 발생회로를 갖춘 반도체 디바이스를 추가로 포함하고, 이에 따라 상기 제3부가지연선의 상기 출력은 상기 제1 및 제2부가지연선간의 절반시간으로 동기화되고, 상기 제3부가지연선의 상기 출력은 내부 디바이스 클록 신호를 제공하는 것을 특징으로 하는 버스 서브시스템.
- 제79항에 있어서, “참 ”내부 디바이스 클록신호를 발생하는 제1의 상기 로우스큐 클록발생기회로, 그리고 상기 “참” 내부디바이스 클록신호에 논리값이 반대되도록 동기화된 “보수” 내부대바이스 클록신호를 발생하기 위해 접속된 제2의 상기 로우 스큐클록 발생기회로로 추가로 구성되는 것을 특징으로 하는 버스 서브시스템.
- 순차비트열로서 DRAM에 의해 요구된 사실상 모든 어드레스, 데이터 및 디바이스- 선정정보를 포함한 제어정보를 전달하기 위한 다수의 버스선을 가지며, 단일 어드레스의 비트수보다 사실상 더 작은 수의 상기 버스선을 가지며, 단일 어드레스의 비트수보다 사실상 더 작은 수의 상기 버스선을 포함한 외부버스에 접속되고, 분리된 디바이스 선정선없이 상기 버스절단 디바이스 선정정보가 직접 상기 DRAM 디바이스에 접속되도록 설계된 DRAM 디바이스에 있어서, 상기 DRAM 디바이스는 행과 열로 연결되며 각각이 상기 비트중 하나를 기억하기 위해 적용된 메모리셀의 어레이, 상기 행중 하나를 선택하는 해어드레스 선택수단, 각각의상기 열에 연결되며 각각이 2진논리값으로서 상기 비트중 하나를 래칭하고 또는 선택된 상태로 예비충전하도록 적용된 열센스증폭기, 상기 메모리셀로부터 상기 비트중 하나를 출력하거나 또는 상기 메모리셀에 상기 비트중 하나를 입력하는 다수의 상기 열센스증폭기를 선택하도록 각각의 상기 열센스증폭기와 접속된 열디코팅수단, 다수의 내부 I/O선을 가지며 각각의 상기 내부 I/O선은 다수의 상기 열센스 증폭기와 접속되는 내부 I/O 버스 그리고, 상기 내부 I/O 선들을 상기 외부버스에 접속하도록 설계된 다수의 버스접속수단으로 구성되며, 이에 따라 상기 순차비트열의 선택된 비트는 상기 외부버스부터 상기 메모리셀중 선택된 하나에 전송될 수 있고 또는 상기 메모리셀중 선택된 하나에 포함된 상기 비트는 상기 외부버스 전송될 수 있는 것을 특징으로 하는 DRAM 디바이스.
- 제81항에 있어서, 상기 버스접속수단중 하나에 접속된 출력구동기, 상기 출력드라이버에 접속된 출력부와 다수의 입력부를 가지며, 상기 각각의 입력부는 상기 내부 I/O 선중 하나에 접속되는 출력멀티플레서, 그리고 상기 출려구동기가 상기 외부버스를 구동할 수 있는지 여부를 선택하는 제어 수단으로 추가로 구성되며, 이에 따라 다수의 메모리셀이 상기 행어드레스 선택수단을 사용하여 서택되고 사익 열디코딩수단부 상기의 다수의 메모리셀에 포함된 다수의 비트가 상기 열센스증폭기를 통해 사익 내부 I/O 버스로 상기 출력멀티플렉서로 상기 출력구동기로 상기 외부버스로 출력되는 것을 특징으로 하는 DRAM 디바이스.
- 제81항에 있어서, 상기 버스데이터선중 하나와 상기 재부 I/O 버스에 접속되는 다수의 입력수신기, 상기 순차비트열의 비트를 동시에 차례로 감지하고 기억하도록 상기입력 수신기를 선택하는 선택수단, 그리고 입력 수신기가 상기 내부 I/O 버스를 구동할 수 있는지 여부를 선택하는 제어수단으로 추가로 구성되며, 이에 따라 상기 순차비트열의 비트가 상기 입력수신기중 하나를 통해 상기 외부버스로부터 상기 내부 I/O 서중 하나로 상기 열센스증폭기중 하나로 상기 메모리셀중 하나로 입력되는 것을 특징으로 하는 DRAM 디바이스.
- 제81항에 있어서, 상기 메모리셀의 제1 및 제2하프-어레이, 여기서 상기 메모리 셀의 상기 어레이의 각각의 상기열이 두부분으로 나눠지며, 상기 제1 및 제2하프에레이에서 각각 사이 열센스증폭기에 접속된 제1 및 제2의상기 내부 I/O 버스, 그리고, 상기 제1 및 제2하프- 어레이의 선택된 행에서 동시에 상기 메모리 셀에 접속된 상기 열센스증폭기의 선택된 게이팅하는 열디코더수단으로 추가로 구성되는 것을 특징으로 하는 DRAM 디바이스.
- 제84항에 있어서, 상기 열디코더수단은 동시에 17열센스증폭기를 선택하는 것을 특징으로 하는 DRAM 디바이스.
- 제81항에 있어서, 상기 외부버스는 소정속도로 작동하고 상기 DRAM 디바이스는 4개의 상기 내부 I/O 버스를 포함하고 이들 각각은 상기 외부버스속도의 1/4로 작동하는 것을 특징으로 하는 DRAM 디바이스.
- 제81항에 있어서, 2진논리값이 상기 열셈스증폭기에 재빨리 로딩될 수 있는 예비충전상태로 상기 열센스 증폭기의 하나를 예비충전하는 수단, 상기 열센스증폭기가 2진논리값을 포함한 경우 상기 열센스증폭기에 현재 포함된 논리값을 래칭하는 수단, 그리고 상기 열센스증폭기를 예비충전하거나 또는 상기 열센스증폭기내의 상기 제2진논리값을 래칭하도록 상기 DRAM 디바이스에 명령하는 수단으로 추가로 구성되는 것을 특징으로 하는 DRAM 디바이드.
- 제87항에 있어서, 상기 행어드레스 선택수단이 상기 행중 다른 하나를 선택하면 항상 추가명령 없이도 상기 열센스증폭기를 예비충전하도록 상기 DRAM 디바이스에 명령하는 수단으로 추가로 구성되는 것을 특징으로 하는 DRAM 디바이스.
- 제87항에 있어서, 최후의 상기 2진논리값을 래칭한후 제1또는 제2의 미리 선택되 시간에서 추가명령없이 상기 열센스증폭기를 예비충전하도록 상기 DRAM 디바이스에 명령하는 수단으로 추가로 구성되며, 상기 제1의 미리선택된 시간은 상기 DRAM이 상기 2진논리값을 상기 열센스증폭기에 래칭하고 메모리 또는 상기 내부 I/O선중 하나에 상기 2진논리값을 전송하기에 충분히 길며, 상기 제2의 미리 결정된 시간은 상기 DRAM이 선택된 상기 메모리셀내로 또는 상기 메모리셀로부터 상기 제2진논리값을 전송하기 위해 2진논리값을 상기 열 센스증폭기에 래칭하고, 그후 더 빠른 다음의 판독 및 기입을 위해 예비충전도될 수 있도록 상기 DRAM 디바이스에 기억될 수 있는 변수인 것을 특징으로 하는 DRAM 디바이스.
- 측면, 회로군 및 상기 측면근처나 측면을 따라 위치되고 선택된 피치로 간격지며 상기 회로군에 접속된 다수의 접속영역을 갖춘 반도체다이를 포함하고, 상기 접속영역중 하나에 대응하는 다수의 외부버스선에 접속하는 다수의 버스접속 수단으로 구성되며, 상기 버스접속수단의 각각의 패키지의 제1측에 위치되고, 상기 외부 버스선 및 상기 반도체다이상이 상기 대응접속외부버스선에 접속되며, 그리고 상기 접속영역의 상기 선택된 피치와 사실상 동일한 피치로 간격지며, 이에 따라 상기 외부버스선의 각각은 상기 패키지의 단일측을 따라 위치된 버스접속수단에 의해 상기 반도체다이의 상기 대응접속영역에 접속될 수 있는 것을 특징으로 하는 패키지.
- 제90항에 있어서, 상기 다수의 버스접속수단으로 추가로 구성되며 여기서 각각의 상기 버스접속수단은 사이 외부버스선중 하나에 연결되도록 저용된 핀과 상기 반도체다이상의 상기 접속영역중 하나에 상기 핀을 접속하는 와이어를 포함하고, 상기 와이어는 약 4㎜보다 더 작은 유효라이드 길이를 가지며 여기서 상기 패키지용 상기 각각의 버스접속수단의 상기 와이어의 유효리이드길이는 거의 동일한 것을 특징으로 하는 패키지.
- 제90항에 있어서, 상기 반도체다이의 적어도 두 개가 메모리 디바이스이고, 각각의 상기 패키지가 통상 편평하고 정상부와 바닥부를 가지며, 그리고 여기서 상기 패키지는 한 스텍에서 제2의 패키지와 인접하는 곳에서 상기 제1패키지의 상기 정상부가 상기 제2패키지의 상기바닥부에 사실상 정렬되며, 그리고 상기 각각의 패키지의 상기 버스접속수단은 사실상 한 평면에 위치 정렬되는 것을 특징으로 하는 다수의 패키지.
- 제92항에 있어서, 다수의 스택으로 추가로 구성되며 여기서 각각의 상기 버스 접속수단은 각각의 상기 스택에서의 대응 상기 버스접속수단과 전기적으로 접속될 수 있는 것을 특징으로 하는 다수의 패키지.
- 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-전정정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 직접으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바이스-선정 정보를 반송하는 상기 버스에 병렬로 접속된 다수의 반도체 비다이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 및 상기 접속수단을 통해 상기 버스를 억제할 수 있어, 그것에 의하여 상기 버스를 통해 데이터를 수신하고 상기 디바이스를 단일하게 식별할수 이는 최소한 하나의 수정가능한 식별레지스터로 구성되는 것을 특징으로 하는 디바이스.
- 제94항에 있어서, 상기 반도체 디바이스는 단지 상기 버스에만 접속되어 모든 어드레스, 데이터 및 제어 정보를 상기 버스를 통하여 송신하고 수신하는 메모리 비다이스인 것을 특징으로 하는 반도체 비다이스.
- 버스에 접속된 바도체 디바이스에 하나씩 걸려서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선정정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 직접으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바이스-선정 정보를 반송하는 상기 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스를 포함하는 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 및 디바이스 어드레스 정보를 유지하며, 상기 접속수단을 통해 상기버스를 억세스 할 수 있어 , 그것에 의하여 상기 디바이스가 소정 범위의 어드레스에 응답할 수 있게 하는 상기 버스를 통하여 데이터를 수신할 수 있는 최소한 하나의 수정 간능한 레지스터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제96항에 있어서, 상기 반도체 디바이스는 단지 상기버스에만 접속되어 모든 어드레스, 데이터 및 제어 정보를 상기 버스를 통하여 송신하고 수힌하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 제97항에 있어서, 상기 메모리 디바이스를 최소한 하나의 이산메모리구역 및 상기 이산메모리구역에 대응되는 메모리 어드레스 정보를 기억하는 최소한 하나의 수정가능한 어드레스 레지스터를 또한 구비한 것을 특징으로 하는 반도체 디바이스.
- 제98항에 있어서, 상기 메모리 어드레스 정보는 상기 이산메모리구역에 대한 포인터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제99항에 있어서, 상기 이산메모구역은 상단과 하단 및 상기 상단과 하단에 대한 포인터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제99항에 있어서, 사이 메모리 어드레스 정보는 상기 이산메모리구역에 대한 포인터 및 상기 이산메모리구역의 크기를 가리키는 범위값으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 버스에 접속된 반도체 디바이스에 하나씩 걸려서 통신하기 위하여 상기 반도체 디바이스에 필요로 하는 모든 에드레스, 데이터 및 제어정보를 반송하는 다수의 버퍼라인을 포함하고, 단일 어드레스내의 비트의 갯수보다 적은 수의버스 라인을 가진 상기 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 및 상기 접속수단을 통하여 상기 버스를 억세스할 수 있어, 그것에 의하여 상기 반도체 디바이스가 요구에 응답하여 상기 버스를 사용하기전에 대기하여야만 하는 소정량의 시간을 설립하는 상기 버스를 통하여 데이터를 수신할 수 있는 최소한 하나의 소정가능한 억세스-타임 레지스터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제102항에 있어서, 상기 반도체 디바이스는 단지 상기버스에만 접속되는 모든 어드레스, 데이터 및 제어정보를 상기 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 제102항에 있어서, 상기 반도체 디바이스는 또한 최소한 두 개의 억세스-타임 레지스터로 구성되고 상기 억세스-타임 레지스터중 하나는 불변하게 프로그램되어 고정치를 포함하고 상기 억세스-타임 레지스터중 최소한 하나는 상기 버스를 통하여 반송된 정보에 의해 수정될 수 있는 것을 특징으로 하는 반도체 디바이스.
- 버스에 접속된 반도체 디바이스에 하나씩 걸려서 통신하기 위하여 상기 반도체 디바이스에 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선정정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바스에 직접으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바이스-선정 정보를 반송하며, 각 상기 버스라인은 말단정송라인인 상기 버스에 병렬로 접속된 다수의 번도체 디바이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 번도체 디바이스에 있어서, 상기 반도체 디바이스는 상기의 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 및 상기 말단전송라인상에 저전압 스윙신호를 만들어낼 수 있는 버스라인 드리이버로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제105항에 있어서, 상기 반도체 디바이스는 단지 사이 버스에만 접속되어 모든 어드레스, 데이터 및 제어정보를 상기 버스를 통하여 송신하고 수신하는 메모리 디비이스인 것을 특징으로 하는 반도체 디바이스.
- 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 비다이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선정 정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 직접으로 접속된 단독의 디바이스-선정라인 없이도 상기반도체 디바이스에 대한 디바이스-선택정보를 반송하고, 초기 및 후기 버스클록신호를 반송하는 최소한 하나의 버스 클록라인을 또한 포함하는 상기 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 및 초기 및 상기 후지 버스클록신호사이의 중간시간에 동기화된 내부 디바이스클록을 발생하는 내부디바이스 클록 발생 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제107항에 있어서, 상기버스는 상기 버스클록라인중 제1과 제2라인을 포함하고, 상기 제1버스클로라인은 상기 초기 버스클로신호를 반송하고, 상기 제2버스 클록라인은 상기 후기 버스클로신호를 반송하며, 상기 반도체 디바이스는 상기 제1버스클록라인상의 상기 초기 버스클록신호를 검출하는 수단과 상기 제2버스클록라인상의 상기 후기 버스클록신호를 검출하는 수단으로 또한 구성된 것을 특징으로 하는 반도체 디바이스.
- 제108항에 있어서, 상기 반도체 디바이스는 단지 상기 버스에만 접속되어 모든 어드레스, 데이터 및 제어정보를 상기 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 비다이스에서 필요로 하는 모든 어드레스, 데디터, 제어 및 디바이스-선정 정보를 순차적인 일련의 비트로서 반송하는 다수의 버스 라인을 포함하고, 어드레스내의 비트의 갯수보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 직접으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바이스-선택정보를 반송하는 상기 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 상기 버스데이터라인중 하나에 접속된 다수의 입력수신기, 및 상기 입력수신기를 하나씩 선택하여 상기 순차적인 비트를 한번에 하나씩 감지하고 기억하는 선택수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제110항에 있어서, 상기 반도체 디바이스는 단지 버스에만 접속되어 모든 어드레스, 데이터 및 정어정보를 상기 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로하는 반도체 디바이스.
- 제111항에 있어서, 두 개의 입력수신기가 사이 버스라인중 하나에 접속된 것을 특징으로 하는 반도체 디바이스.
- 시스템 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선택정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수 보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 적접적으로 접속된 단독의 디바이스-선정하인 없이도 상기반도체 디바이스에대한 디바이스-선택정보를 반송하는 상기 버스시프템의 버스에 병렬로 접속된 다수의 반도체 디아비스를 포함하는 반도체 시스템 버스의 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 시스템 버스에 접속시키는 접속수단, 상기 시스템 버스보다 많은 라인을 가진 상기 반도체 디바이스내의 내부 입력 출력 버스, 및 상기 내부버스의 라인을 상기 시스템 버스의 라인에 대해 다중화시켜, 그것에 의하여 상기 시스템 버스가 상기 내부버스보다 높은 속도에서 동작할 수 있게 하는 수단으로 구성된 것을 특징으로 하는 반도체.
- 제113항에 있어서, 상기 반도체 디바이스는 단지 상기 시스템 버스에만 접속되어 모든 어드렛, 데이터 및 제어정보를 시스템 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 시스템 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선택정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수 보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 적접적으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바이스-선택정보를 반송하는 상기 시스템 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 시스템 버스의 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 상기 시스템 버스에 접속시키는 접속수단, 상기 시스템 버스보다 많은 라인을 가진 상기 반도체 디바이스내의 내부 입력/출력 버스, 상기 내부버스의 라인에 대해 다중화시키어, 그것에 의하여 상기 시스템 버스가 상기내부버스보다 높은 속도에서 동작할 수 있게 하는 수단, 및 상기 접속 수단을 통하여 상기 시스템 버스를 억세스할 수 있어, 그것에 의하여 상기 시스템 버스를 통하여 데이터를 수신하고 상기 디바이스를 단일하게 식별할 수 있는 최소한 하나의 수정가능한 식별레지스터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제115항에 있어서, 상기 반도체 디바이스는 단지 상기 시스템 버스에만 접속되어 모든 어드레스, 데이터 및 제어정보를 상기 시스템 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 시스템 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선택정보를 반송하는 다수의 버스라인를 포함하고, 단일 어드레스내의 비트의 갯수 보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 적접적으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바이스-선택정보를 반송하는 상기 시스템 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 시스템 버스의 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 시스템 버스에 접속시키는 접속수단, 상기 시스템 버스보다 많은 라인을 가진 상기 반도체 디바이스내의 내부 입력/출력 버스, 상기 내부버스의 라인을 상기 시스템 버스의 라인에 대해 다중화시키어, 그것에 의하여 상기 시스템 버스가 상기 내부버스보다 높은 속도에서 동작할 수 있게 하는 수단, 및 디바이스 어드레스 정보를 유지하며, 상기 접속수단을 통해 상기 시스템 버스를 억세스할 수 있어, 그것에 의하여 상기 디바이스가 소정범위의 어드레스에 응답할 수 있게 하는 상기 시스템 버스를 통하여 데이터를 수신할 수 있는 최소한 하나의 수정가능한 레지스터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제117항에 있어서, 상기 반도체 디바이스는 단지 상기 시스템 버스에만 접속되어 모든 어드레스, 데이터 및 제어정보를 상기 시스템 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 제118항에 있어서, 상기 메모리 디바이스를 최소한 하나의 이산메모리구역 및 상기 이산메모리구역에 대응되는 메모리 어드레스 정보를 기억하는 최소한 하나의 수정가능한 어드레스 레지스터를 또한 구비한 것을 특징으로 하는 반도체 디바이스.
- 시스템 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터 및 제어정보를 반송하는 다수의 버스라인를 포함하고, 단일 어드레스내의 비트의 갯수 보다 적은 수의 버스라인을 가진 시스템의 버스에 병렬로 접속된 다수의 반도체 디아비스를 포함하는 반도체 시스템 버스의 아키텍처에 사용될 수 있는 반도체 디아비스에 있어서 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 시스템 버스에 접속시키는 접속수단, 상기 시스템 버스보다 많은 라인을 가진 상기 반도체 디바이스내의 내부 입력/출력 버스, 상기 내부버스의 라인을 상기 시스템 버스의 라인에 대해 다중화시키어, 그것에 의하여 상기 시스템 버스가 상기 내부버스보다 높은 속도에서 동작할 수 있게 하는 수단, 및 상기 접속수단을 통해 상기 시스템 버스를 억세스할 수 있어, 그것에 의하여 상기 디바이스가 요구에 응답하여 상기 시스템 버스를 사용하기 전에 대기하여야만 하는 수정령의 시간을 설립하는 상기 버스를 통하여 데이터를 수신할 수 있는 최소한 하나의 소정가능한 엑세스-타임 레지스터로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제120항에 있어서, 상기 반도체 디바이스는 단지 상기 시스템 버스에만 접속되어 모든 어드레스, 데이터 및 제어정보를 상기 시스템 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 제120항에 있어서, 상기 메모리 디바이스는 또한 최소한 두 개의 억세스-타임 레지스터로 구성된고 상기 억세스-타임 레지스터중 하나는 불변하게 프로그램되어 고정치를 포함하고 상기 억세스-타임 레지스터중 최소한 하나는 상기 시스템 버스상에서 반송되는 정보에 의해 수정될 수 있는 것을 특징으로 하는 반도체 디바이스.
- 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선택정보를 방송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수 보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 적접적으로 접속된 단독의 디바이스-선정라인 없이도 상기 반도체 디바이스에 대한 디바시스-선택정보를 반송하는 상기 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 버스의 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 어드레스, 데이터, 제어 및 디바이스-선정정보는 요구패키트와 버스트랜스액션의 형태로 상기 버스를 통해서 반송되고, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 상기 버스를 통하여 상기 요구패키트를 수신하는 수단, 상기 요구패키트내의 정보를 해독하는 수단, 및 상기 요구패키트내의 상기 정보에 응답하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 요구패키트의 정보를 해독하는 상기 수단은 또한 상기 요구패키트내의 상기 제어정보를 식별하고 해독하는 수단, 상기 요구패키트내의 상기디바이스-선정정보를 식별하고 해독하는 수단, 상기 요구패키트내의 상기 어드레스정보를 식별하고 해독하는 수단, 및 상기 제어정보 또는 상기 어드레스 정보가 상기 반도체 디바이스에게 응답을 개시하라는 명령을 내리는지 여부를 결정하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 각각의 상기 버스트랜스액션은 상기 요구패키트중 하나내의 상기 어드레스 및 상기 제어정보에 응답하여 수행되고, 상기 요구패키트내의 정보를 식별하고 해독하는 상기 수단은 상기 어드레스 및 상기 제어정보를 포함하는 상기 요구패키트중 하나로서 상기 버스상의 바이트의 순서를 인식하는 수단을 포함하고, 상기 제어정보는 상기 버스를 통하여 상기 버스트스액션을 개시하기 전에 개재할 필요가 있는 억세스시간과 요구된 상기 버스트랜스액션의 종류에 관한 정보를 포함하고 상기 어드레스 및 상기 제어정보는 하나 이상의 상기 반도체 디바이스가 상기 어드레스 및 상기 제어정보에 응답하도록 명령를 내리는 디바이스-선정 정보를 포함하는 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 반도체 디바이스는 또한 선택된 상태로 프리차지 하거나 정보비트를 래치하는 다수의 감지증폭기, 상기 정보비트중 하나를 래치한 후 수정안된 상태에 상기 감지증폭기를 유지하는 수단, 상기 감지증폭기를 프리차지하는 수단 및 상기반도체 디바이스가 상기 감지증폭기를 프리차지해야 하거나 수정안된 상태에 유지해야 하는지 여부를 선택하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 정보가 제어정보인 경우 상기 정보에 응답하는 상기 수단은 또한 상기 반도체 디바이스로부터 데이터를 판독하고 상기반도체 디바이스내로 데이터를 기록하는 수단을 또한 포함하며 데이터 블록 전송동안 데이터블록을 전송하는 수단, 및 데이터블록 전송을 개시하는 수단, 선택된 사이즈의 데이터블록을 전송하는 수단, 선택된 시간에서 데이터블록을 전송하는 수단 제어레니스터로부터 판독하거나 그 안으로 기록하는 수단을 포함하며 상기 제어 레지스터를 억세스하는 수단, 또는 정류 또는 페이지-모드 억세스를 선택하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 반도체 디바이스는 또한 상기 정보가 상기 반도체 디바이스에 대하여 유일한 디바이스 식별숫자를 포함한다면 상기 요구패키트내의 상기 정보에 응답하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 반도체 디바이스는 또한 상기 디바이스가 응답하도록 요청하는 특수 디바이스 식별숫자를 상기 정보가 포함한다면 상기 요구패키트내의 상기 정보에 응답하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 반도체 디바이스는 또한 상기 정보가 상기 반도체 디바이스에 대하여 유일한 어드레스를 포함한다면 상기 요구패키트내의 상기 정도에 응답하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 반도체 디바이스는 또한 상기 버스트랜스액션을 상기 버스를 통하여 개시하기전에 대기하는 시간을 해독하고 상기 제어정보를 해석하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항에 있어서, 상기 반도체 디바이스는 또한 상기 버스트랜스액션중 하나 동안 전송되는 데이터블록의 사이즈를 해독하고 상기 제어정보를 해석하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제123항 내지 제132항에 있어서, 상기 반도체 디바이스는 단지 상기 버스에만 접속되어 모든 어드레스, 데이터 및 제어정보를 상기 버스를 통하여 송신하고 수신하는 메모리 디바이스인 것을 특징으로 하는 반도체 디바이스.
- 버스에 접속된 반도체 디바이스에 하나씩 걸러서 통신하기 위하여 상기 반도체 디바이스에서 필요로 하는 모든 어드레스, 데이터, 제어 및 디바이스-선택정보를 반송하는 다수의 버스라인을 포함하고, 단일 어드레스내의 비트의 갯수 보다 적은 수의 버스라인을 가지고, 상기 개별적인 반도체 디바이스에 적접적으로 접속된 단독의 디바이스-선정라인이 없어도 상기반도체 디바이스에 대한 디바이스-선정정보를 반송하며, 상기 어드레스, 데이터, 제어 및 디바이스-선정정보는 요구패키트 및 버스트랜스액션의 형태로 반송되는 상기 버스에 병렬로 접속된 다수의 반도체 디바이스를 포함하는 반도체 버스 아키텍처에 사용될 수 있는 반도체 디바이스에 있어서, 상기 반도체 디바이스는 상기 반도체 디바이스를 상기 버스에 접속시키는 접속수단, 상기 요구패키트내의 어드레스 및 제어정보를 부호화하는 수단 및 상기 버스를 통하여 상기 요구패키트를 송신하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제134항에 있어서, 상기 반도체 디바이스는 또한 상기 요구패키트중 하나내의 상기 어드레스 및 제어정보에 응답하여 각각 수행되는 버스트랜스액션을 요구하는 수단으로 구성되고, 상기 요구 패키트내의 정보를 부호화하는 상기 수단은 상기 요구패키트중 하나로서 상기 버스상의 바이트의 순서를 표시하는 수단을 포함하고, 상기 제어정보는 상기 버스를 통하여 상기 버스트랜스액션을 개시하기전에 개재될 필요가 있는 억센스시간 및 요구된 상기 버스트랜스액션의 종류에 관한 정보를 포함하고 상기 어드레스 및 상기 제어정보는 하나이상의 상기 반도체 디바이스가 상기 어드레스 및 상기 제어정보에 응답하도록 명령을 내리는 디바이스-선정정보를 포함하는 것을 특징으로 하는 반도체 디바이스.
- 제134항에 있어서, 하나 또는 그 이상의 상기 다수의 반도체 디바이스는 유일한 디바이스 식별숫자를 가지고, 상기 반도체 디바이스는 상기 요구패키트내에 선택된 상기 디바이스 식별숫자를 포함시킴으로써 상기 다수의 반도체 디바이스중 특정한 하나로 제어정보를 송신하는 수단으로 또한 구성된 것을 특징으로 하는 반도체 디바이스.
- 제134항에 있어서, 각각의 상기 다수의 반도체 디바이스는 특수 디바디스 식별숫자에 응답하고, 상기 반도체 디바이스는 또한 상기 요구패키트내에 상기 특수 디바이스 식별숫자를 포함시킴으로써 각각의 상기 다수의 반도체 디바이스로 제어정보를 송신하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제134항에 있어서, 하나 또는 그 이상의 상기 다수의 반도체 디바이스는 다수의 어드레스를 가진 메모리 디바이스이고, 상기 반도체 디바이스는 또한 상기 요구패캐트내에 특정 어드레스 또는 어드레스의 범위를 포함시킴으로써 상기 다수의 반도체 디바이스중 하나내의 상기 특정 어드레스 또는 어드레스의 범위로 제어정보를 송신하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제134항에 있어서, 상기 요구패키트중 최소한 하나는 상기 버스트랜스액션중이 대응되는 트랜스액션이 뒤따르는 버스트랜스액션을 요구하는 요구패키트이고, 상기 반도체 디바이스는 또한 상기 버스를 통하여 버스 트랜스액션을 요구하는 상기 요구 패키드의 종료와 상기 대응되는 버스트랜스액션 사이의 사간을 직접 또느 간접적으로 명기하도록 상기 제어정보를 부호화하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제139항에 있어서, 상시 버스트랜스액션중 한종류는 데이터블록의 전송이고, 상기 반도체 디바이스는 또한 상기 제어정보를 부호화하여 전송되는 상기 데이트블록이 크기를 명기하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제139항에 있어서, 상기 반도체 디바이스는 또한 현재 및 대기중인 버스 트랜스액션을 기억하여, 그것에 의하여 상기 반도체 디바이스가 현재 또는 대기중인 버스트랜스액션과 충돌할 수 있는 버스트랜스액션을 개시하지 않음으로써 상기 버스상의 충돌을 피할 수 있게 하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제134항에 있어서, 상기 반도체 디바이스는 제1마스터 디바이스이고 상기 다수의 반도체 디바이스중 하나는 제2마스터 디바이스이고, 상기 반도체 디바이스는 또한 충돌요구패키트가 상기 요구패키트중 제1패키트의 송신과 겹치거나 초기의 송신과 동시에 송신될 수 있는 경우, 상기 제1요구패키트의 전송시 상기 제1마스터 디바이스가 상기 요구패키트중 충돌패키트를 전송하는 상기 제2마스터 디바이스를 검출할 수 있게 하는 충돌검출수단, 및 상기 제1과 제2마스터 디바이스가 상기 버스를 순차적으로 억세스할 수 있게 허락되는 우선 순위순서를 선택하게 하는 아비트레이션수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제142항에 있어서, 상기 반도체 디바이스는 마스터디바이스이고 상기 다수의 반도체 디바이스중 최소한 하나는 마스터 디바이스이고, 각각의 상기 마스터 디바이스는 마스터 ID 숫자를 가지고 각각의 상기 요구패키트는 상기 요구패키트내의 소정위치의 소정숫자의 비트인 마스터 ID 위치를 포함하고, 상기 충돌검출수단은 상기 반도체 디바이스가 자체의 마스터 ID 숫자를 상기 요구패키트에송신하게 하는 수단 및 충돌을 검출하고 상기 반도체 디바이스가 상기 반도체 디바이스가 상기 마스터 ID 위치에서 임의의 다른 마스터 ID 숫자를 검출하면 상기 아비트레이션 수단을 가동시키는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제143항에 있어서, 상기 시스템 버스 아키텍처는 버스사이클 동안 상기 버스상으로 정보를 반송하는 수단을 포함하고, 상기 반도체 디바이스는 또한 각각의 상기 요구패키트를 송신하는 동안 최소한 하나의 선택된 버스사이클중에 선택된 버스라인 또는 라인들을 구동하는 수단, 다른 상기 마스터 디바이스가 사이 충돌 요구패키트중 하나를 송신하는지 알기 위하여 상기 선택된 버스라인 또는 라인들은 모니터하는 수단 및 모든 상기 마스터 디바이스에게 충돌이 발생했음을 통지하고 상기 아비트레이션 수단을 가동시키는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제144항에 있어서, 상기 반도체 디바이스는 또한 요구패키트를 송신할 때, 최소한 하나의 선택된 버스사이클동안 선택된 버스라인 또는 라인들을 임의의 전류로 구동하는 수단, 다른 상기 마스터 디바이스가 상기 선택된 버스라인 또는 라인들을 구동하고 있는지 알기 위하여 정상전류보다 큰 전류동안 그 라인 또는 라인들을 모니터하는 수단, 정상전류보다 큰 상기 전류를 검출하는 수단 및 모든 상기 마스터 디바이스에게 충돌이 발생했음을 통지하고 상기 아비트레이션 수단을 가동하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제142항에 있어서, 상기 아비트레이션수단은 아비틀레이션 사이클을 개시하는 수단, 상기 아비트레이션 사이클의 시작과 관련하여 최소한 하나의 선택된 버스사이클 동안 각각의 상기 마스터 디바이스로 단일버스라인을 할당하는 수단, 사용가능한 버스라인보다 많은 마스터 디바이스가 존재한다면 상기 선택된 버스사이크중 한사이클중 한 사이클동안 단일버스라인으로 각각의 상기 마스터 디바이스를 할당하는 수단, 상기 충돌 요구패키트중 하나를 송신하는 각각의 상기 미스터 디바이스가 상기 선택된 버스사이클동안 상기 마스터버스로 할당된 상기 버스라인을 구동하는 수단, 및 상기 마스터 디바이스중 최소한 하나내에서 상기 충돌 요구패키트중 하나를 송신한 마스터 디바이스에 관한 정보를 저장하여, 그것에 의하여 상기 마스터 디바이스가 상기 아비트레이션 사이클동안 선택된 버스라인을 모니터하고 상기 충돌 요구패키트중 하나를 송신한 각각의 상기 마스터 디바이스를 식별할 수 있게 하는 수단을 특징으로 하는 반도체 디바이스.
- 제142항에 있어서, 상기 아비트레이션수단은 상기 충돌 요구패키트중 하나를 송신한 각각의 상기 마스터 디바이스를 식별 하는 수단, 상기 충돌 요구패키트중 하나를 송신한 각각의 상기 마스터 디바이스로 우선 순위를 할당하는 수단, 및 상기 충돌 요구패키트중 하나를 송신한 각각의 상기 마스터디바이스가 그 우선순위에 따라 순차적으로 버스를 억세스할 수 있게 허락하는 수단으로 구성된 것을 특징으로 하는 반도체 디바이스.
- 제142항에 있어서, 상기 우선순위는 각각의 상기 마스터 디바이스의 물리적 위치에 기초한 것을 특징으로 하는 반도체 디바이스.
- 제142항에 있어서, 상기 우선순위는 상기 마스터 디바이스의 상기 마스터 ID 숫자에 기초한 것을 특징으로 하는 반도체 디바이스.※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US51089890A | 1990-04-18 | 1990-04-18 | |
US510898 | 1990-04-18 | ||
PCT/US1991/002590 WO1991016680A1 (en) | 1990-04-18 | 1991-04-16 | Integrated circuit i/o using a high preformance bus interface |
Publications (2)
Publication Number | Publication Date |
---|---|
KR937000918A true KR937000918A (ko) | 1993-03-16 |
KR100201057B1 KR100201057B1 (ko) | 1999-06-15 |
Family
ID=24032637
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019920702584A KR100201057B1 (ko) | 1990-04-18 | 1991-04-16 | 고성능 버스 인터페이스를 사용하는 집적회로 입출력 |
Country Status (7)
Country | Link |
---|---|
US (47) | US5606717A (ko) |
EP (7) | EP1816570A3 (ko) |
JP (3) | JP3414393B2 (ko) |
KR (1) | KR100201057B1 (ko) |
DE (15) | DE02000378T1 (ko) |
IL (4) | IL96808A (ko) |
WO (1) | WO1991016680A1 (ko) |
Families Citing this family (744)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR960003526B1 (ko) * | 1992-10-02 | 1996-03-14 | 삼성전자주식회사 | 반도체 메모리장치 |
US5093807A (en) | 1987-12-23 | 1992-03-03 | Texas Instruments Incorporated | Video frame storage system |
US5587962A (en) * | 1987-12-23 | 1996-12-24 | Texas Instruments Incorporated | Memory circuit accommodating both serial and random access including an alternate address buffer register |
USRE40552E1 (en) | 1990-04-06 | 2008-10-28 | Mosaid Technologies, Inc. | Dynamic random access memory using imperfect isolating transistors |
GB9007790D0 (en) * | 1990-04-06 | 1990-06-06 | Lines Valerie L | Dynamic memory wordline driver scheme |
GB9007791D0 (en) * | 1990-04-06 | 1990-06-06 | Foss Richard C | High voltage boosted wordline supply charge pump and regulator for dram |
EP1004956B2 (en) | 1990-04-18 | 2009-02-11 | Rambus Inc. | Method of operating a synchronous memory having a variable data output length |
US6751696B2 (en) * | 1990-04-18 | 2004-06-15 | Rambus Inc. | Memory device having a programmable register |
IL96808A (en) * | 1990-04-18 | 1996-03-31 | Rambus Inc | Introductory / Origin Circuit Agreed Using High-Performance Brokerage |
US6324120B2 (en) * | 1990-04-18 | 2001-11-27 | Rambus Inc. | Memory device having a variable data output length |
US6249481B1 (en) | 1991-10-15 | 2001-06-19 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
US6223264B1 (en) * | 1991-10-24 | 2001-04-24 | Texas Instruments Incorporated | Synchronous dynamic random access memory and data processing system using an address select signal |
US5498990A (en) * | 1991-11-05 | 1996-03-12 | Monolithic System Technology, Inc. | Reduced CMOS-swing clamping circuit for bus lines |
US5471632A (en) * | 1992-01-10 | 1995-11-28 | Digital Equipment Corporation | System for transferring data between a processor and a system bus including a device which packs, unpacks, or buffers data blocks being transferred |
DE4390991T1 (de) * | 1992-03-06 | 1995-02-23 | Rambus Inc | Verfahren und Schaltungsanordnung zum Minimieren der Takt-Daten-Schieflage in einem Bussystem |
USRE39879E1 (en) * | 1992-03-06 | 2007-10-09 | Rambus, Inc. | Method of transferring data by transmitting lower order and upper order memory address bits in separate words with respective op codes and start information |
US5715407A (en) * | 1992-03-06 | 1998-02-03 | Rambus, Inc. | Process and apparatus for collision detection on a parallel bus by monitoring a first line of the bus during even bus cycles for indications of overlapping packets |
JP2868141B2 (ja) * | 1992-03-16 | 1999-03-10 | 株式会社日立製作所 | ディスクアレイ装置 |
US5254883A (en) * | 1992-04-22 | 1993-10-19 | Rambus, Inc. | Electrical current source circuitry for a bus |
US5485490A (en) * | 1992-05-28 | 1996-01-16 | Rambus, Inc. | Method and circuitry for clock synchronization |
USRE38482E1 (en) * | 1992-05-28 | 2004-03-30 | Rambus Inc. | Delay stage circuitry for a ring oscillator |
WO1994003901A1 (en) * | 1992-08-10 | 1994-02-17 | Monolithic System Technology, Inc. | Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration |
DE69316955T2 (de) * | 1992-09-18 | 1998-07-30 | Hitachi Ltd | Rechenanlage mit synchronem, dynamischem Speicher |
US6279116B1 (en) | 1992-10-02 | 2001-08-21 | Samsung Electronics Co., Ltd. | Synchronous dynamic random access memory devices that utilize clock masking signals to control internal clock signal generation |
US5511024A (en) * | 1993-06-02 | 1996-04-23 | Rambus, Inc. | Dynamic random access memory system |
US5420987A (en) * | 1993-07-19 | 1995-05-30 | 3 Com Corporation | Method and apparatus for configuring a selected adapter unit on a common bus in the presence of other adapter units |
JP3579461B2 (ja) | 1993-10-15 | 2004-10-20 | 株式会社ルネサステクノロジ | データ処理システム及びデータ処理装置 |
US5469435A (en) * | 1994-01-25 | 1995-11-21 | Apple Computer, Inc. | Bus deadlock avoidance during master split-transactions |
US5631734A (en) | 1994-02-10 | 1997-05-20 | Affymetrix, Inc. | Method and apparatus for detection of fluorescently labeled materials |
EP0675478B1 (en) | 1994-03-16 | 2000-09-13 | Brooktree Corporation | Multimedia graphics systems with continuous high clock rate |
GB9406477D0 (en) * | 1994-03-31 | 1994-05-25 | D2B Systems Co Ltd | Interconnection of local communication bus systems |
US5655113A (en) | 1994-07-05 | 1997-08-05 | Monolithic System Technology, Inc. | Resynchronization circuit for a memory system and method of operating same |
US5508968A (en) * | 1994-08-12 | 1996-04-16 | International Business Machines Corporation | Dynamic random access memory persistent page implemented as processor register sets |
US5796673A (en) * | 1994-10-06 | 1998-08-18 | Mosaid Technologies Incorporated | Delay locked loop implementation in a synchronous dynamic random access memory |
US5568651A (en) * | 1994-11-03 | 1996-10-22 | Digital Equipment Corporation | Method for detection of configuration types and addressing modes of a dynamic RAM |
US5715437A (en) | 1994-11-10 | 1998-02-03 | Brooktree Corporation | System for, and method of, processing in hardware commands received from software without polling of the hardware by the software |
JPH08278916A (ja) * | 1994-11-30 | 1996-10-22 | Hitachi Ltd | マルチチャネルメモリシステム、転送情報同期化方法及び信号転送回路 |
US5606710A (en) * | 1994-12-20 | 1997-02-25 | National Semiconductor Corporation | Multiple chip package processor having feed through paths on one die |
US5717931A (en) * | 1994-12-20 | 1998-02-10 | Motorola, Inc. | Method and apparatus for communicating between master and slave electronic devices where the slave device may be hazardous |
US5699516A (en) * | 1994-12-22 | 1997-12-16 | Motorola, Inc. | Method and apparatus for implementing a in-order termination bus protocol within a data processing system |
KR100473308B1 (ko) | 1995-01-31 | 2005-03-14 | 가부시끼가이샤 히다치 세이사꾸쇼 | 불휘발성 메모리 장치 |
JP4341043B2 (ja) * | 1995-03-06 | 2009-10-07 | 真彦 久野 | I/o拡張装置,外部記憶装置,この外部記憶装置へのアクセス方法及び装置 |
US5592123A (en) * | 1995-03-07 | 1997-01-07 | Linfinity Microelectronics, Inc. | Frequency stability bootstrapped current mirror |
US5737748A (en) * | 1995-03-15 | 1998-04-07 | Texas Instruments Incorporated | Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory |
EP0738977B1 (en) * | 1995-03-31 | 2002-07-03 | Sun Microsystems, Inc. | Method and apparatus for quickly initiating memory accesses in a multiprocessor cache coherent computer system |
US5822341A (en) * | 1995-04-06 | 1998-10-13 | Advanced Hardware Architectures, Inc. | Multiport RAM for use within a viterbi decoder |
US5635852A (en) * | 1995-04-17 | 1997-06-03 | Linfinity Microelectronics, Inc. | Controllable actice terminator for a computer bus |
US5608312A (en) * | 1995-04-17 | 1997-03-04 | Linfinity Microelectronics, Inc. | Source and sink voltage regulator for terminators |
US5787267A (en) * | 1995-06-07 | 1998-07-28 | Monolithic System Technology, Inc. | Caching method and circuit for a memory system with circuit module architecture |
JP2630311B2 (ja) * | 1995-06-15 | 1997-07-16 | 日本電気株式会社 | 半導体集積回路装置 |
US5748920A (en) * | 1995-06-23 | 1998-05-05 | Cirrus Logic, Inc. | Transaction queue in a graphics controller chip |
EP0752666A3 (en) * | 1995-07-06 | 2004-04-28 | Sun Microsystems, Inc. | Method and apparatus for fast-forwarding slave requests in a packet-switched computer system |
US5742840A (en) * | 1995-08-16 | 1998-04-21 | Microunity Systems Engineering, Inc. | General purpose, multiple precision parallel operation, programmable media processor |
US5752076A (en) * | 1995-08-31 | 1998-05-12 | Intel Corporation | Dynamic programming of bus master channels by intelligent peripheral devices using communication packets |
US5537353A (en) * | 1995-08-31 | 1996-07-16 | Cirrus Logic, Inc. | Low pin count-wide memory devices and systems and methods using the same |
US6025840A (en) * | 1995-09-27 | 2000-02-15 | Cirrus Logic, Inc. | Circuits, systems and methods for memory mapping and display control systems using the same |
US5895480A (en) * | 1995-10-10 | 1999-04-20 | Holtek Microelectronics, Inc. | Method of and means for accessing an address by respectively substracting base addresses of memory integrated circuits from an access address |
US6810449B1 (en) | 1995-10-19 | 2004-10-26 | Rambus, Inc. | Protocol for communication with dynamic memory |
US5748914A (en) * | 1995-10-19 | 1998-05-05 | Rambus, Inc. | Protocol for communication with dynamic memory |
US6035369A (en) | 1995-10-19 | 2000-03-07 | Rambus Inc. | Method and apparatus for providing a memory with write enable information |
US6470405B2 (en) * | 1995-10-19 | 2002-10-22 | Rambus Inc. | Protocol for communication with dynamic memory |
US5636174A (en) * | 1996-01-11 | 1997-06-03 | Cirrus Logic, Inc. | Fast cycle time-low latency dynamic random access memories and systems and methods using the same |
US5944807A (en) | 1996-02-06 | 1999-08-31 | Opti Inc. | Compact ISA-bus interface |
US5815673A (en) * | 1996-03-01 | 1998-09-29 | Samsung Electronics Co., Ltd. | Method and apparatus for reducing latency time on an interface by overlapping transmitted packets |
DE69625327D1 (de) * | 1996-03-20 | 2003-01-23 | St Microelectronics Srl | Zeitzuteilender interner Bus, insbesondere für nichtflüchtige Speicher |
US6317803B1 (en) | 1996-03-29 | 2001-11-13 | Intel Corporation | High-throughput interconnect having pipelined and non-pipelined bus transaction modes |
US5911051A (en) * | 1996-03-29 | 1999-06-08 | Intel Corporation | High-throughput interconnect allowing bus transactions based on partial access requests |
US5872940A (en) * | 1996-04-01 | 1999-02-16 | Motorola, Inc. | Programmable read/write access signal and method therefor |
US5906003A (en) * | 1996-04-17 | 1999-05-18 | Cirrus Logic, Inc. | Memory device with an externally selectable-width I/O port and systems and methods using the same |
US5838631A (en) | 1996-04-19 | 1998-11-17 | Integrated Device Technology, Inc. | Fully synchronous pipelined ram |
US5835965A (en) * | 1996-04-24 | 1998-11-10 | Cirrus Logic, Inc. | Memory system with multiplexed input-output port and memory mapping capability |
US5829016A (en) * | 1996-04-24 | 1998-10-27 | Cirrus Logic, Inc. | Memory system with multiplexed input-output port and systems and methods using the same |
US6209071B1 (en) * | 1996-05-07 | 2001-03-27 | Rambus Inc. | Asynchronous request/synchronous data dynamic random access memory |
US6009487A (en) * | 1996-05-31 | 1999-12-28 | Rambus Inc. | Method and apparatus for setting a current of an output driver for the high speed bus |
US5857083A (en) * | 1996-06-07 | 1999-01-05 | Yamaha Corporation | Bus interfacing device for interfacing a secondary peripheral bus with a system having a host CPU and a primary peripheral bus |
US5815456A (en) * | 1996-06-19 | 1998-09-29 | Cirrus Logic, Inc. | Multibank -- multiport memories and systems and methods using the same |
US5845098A (en) * | 1996-06-24 | 1998-12-01 | Motorola Inc. | Address lines load reduction |
US5901293A (en) * | 1996-06-25 | 1999-05-04 | Claxton; Daniel Dean | Bus interface controller for serially-accessed variable-access-time memory device |
US5734661A (en) * | 1996-09-20 | 1998-03-31 | Micron Technology, Inc. | Method and apparatus for providing external access to internal integrated circuit test circuits |
US5870616A (en) * | 1996-10-04 | 1999-02-09 | International Business Machines Corporation | System and method for reducing power consumption in an electronic circuit |
DE19758674B4 (de) * | 1996-10-09 | 2007-07-05 | Fujitsu Ltd., Kawasaki | Signalübertragungssystem zur Übertragung von Signalen zwischen LSI-Chips, Empfängerschaltung zur Verwendung in dem Signalübertragungssystem und Halbleiter-Speichervorrichtung, die das Signalübertragungssystem verwendet |
US5872736A (en) * | 1996-10-28 | 1999-02-16 | Micron Technology, Inc. | High speed input buffer |
US5917758A (en) * | 1996-11-04 | 1999-06-29 | Micron Technology, Inc. | Adjustable output driver circuit |
US5774135A (en) * | 1996-11-05 | 1998-06-30 | Vlsi, Technology, Inc. | Non-contiguous memory location addressing scheme |
US5915102A (en) * | 1996-11-06 | 1999-06-22 | International Business Machines Corporation | Common arbiter interface device with arbitration configuration for centralized common bus arbitration |
US6076127A (en) * | 1996-11-06 | 2000-06-13 | International Business Machines Corporation | Configuration of a single point bus arbitration scheme using on-chip arbiters |
US5949254A (en) * | 1996-11-26 | 1999-09-07 | Micron Technology, Inc. | Adjustable output driver circuit |
US6115318A (en) * | 1996-12-03 | 2000-09-05 | Micron Technology, Inc. | Clock vernier adjustment |
JP3177464B2 (ja) * | 1996-12-12 | 2001-06-18 | 株式会社日立製作所 | 入出力回路セル及び半導体集積回路装置 |
US5838177A (en) * | 1997-01-06 | 1998-11-17 | Micron Technology, Inc. | Adjustable output driver circuit having parallel pull-up and pull-down elements |
US5894586A (en) * | 1997-01-23 | 1999-04-13 | Xionics Document Technologies, Inc. | System for providing access to memory in which a second processing unit is allowed to access memory during a time slot assigned to a first processing unit |
US6125157A (en) | 1997-02-06 | 2000-09-26 | Rambus, Inc. | Delay-locked loop circuitry for clock delay adjustment |
US5953263A (en) * | 1997-02-10 | 1999-09-14 | Rambus Inc. | Synchronous memory device having a programmable register and method of controlling same |
US6104209A (en) | 1998-08-27 | 2000-08-15 | Micron Technology, Inc. | Low skew differential receiver with disable feature |
US6230245B1 (en) | 1997-02-11 | 2001-05-08 | Micron Technology, Inc. | Method and apparatus for generating a variable sequence of memory device command signals |
US5920518A (en) * | 1997-02-11 | 1999-07-06 | Micron Technology, Inc. | Synchronous clock generator including delay-locked loop |
US5940608A (en) | 1997-02-11 | 1999-08-17 | Micron Technology, Inc. | Method and apparatus for generating an internal clock signal that is synchronized to an external clock signal |
US5987576A (en) * | 1997-02-27 | 1999-11-16 | Hewlett-Packard Company | Method and apparatus for generating and distributing clock signals with minimal skew |
US5977798A (en) * | 1997-02-28 | 1999-11-02 | Rambus Incorporated | Low-latency small-swing clocked receiver |
US5946244A (en) | 1997-03-05 | 1999-08-31 | Micron Technology, Inc. | Delay-locked loop with binary-coupled capacitor |
US6175894B1 (en) | 1997-03-05 | 2001-01-16 | Micron Technology, Inc. | Memory device command buffer apparatus and method and memory devices and computer systems using same |
US5956502A (en) * | 1997-03-05 | 1999-09-21 | Micron Technology, Inc. | Method and circuit for producing high-speed counts |
US5898638A (en) * | 1997-03-11 | 1999-04-27 | Micron Technology, Inc. | Latching wordline driver for multi-bank memory |
US5870347A (en) | 1997-03-11 | 1999-02-09 | Micron Technology, Inc. | Multi-bank memory input/output line selection |
US6088761A (en) * | 1997-03-31 | 2000-07-11 | Sun Microsystems, Inc. | Reduced pin system interface |
US5896404A (en) * | 1997-04-04 | 1999-04-20 | International Business Machines Corporation | Programmable burst length DRAM |
US5831929A (en) * | 1997-04-04 | 1998-11-03 | Micron Technology, Inc. | Memory device with staggered data paths |
JP3189727B2 (ja) | 1997-04-15 | 2001-07-16 | 日本電気株式会社 | コプロセッサ内蔵パケット型メモリlsi、それを用いたメモリシステム及びそれらの制御方法 |
JP2002501654A (ja) | 1997-05-30 | 2002-01-15 | ミクロン テクノロジー,インコーポレイテッド | 256Megダイナミックランダムアクセスメモリ |
TW378330B (en) | 1997-06-03 | 2000-01-01 | Fujitsu Ltd | Semiconductor memory device |
US6215192B1 (en) | 1997-06-12 | 2001-04-10 | Matsushita Electric Industrial Co., Ltd. | Integrated circuit package and integrated circuit package control system |
US6014759A (en) * | 1997-06-13 | 2000-01-11 | Micron Technology, Inc. | Method and apparatus for transferring test data from a memory array |
US5996043A (en) | 1997-06-13 | 1999-11-30 | Micron Technology, Inc. | Two step memory device command buffer apparatus and method and memory devices and computer systems using same |
US6484244B1 (en) | 1997-06-17 | 2002-11-19 | Micron Technology, Inc. | Method and system for storing and processing multiple memory commands |
US6115823A (en) * | 1997-06-17 | 2000-09-05 | Amphus, Inc. | System and method for task performance based dynamic distributed power management in a computer system and design method therefor |
US5987614A (en) * | 1997-06-17 | 1999-11-16 | Vadem | Distributed power management system and method for computer |
US6173432B1 (en) | 1997-06-20 | 2001-01-09 | Micron Technology, Inc. | Method and apparatus for generating a sequence of clock signals |
US6266379B1 (en) * | 1997-06-20 | 2001-07-24 | Massachusetts Institute Of Technology | Digital transmitter with equalization |
KR100213241B1 (ko) * | 1997-06-23 | 1999-08-02 | 윤종용 | 데이터 입출력 회로 및 데이터 입출력 방법 |
US6286062B1 (en) | 1997-07-01 | 2001-09-04 | Micron Technology, Inc. | Pipelined packet-oriented memory system having a unidirectional command and address bus and a bidirectional data bus |
US5953284A (en) * | 1997-07-09 | 1999-09-14 | Micron Technology, Inc. | Method and apparatus for adaptively adjusting the timing of a clock signal used to latch digital signals, and memory device using same |
US6044429A (en) | 1997-07-10 | 2000-03-28 | Micron Technology, Inc. | Method and apparatus for collision-free data transfers in a memory device with selectable data or address paths |
US5978869A (en) * | 1997-07-21 | 1999-11-02 | International Business Machines Corporation | Enhanced dual speed bus computer system |
US6011732A (en) * | 1997-08-20 | 2000-01-04 | Micron Technology, Inc. | Synchronous clock generator including a compound delay-locked loop |
US6044413A (en) * | 1997-08-22 | 2000-03-28 | Hewlett-Packard Company | Method of concurrent bus operation for bus controlled devices operating in different contexts |
US5926047A (en) | 1997-08-29 | 1999-07-20 | Micron Technology, Inc. | Synchronous clock generator including a delay-locked loop signal loss detector |
US5940609A (en) * | 1997-08-29 | 1999-08-17 | Micorn Technology, Inc. | Synchronous clock generator including a false lock detector |
US6101197A (en) * | 1997-09-18 | 2000-08-08 | Micron Technology, Inc. | Method and apparatus for adjusting the timing of signals over fine and coarse ranges |
US6119189A (en) * | 1997-09-24 | 2000-09-12 | Intel Corporation | Bus master transactions on a low pin count bus |
US6157970A (en) * | 1997-09-24 | 2000-12-05 | Intel Corporation | Direct memory access system using time-multiplexing for transferring address, data, and control and a separate control line for serially transmitting encoded DMA channel number |
US5991841A (en) * | 1997-09-24 | 1999-11-23 | Intel Corporation | Memory transactions on a low pin count bus |
US6131127A (en) * | 1997-09-24 | 2000-10-10 | Intel Corporation | I/O transactions on a low pin count bus |
US6067594A (en) * | 1997-09-26 | 2000-05-23 | Rambus, Inc. | High frequency bus system |
US9092595B2 (en) | 1997-10-08 | 2015-07-28 | Pact Xpp Technologies Ag | Multiprocessor having associated RAM units |
AU9604598A (en) | 1997-10-10 | 1999-05-03 | Rambus Incorporated | Apparatus and method for device timing compensation |
US6133773A (en) * | 1997-10-10 | 2000-10-17 | Rambus Inc | Variable delay element |
US6343352B1 (en) | 1997-10-10 | 2002-01-29 | Rambus Inc. | Method and apparatus for two step memory write operations |
US6401167B1 (en) * | 1997-10-10 | 2002-06-04 | Rambus Incorporated | High performance cost optimized memory |
US6513103B1 (en) * | 1997-10-10 | 2003-01-28 | Rambus Inc. | Method and apparatus for adjusting the performance of a synchronous memory system |
US6347354B1 (en) | 1997-10-10 | 2002-02-12 | Rambus Incorporated | Apparatus and method for maximizing information transfers over limited interconnect resources |
AU9693398A (en) * | 1997-10-10 | 1999-05-03 | Rambus Incorporated | Apparatus and method for pipelined memory operations |
US6009488A (en) * | 1997-11-07 | 1999-12-28 | Microlinc, Llc | Computer having packet-based interconnect channel |
KR100278650B1 (ko) * | 1997-11-07 | 2001-03-02 | 윤종용 | 패킷방식의명령을사용하는반도체메모리장치 |
US6138176A (en) * | 1997-11-14 | 2000-10-24 | 3Ware | Disk array controller with automated processor which routes I/O data according to addresses and commands received from disk drive controllers |
US6134630A (en) | 1997-11-14 | 2000-10-17 | 3Ware | High-performance bus architecture for disk array system |
US6965974B1 (en) * | 1997-11-14 | 2005-11-15 | Agere Systems Inc. | Dynamic partitioning of memory banks among multiple agents |
US6098114A (en) | 1997-11-14 | 2000-08-01 | 3Ware | Disk array system for processing and tracking the completion of I/O requests |
US6078891A (en) | 1997-11-24 | 2000-06-20 | Riordan; John | Method and system for collecting and processing marketing data |
CA2223119A1 (en) * | 1997-11-28 | 1999-05-28 | Mosaid Technologies Incorporated | Address counter cell |
KR100261218B1 (ko) * | 1997-12-08 | 2000-07-01 | 윤종용 | 반도체 메모리 장치의 핀 어사인먼트 방법 및 패킷 단위의 신호를 입력으로 하는 반도체 메모리장치 |
US6202119B1 (en) | 1997-12-19 | 2001-03-13 | Micron Technology, Inc. | Method and system for processing pipelined memory commands |
KR100252057B1 (ko) * | 1997-12-30 | 2000-05-01 | 윤종용 | 단일 및 이중 데이터 율 겸용 반도체 메모리 장치 |
GB9801654D0 (en) * | 1998-01-26 | 1998-03-25 | Memory Corp Plc | Memory system |
KR100272503B1 (ko) | 1998-01-26 | 2000-11-15 | 김영환 | 고속테스트기능의램버스주문형집적회로및그를이용한테스트방법 |
US6047346A (en) * | 1998-02-02 | 2000-04-04 | Rambus Inc. | System for adjusting slew rate on an output of a drive circuit by enabling a plurality of pre-drivers and a plurality of output drivers |
US5936877A (en) * | 1998-02-13 | 1999-08-10 | Micron Technology, Inc. | Die architecture accommodating high-speed semiconductor devices |
US5923594A (en) * | 1998-02-17 | 1999-07-13 | Micron Technology, Inc. | Method and apparatus for coupling data from a memory device using a single ended read data path |
US6115320A (en) | 1998-02-23 | 2000-09-05 | Integrated Device Technology, Inc. | Separate byte control on fully synchronous pipelined SRAM |
EP0983554B1 (en) * | 1998-02-25 | 2009-10-07 | Nxp B.V. | Interfacing peripheral devices via a slave group interface device to a bus |
US6269451B1 (en) | 1998-02-27 | 2001-07-31 | Micron Technology, Inc. | Method and apparatus for adjusting data timing by delaying clock signal |
US6314527B1 (en) | 1998-03-05 | 2001-11-06 | Micron Technology, Inc. | Recovery of useful areas of partially defective synchronous memory components |
US6212482B1 (en) | 1998-03-06 | 2001-04-03 | Micron Technology, Inc. | Circuit and method for specifying performance parameters in integrated circuits |
US6154821A (en) | 1998-03-10 | 2000-11-28 | Rambus Inc. | Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain |
US6327205B1 (en) | 1998-03-16 | 2001-12-04 | Jazio, Inc. | Signal latching of high bandwidth DRAM arrays when skew between different components is higher than signal rate |
EP1064767B8 (en) | 1998-03-16 | 2007-06-27 | Jazio Inc. | High speed signaling for interfacing vlsi cmos circuits |
US6160423A (en) * | 1998-03-16 | 2000-12-12 | Jazio, Inc. | High speed source synchronous signaling for interfacing VLSI CMOS circuits to transmission lines |
JP3259679B2 (ja) * | 1998-03-23 | 2002-02-25 | 日本電気株式会社 | 半導体メモリバーンインテスト回路 |
US6122698A (en) * | 1998-04-16 | 2000-09-19 | Samsung Electronics Co., Ltd | Data bus having conducting lines driven at multiple adjustable current levels to transfer multiple-bit data on each conducting line |
US6456628B1 (en) * | 1998-04-17 | 2002-09-24 | Intelect Communications, Inc. | DSP intercommunication network |
US6381708B1 (en) | 1998-04-28 | 2002-04-30 | Micron Technology, Inc. | Method for decoding addresses for a defective memory array |
US6381707B1 (en) | 1998-04-28 | 2002-04-30 | Micron Technology, Inc. | System for decoding addresses for a defective memory array |
US6216185B1 (en) | 1998-05-01 | 2001-04-10 | Acqis Technology, Inc. | Personal computer peripheral console with attached computer module |
US6345330B2 (en) | 1998-05-01 | 2002-02-05 | Acqis Technology, Inc. | Communication channel and interface devices for bridging computer interface buses |
JPH11316617A (ja) | 1998-05-01 | 1999-11-16 | Mitsubishi Electric Corp | 半導体回路装置 |
US6275782B1 (en) * | 1998-05-05 | 2001-08-14 | Advanced Micro Devices, Inc. | Non-intrusive performance monitoring |
JP4226686B2 (ja) | 1998-05-07 | 2009-02-18 | 株式会社東芝 | 半導体メモリシステム及び半導体メモリのアクセス制御方法及び半導体メモリ |
JP3727778B2 (ja) * | 1998-05-07 | 2005-12-14 | 株式会社東芝 | データ高速転送同期システム及びデータ高速転送同期方法 |
US6016282A (en) * | 1998-05-28 | 2000-01-18 | Micron Technology, Inc. | Clock vernier adjustment |
US6496945B2 (en) * | 1998-06-04 | 2002-12-17 | Compaq Information Technologies Group, L.P. | Computer system implementing fault detection and isolation using unique identification codes stored in non-volatile memory |
US6405280B1 (en) | 1998-06-05 | 2002-06-11 | Micron Technology, Inc. | Packet-oriented synchronous DRAM interface supporting a plurality of orderings for data block transfers within a burst sequence |
US6453377B1 (en) | 1998-06-16 | 2002-09-17 | Micron Technology, Inc. | Computer including optical interconnect, memory unit, and method of assembling a computer |
US6615189B1 (en) * | 1998-06-22 | 2003-09-02 | Bank One, Delaware, National Association | Debit purchasing of stored value card for use by and/or delivery to others |
US6505276B1 (en) | 1998-06-26 | 2003-01-07 | Nec Corporation | Processing-function-provided packet-type memory system and method for controlling the same |
KR100292625B1 (ko) * | 1998-06-29 | 2001-07-12 | 박종섭 | 고속인터페이스장치 |
US20010026533A1 (en) * | 1998-07-06 | 2001-10-04 | Andreas Schwager | Method to perform a scheduled action of network devices |
US6510503B2 (en) * | 1998-07-27 | 2003-01-21 | Mosaid Technologies Incorporated | High bandwidth memory interface |
US6175905B1 (en) | 1998-07-30 | 2001-01-16 | Micron Technology, Inc. | Method and system for bypassing pipelines in a pipelined memory command generator |
KR100306965B1 (ko) * | 1998-08-07 | 2001-11-30 | 윤종용 | 동기형반도체메모리장치의데이터전송회로 |
US6282210B1 (en) | 1998-08-12 | 2001-08-28 | Staktek Group L.P. | Clock driver with instantaneously selectable phase and method for use in data communication systems |
KR100295051B1 (ko) * | 1998-08-20 | 2001-07-12 | 윤종용 | 반도체메모리장치의입력버퍼및입력버퍼링방법 |
US6285962B1 (en) | 1998-08-26 | 2001-09-04 | Tanisys Technology, Inc. | Method and system for testing rambus memory modules |
US6338127B1 (en) | 1998-08-28 | 2002-01-08 | Micron Technology, Inc. | Method and apparatus for resynchronizing a plurality of clock signals used to latch respective digital signals, and memory device using same |
US6424034B1 (en) | 1998-08-31 | 2002-07-23 | Micron Technology, Inc. | High performance packaging for microprocessors and DRAM chips which minimizes timing skews |
US6219237B1 (en) | 1998-08-31 | 2001-04-17 | Micron Technology, Inc. | Structure and method for an electronic assembly |
US6586835B1 (en) | 1998-08-31 | 2003-07-01 | Micron Technology, Inc. | Compact system module with built-in thermoelectric cooling |
US6281042B1 (en) | 1998-08-31 | 2001-08-28 | Micron Technology, Inc. | Structure and method for a high performance electronic packaging assembly |
US6392296B1 (en) | 1998-08-31 | 2002-05-21 | Micron Technology, Inc. | Silicon interposer with optical connections |
US6349399B1 (en) * | 1998-09-03 | 2002-02-19 | Micron Technology, Inc. | Method and apparatus for generating expect data from a captured bit pattern, and memory device using same |
US6279090B1 (en) | 1998-09-03 | 2001-08-21 | Micron Technology, Inc. | Method and apparatus for resynchronizing a plurality of clock signals used in latching respective digital signals applied to a packetized memory device |
US6374376B1 (en) * | 1998-09-03 | 2002-04-16 | Micron Technology, Inc. | Circuit, system and method for arranging data output by semiconductor testers to packet-based devices under test |
US6029250A (en) * | 1998-09-09 | 2000-02-22 | Micron Technology, Inc. | Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same |
US6633947B1 (en) * | 1998-09-16 | 2003-10-14 | Intel Corporation | Memory expansion channel for propagation of control and request packets |
US6587912B2 (en) * | 1998-09-30 | 2003-07-01 | Intel Corporation | Method and apparatus for implementing multiple memory buses on a memory module |
US6321335B1 (en) | 1998-10-30 | 2001-11-20 | Acqis Technology, Inc. | Password protected modular computer method and device |
KR100275751B1 (ko) * | 1998-11-09 | 2000-12-15 | 윤종용 | 구조가 간단한 반도체 메모리 장치 |
US6430696B1 (en) | 1998-11-30 | 2002-08-06 | Micron Technology, Inc. | Method and apparatus for high speed data capture utilizing bit-to-bit timing correction, and memory device using same |
US6041016A (en) * | 1998-12-04 | 2000-03-21 | Intel Corporation | Optimizing page size in mixed memory array using address multiplexing |
US6374360B1 (en) | 1998-12-11 | 2002-04-16 | Micron Technology, Inc. | Method and apparatus for bit-to-bit timing correction of a high speed memory bus |
KR100327330B1 (ko) | 1998-12-17 | 2002-05-09 | 윤종용 | 램버스디램반도체장치 |
US6496876B1 (en) | 1998-12-21 | 2002-12-17 | Micron Technology, Inc. | System and method for storing a tag to identify a functional storage location in a memory device |
US6347350B1 (en) | 1998-12-22 | 2002-02-12 | Intel Corporation | Driving the last inbound signal on a line in a bus with a termination |
US6738844B2 (en) * | 1998-12-23 | 2004-05-18 | Intel Corporation | Implementing termination with a default signal on a bus line |
US6463494B1 (en) * | 1998-12-30 | 2002-10-08 | Intel Corporation | Method and system for implementing control signals on a low pin count bus |
US6457094B2 (en) * | 1999-01-22 | 2002-09-24 | Winbond Electronics Corporation | Memory array architecture supporting block write operation |
US6078532A (en) * | 1999-02-01 | 2000-06-20 | Cisco Technology Inc. | Method and apparatus for improving performance of DRAM subsystems with SRAM overlays |
US6255852B1 (en) | 1999-02-09 | 2001-07-03 | Micron Technology, Inc. | Current mode signal interconnects and CMOS amplifier |
GB2346990B (en) | 1999-02-20 | 2003-07-09 | Ibm | Client/server transaction data processing system with automatic distributed coordinator set up into a linear chain for use of linear commit optimization |
US6470060B1 (en) * | 1999-03-01 | 2002-10-22 | Micron Technology, Inc. | Method and apparatus for generating a phase dependent control signal |
US6334163B1 (en) * | 1999-03-05 | 2001-12-25 | International Business Machines Corp. | Elastic interface apparatus and method therefor |
US6330635B1 (en) * | 1999-04-16 | 2001-12-11 | Intel Corporation | Multiple user interfaces for an integrated flash device |
US6381684B1 (en) | 1999-04-26 | 2002-04-30 | Integrated Device Technology, Inc. | Quad data rate RAM |
JP3959966B2 (ja) * | 1999-04-27 | 2007-08-15 | セイコーエプソン株式会社 | 半導体集積回路 |
US6426984B1 (en) * | 1999-05-07 | 2002-07-30 | Rambus Incorporated | Apparatus and method for reducing clock signal phase skew in a master-slave system with multiple latent clock cycles |
US6718415B1 (en) * | 1999-05-14 | 2004-04-06 | Acqis Technology, Inc. | Computer system and method including console housing multiple computer modules having independent processing units, mass storage devices, and graphics controllers |
US6643777B1 (en) | 1999-05-14 | 2003-11-04 | Acquis Technology, Inc. | Data security method and device for computer modules |
KR100594198B1 (ko) * | 1999-05-14 | 2006-07-03 | 삼성전자주식회사 | 다중채널 램버스 시스템 |
GB9912129D0 (en) * | 1999-05-26 | 1999-07-28 | 3Com Corp | Communication device with forwarding database having having a trie search facility |
US6150845A (en) * | 1999-06-01 | 2000-11-21 | Fairchild Semiconductor Corp. | Bus hold circuit with overvoltage tolerance |
US6433786B1 (en) * | 1999-06-10 | 2002-08-13 | Intel Corporation | Memory architecture for video graphics environment |
JP2003505753A (ja) | 1999-06-10 | 2003-02-12 | ペーアーツェーテー インフォルマツィオーンステヒノロギー ゲゼルシャフト ミット ベシュレンクテル ハフツング | セル構造におけるシーケンス分割方法 |
US6211698B1 (en) | 1999-06-29 | 2001-04-03 | Hyundai Electronics Industries Co., Ltd. | High speed interface apparatus |
US7069406B2 (en) | 1999-07-02 | 2006-06-27 | Integrated Device Technology, Inc. | Double data rate synchronous SRAM with 100% bus utilization |
US6442636B1 (en) * | 1999-07-09 | 2002-08-27 | Princeton Technology Corporation | Parallel bus system capable of expanding peripheral devices |
KR100297735B1 (ko) | 1999-07-13 | 2001-11-01 | 윤종용 | 기능블록들의 효율적인 배치를 갖는 반도체 메모리장치 |
KR100304707B1 (ko) | 1999-07-13 | 2001-11-01 | 윤종용 | 기준전압의 전압강하를 보상할 수 있는 기준전압 레귤레이터 및 이를 구비하는 반도체 메모리장치 |
US6839393B1 (en) | 1999-07-14 | 2005-01-04 | Rambus Inc. | Apparatus and method for controlling a master/slave system via master device synchronization |
US6370668B1 (en) * | 1999-07-23 | 2002-04-09 | Rambus Inc | High speed memory system capable of selectively operating in non-chip-kill and chip-kill modes |
US6813251B1 (en) | 1999-07-27 | 2004-11-02 | Intel Corporation | Split Transaction protocol for a bus system |
US7554829B2 (en) | 1999-07-30 | 2009-06-30 | Micron Technology, Inc. | Transmission lines for CMOS integrated circuits |
US6477592B1 (en) | 1999-08-06 | 2002-11-05 | Integrated Memory Logic, Inc. | System for I/O interfacing for semiconductor chip utilizing addition of reference element to each data element in first data stream and interpret to recover data elements of second data stream |
US6467013B1 (en) | 1999-09-30 | 2002-10-15 | Intel Corporation | Memory transceiver to couple an additional memory channel to an existing memory channel |
US6851047B1 (en) | 1999-10-15 | 2005-02-01 | Xilinx, Inc. | Configuration in a configurable system on a chip |
US7269212B1 (en) | 2000-09-05 | 2007-09-11 | Rambus Inc. | Low-latency equalization in multi-level, multi-line communication systems |
US6646953B1 (en) * | 2000-07-06 | 2003-11-11 | Rambus Inc. | Single-clock, strobeless signaling system |
US6643787B1 (en) | 1999-10-19 | 2003-11-04 | Rambus Inc. | Bus system optimization |
US7161513B2 (en) * | 1999-10-19 | 2007-01-09 | Rambus Inc. | Apparatus and method for improving resolution of a current mode driver |
US6396329B1 (en) * | 1999-10-19 | 2002-05-28 | Rambus, Inc | Method and apparatus for receiving high speed signals with low latency |
US7124221B1 (en) * | 1999-10-19 | 2006-10-17 | Rambus Inc. | Low latency multi-level communication interface |
US6842789B1 (en) * | 1999-10-21 | 2005-01-11 | Sun Microsystems, Inc. | Method and apparatus for assigning unique device identifiers across a distributed computing system |
US7039047B1 (en) * | 1999-11-03 | 2006-05-02 | Intel Corporation | Virtual wire signaling |
US6643752B1 (en) * | 1999-12-09 | 2003-11-04 | Rambus Inc. | Transceiver with latency alignment circuitry |
US6557065B1 (en) | 1999-12-20 | 2003-04-29 | Intel Corporation | CPU expandability bus |
US6404660B1 (en) | 1999-12-23 | 2002-06-11 | Rambus, Inc. | Semiconductor package with a controlled impedance bus and method of forming same |
KR100316719B1 (ko) * | 1999-12-29 | 2001-12-13 | 윤종용 | 채널 버스 라인의 특성 열화를 방지하는 출력 드라이버 및이를 내장한 반도체 메모리 장치들을 장착하는 메모리 모듈 |
US6516384B1 (en) * | 1999-12-30 | 2003-02-04 | Intel Corporation | Method and apparatus to perform a round robin and locking cache replacement scheme |
US6910146B2 (en) * | 1999-12-31 | 2005-06-21 | Intel Corporation | Method and apparatus for improving timing margin in an integrated circuit as determined from recorded pass/fail indications for relative phase settings |
US6647507B1 (en) | 1999-12-31 | 2003-11-11 | Intel Corporation | Method for improving a timing margin in an integrated circuit by setting a relative phase of receive/transmit and distributed clock signals |
US7356639B2 (en) * | 2000-01-05 | 2008-04-08 | Rambus Inc. | Configurable width buffered module having a bypass circuit |
US20050010737A1 (en) * | 2000-01-05 | 2005-01-13 | Fred Ware | Configurable width buffered module having splitter elements |
US6502161B1 (en) * | 2000-01-05 | 2002-12-31 | Rambus Inc. | Memory system including a point-to-point linked memory subsystem |
US7266634B2 (en) * | 2000-01-05 | 2007-09-04 | Rambus Inc. | Configurable width buffered module having flyby elements |
US7010642B2 (en) * | 2000-01-05 | 2006-03-07 | Rambus Inc. | System featuring a controller device and a memory module that includes an integrated circuit buffer device and a plurality of integrated circuit memory devices |
US7363422B2 (en) * | 2000-01-05 | 2008-04-22 | Rambus Inc. | Configurable width buffered module |
US7404032B2 (en) * | 2000-01-05 | 2008-07-22 | Rambus Inc. | Configurable width buffered module having switch elements |
DE10002130A1 (de) * | 2000-01-19 | 2001-08-02 | Infineon Technologies Ag | Verfahren und Vorrichtung zum wechselweisen Betreiben eines Schreib-Lese-Speichers im Ein-Speicher-Betriebsmodus und im verschränkten Mehr-Speicher-Betriebsmodus |
US6600959B1 (en) * | 2000-02-04 | 2003-07-29 | International Business Machines Corporation | Method and apparatus for implementing microprocessor control logic using dynamic programmable logic arrays |
US6987823B1 (en) * | 2000-02-07 | 2006-01-17 | Rambus Inc. | System and method for aligning internal transmit and receive clocks |
US6847644B1 (en) | 2000-02-23 | 2005-01-25 | Cypress Semiconductor Corp. | Hybrid data transport scheme over optical networks |
US6999479B1 (en) | 2000-02-23 | 2006-02-14 | Cypress Semiconductor Corp. | Hybrid data transport scheme over optical networks |
US6778561B1 (en) | 2000-02-23 | 2004-08-17 | Cypress Semiconductor Corp. | Hybrid data transport scheme over optical networks |
US6973084B1 (en) | 2000-02-23 | 2005-12-06 | Cypress Semiconductor Corp. | Hybrid data transport scheme over optical networks |
US7006525B1 (en) | 2000-02-23 | 2006-02-28 | Cypress Semiconductor Corp. | Hybrid data transport scheme over optical networks |
JP3663106B2 (ja) * | 2000-02-28 | 2005-06-22 | 東芝機械株式会社 | データ入出力装置 |
US6198666B1 (en) * | 2000-02-29 | 2001-03-06 | International Business Machines Corporation | Control input timing-independent dynamic multiplexer circuit |
EP1130516A1 (en) * | 2000-03-01 | 2001-09-05 | Hewlett-Packard Company, A Delaware Corporation | Address mapping in solid state storage device |
US6578157B1 (en) | 2000-03-06 | 2003-06-10 | Micron Technology, Inc. | Method and apparatus for recovery of useful areas of partially defective direct rambus rimm components |
JP3980807B2 (ja) * | 2000-03-27 | 2007-09-26 | 株式会社東芝 | 半導体装置及び半導体モジュール |
US6980314B1 (en) * | 2000-04-03 | 2005-12-27 | Hewlett-Packard Development Company, L.P. | Method and device for improving utilization of a bus |
US7269765B1 (en) * | 2000-04-13 | 2007-09-11 | Micron Technology, Inc. | Method and apparatus for storing failing part locations in a module |
US6556952B1 (en) | 2000-05-04 | 2003-04-29 | Advanced Micro Devices, Inc. | Performance monitoring and optimizing of controller parameters |
US6606041B1 (en) | 2000-05-10 | 2003-08-12 | Micron Technology, Inc. | Predictive timing calibration for memory devices |
US6889357B1 (en) * | 2000-05-10 | 2005-05-03 | Micron Technology, Inc. | Timing calibration pattern for SLDRAM |
US6434081B1 (en) | 2000-05-12 | 2002-08-13 | Micron Technology, Inc. | Calibration technique for memory devices |
US6369652B1 (en) | 2000-05-15 | 2002-04-09 | Rambus Inc. | Differential amplifiers with current and resistance compensation elements for balanced output |
US6535966B1 (en) | 2000-05-17 | 2003-03-18 | Sun Microsystems, Inc. | System and method for using a page tracking buffer to reduce main memory latency in a computer system |
US6791555B1 (en) * | 2000-06-23 | 2004-09-14 | Micron Technology, Inc. | Apparatus and method for distributed memory control in a graphics processing system |
US6937664B1 (en) | 2000-07-18 | 2005-08-30 | Integrated Memory Logic, Inc. | System and method for multi-symbol interfacing |
DE10036643B4 (de) * | 2000-07-26 | 2005-12-22 | Robert Bosch Gmbh | Verfahren und Vorrichtung zur Auswahl von Peripherieelementen |
US6587804B1 (en) | 2000-08-14 | 2003-07-01 | Micron Technology, Inc. | Method and apparatus providing improved data path calibration for memory devices |
US6535450B1 (en) * | 2000-08-18 | 2003-03-18 | Micron Technology, Inc. | Method for selecting one or a bank of memory devices |
KR100389916B1 (ko) * | 2000-08-28 | 2003-07-04 | 삼성전자주식회사 | 메모리 모듈 및 메모리 컨트롤러 |
US6704881B1 (en) * | 2000-08-31 | 2004-03-09 | Micron Technology, Inc. | Method and apparatus for providing symmetrical output data for a double data rate DRAM |
US6862653B1 (en) | 2000-09-18 | 2005-03-01 | Intel Corporation | System and method for controlling data flow direction in a memory system |
US6530006B1 (en) | 2000-09-18 | 2003-03-04 | Intel Corporation | System and method for providing reliable transmission in a buffered memory system |
US6625685B1 (en) * | 2000-09-20 | 2003-09-23 | Broadcom Corporation | Memory controller with programmable configuration |
US6772352B1 (en) | 2000-09-29 | 2004-08-03 | Intel Corporation | Method and apparatus for reducing the rate of commands being issued if the rate exceeds a threshold which is based upon a temperature curve |
US6553449B1 (en) | 2000-09-29 | 2003-04-22 | Intel Corporation | System and method for providing concurrent row and column commands |
US6385094B1 (en) | 2000-09-29 | 2002-05-07 | Intel Corporation | Method and apparatus for achieving efficient memory subsystem write-to-read turnaround through read posting |
US6735709B1 (en) * | 2000-11-09 | 2004-05-11 | Micron Technology, Inc. | Method of timing calibration using slower data rate pattern |
US20020107943A1 (en) * | 2000-11-10 | 2002-08-08 | Heath Chester A. | Reset control in modular network computers |
US6580619B2 (en) | 2000-11-30 | 2003-06-17 | Intel Corporation | Multilayer reference plane in package devices |
US6628528B2 (en) | 2000-11-30 | 2003-09-30 | Theodore Zale Schoenborn | Current sharing in memory packages |
JPWO2002050910A1 (ja) * | 2000-12-01 | 2004-04-22 | 株式会社日立製作所 | 半導体集積回路装置の識別方法と半導体集積回路装置の製造方法及び半導体集積回路装置 |
US6925086B2 (en) * | 2000-12-12 | 2005-08-02 | International Business Machines Corporation | Packet memory system |
FR2818774B1 (fr) * | 2000-12-22 | 2003-03-21 | Wany Engineering Sas | Architecture electronique parallele comportant une pluralite d'unites de traitement connectees a un bus de communication, et adressables par leurs fonctionnalites |
GB2382898B (en) * | 2000-12-29 | 2005-06-29 | Zarlink Semiconductor Ltd | A method of managing data |
US6889336B2 (en) * | 2001-01-05 | 2005-05-03 | Micron Technology, Inc. | Apparatus for improving output skew for synchronous integrate circuits has delay circuit for generating unique clock signal by applying programmable delay to delayed clock signal |
DE10101553C1 (de) * | 2001-01-15 | 2002-07-25 | Infineon Technologies Ag | Halbleiterspeicher mit Verzögerungsregelkreis |
US6700827B2 (en) | 2001-02-08 | 2004-03-02 | Integrated Device Technology, Inc. | Cam circuit with error correction |
US6587936B1 (en) | 2001-02-21 | 2003-07-01 | Cisco Technology, Inc. | Multi-bank memory access method and apparatus |
US7123660B2 (en) * | 2001-02-27 | 2006-10-17 | Jazio, Inc. | Method and system for deskewing parallel bus channels to increase data transfer rates |
US6788593B2 (en) | 2001-02-28 | 2004-09-07 | Rambus, Inc. | Asynchronous, high-bandwidth memory component using calibrated timing elements |
US6889304B2 (en) | 2001-02-28 | 2005-05-03 | Rambus Inc. | Memory device supporting a dynamically configurable core organization |
US7610447B2 (en) | 2001-02-28 | 2009-10-27 | Rambus Inc. | Upgradable memory system with reconfigurable interconnect |
US9552047B2 (en) | 2001-03-05 | 2017-01-24 | Pact Xpp Technologies Ag | Multiprocessor having runtime adjustable clock and clock dependent power supply |
US9037807B2 (en) | 2001-03-05 | 2015-05-19 | Pact Xpp Technologies Ag | Processor arrangement on a chip including data processing, memory, and interface elements |
US9436631B2 (en) | 2001-03-05 | 2016-09-06 | Pact Xpp Technologies Ag | Chip including memory element storing higher level memory data on a page by page basis |
US9141390B2 (en) | 2001-03-05 | 2015-09-22 | Pact Xpp Technologies Ag | Method of processing data with an array of data processors according to application ID |
US7444531B2 (en) | 2001-03-05 | 2008-10-28 | Pact Xpp Technologies Ag | Methods and devices for treating and processing data |
US9250908B2 (en) | 2001-03-05 | 2016-02-02 | Pact Xpp Technologies Ag | Multi-processor bus and cache interconnection system |
WO2002076391A2 (en) * | 2001-03-23 | 2002-10-03 | Advanced Bionutrition | Microbial feeds for aquaculture and agriculture |
US6934823B2 (en) * | 2001-03-29 | 2005-08-23 | Intel Corporation | Method and apparatus for handling memory read return data from different time domains |
US7500075B1 (en) | 2001-04-17 | 2009-03-03 | Rambus Inc. | Mechanism for enabling full data bus utilization without increasing data granularity |
US7263148B2 (en) * | 2001-04-20 | 2007-08-28 | Mastek International | Source synchronous CDMA bus interface |
US8391039B2 (en) * | 2001-04-24 | 2013-03-05 | Rambus Inc. | Memory module with termination component |
US6675272B2 (en) * | 2001-04-24 | 2004-01-06 | Rambus Inc. | Method and apparatus for coordinating memory operations among diversely-located memory components |
KR100412130B1 (ko) | 2001-05-25 | 2003-12-31 | 주식회사 하이닉스반도체 | 램버스 디램의 출력전류 제어회로 |
US6532162B2 (en) | 2001-05-26 | 2003-03-11 | Intel Corporation | Reference plane of integrated circuit packages |
KR100434270B1 (ko) * | 2001-05-30 | 2004-06-04 | 엘지전자 주식회사 | 가전기기 네트워크 제어시스템 |
ITMI20011150A1 (it) * | 2001-05-30 | 2002-11-30 | St Microelectronics Srl | Multiplatore di colonna per memorie a semiconduttore |
DE10126610B4 (de) * | 2001-05-31 | 2007-11-29 | Infineon Technologies Ag | Speichermodul und Verfahren zum Testen eines Halbleiterchips |
US20020194363A1 (en) * | 2001-06-14 | 2002-12-19 | Cypress Semiconductor Corp. | Programmable protocol processing engine for network packet devices |
US20020191621A1 (en) * | 2001-06-14 | 2002-12-19 | Cypress Semiconductor Corp. | Programmable protocol processing engine for network packet devices |
US10031733B2 (en) | 2001-06-20 | 2018-07-24 | Scientia Sol Mentis Ag | Method for processing data |
US20030023492A1 (en) * | 2001-06-20 | 2003-01-30 | John Riordan | Method and system for collecting and processing marketing data |
US6801989B2 (en) | 2001-06-28 | 2004-10-05 | Micron Technology, Inc. | Method and system for adjusting the timing offset between a clock signal and respective digital signals transmitted along with that clock signal, and memory device and computer system using same |
US6710616B1 (en) * | 2001-07-30 | 2004-03-23 | Lsi Logic Corporation | Wafer level dynamic burn-in |
KR100422585B1 (ko) * | 2001-08-08 | 2004-03-12 | 주식회사 하이닉스반도체 | 링 - 레지스터 제어형 지연 고정 루프 및 그의 제어방법 |
US6806728B2 (en) * | 2001-08-15 | 2004-10-19 | Rambus, Inc. | Circuit and method for interfacing to a bus channel |
US7941056B2 (en) | 2001-08-30 | 2011-05-10 | Micron Technology, Inc. | Optical interconnect in high-speed memory systems |
US6735546B2 (en) | 2001-08-31 | 2004-05-11 | Matrix Semiconductor, Inc. | Memory device and method for temperature-based control over write and/or read operations |
US6724665B2 (en) | 2001-08-31 | 2004-04-20 | Matrix Semiconductor, Inc. | Memory device and method for selectable sub-array activation |
US7107374B1 (en) | 2001-09-05 | 2006-09-12 | Xilinx, Inc. | Method for bus mastering for devices resident in configurable system logic |
JP4000028B2 (ja) * | 2001-09-18 | 2007-10-31 | 株式会社東芝 | 同期型半導体記憶装置 |
DE10147138B4 (de) * | 2001-09-25 | 2009-01-22 | Qimonda Ag | Verfahren zur Integration von imperfekten Halbleiterspeichereinrichtungen in Datenverarbeitungsvorrichtungen |
JP3959264B2 (ja) * | 2001-09-29 | 2007-08-15 | 株式会社東芝 | 積層型半導体装置 |
JP4308461B2 (ja) * | 2001-10-05 | 2009-08-05 | ラムバス・インコーポレーテッド | 半導体記憶装置 |
US20030074434A1 (en) * | 2001-10-11 | 2003-04-17 | Jason James L. | Determination of message source in network communications |
WO2003036445A1 (en) * | 2001-10-22 | 2003-05-01 | Rambus Inc. | Timing calibration apparatus and method for a memory device signaling system |
US6542416B1 (en) | 2001-11-02 | 2003-04-01 | Rambus Inc. | Methods and arrangements for conditionally enforcing CAS latencies in memory devices |
US20030101312A1 (en) * | 2001-11-26 | 2003-05-29 | Doan Trung T. | Machine state storage apparatus and method |
US6838712B2 (en) * | 2001-11-26 | 2005-01-04 | Micron Technology, Inc. | Per-bit set-up and hold time adjustment for double-data rate synchronous DRAM |
KR100557550B1 (ko) | 2001-12-21 | 2006-03-03 | 주식회사 하이닉스반도체 | 클럭 동기 회로 |
JP4204226B2 (ja) * | 2001-12-28 | 2009-01-07 | 日本テキサス・インスツルメンツ株式会社 | デバイス識別方法、データ伝送方法、デバイス識別子付与装置、並びにデバイス |
US7099922B2 (en) * | 2002-01-23 | 2006-08-29 | International Business Machines Corporation | Method and system for simultaneous management of multiple tokens on a communication ring |
US7101770B2 (en) | 2002-01-30 | 2006-09-05 | Micron Technology, Inc. | Capacitive techniques to reduce noise in high speed interconnections |
US7698230B1 (en) * | 2002-02-15 | 2010-04-13 | ContractPal, Inc. | Transaction architecture utilizing transaction policy statements |
KR100412142B1 (ko) * | 2002-02-26 | 2003-12-31 | 주식회사 하이닉스반도체 | 패킷 전송 방식의 반도체 메모리 장치에서 스페셜 모드를구현하는 회로 |
US7174401B2 (en) | 2002-02-28 | 2007-02-06 | Lsi Logic Corporation | Look ahead split release for a data bus |
TWI235919B (en) * | 2002-03-05 | 2005-07-11 | Via Tech Inc | Data-transmission control method |
US6751113B2 (en) * | 2002-03-07 | 2004-06-15 | Netlist, Inc. | Arrangement of integrated circuits in a memory module |
US7235457B2 (en) | 2002-03-13 | 2007-06-26 | Micron Technology, Inc. | High permeability layered films to reduce noise in high speed interconnects |
EP1345026B1 (en) * | 2002-03-15 | 2010-05-05 | Affymetrix, Inc. | System and method for scanning of biological materials |
US9170812B2 (en) | 2002-03-21 | 2015-10-27 | Pact Xpp Technologies Ag | Data processing system having integrated pipelined array data processor |
US7135903B2 (en) * | 2002-09-03 | 2006-11-14 | Rambus Inc. | Phase jumping locked loop circuit |
US6911853B2 (en) * | 2002-03-22 | 2005-06-28 | Rambus Inc. | Locked loop with dual rail regulation |
US6759881B2 (en) * | 2002-03-22 | 2004-07-06 | Rambus Inc. | System with phase jumping locked loop circuit |
US6952123B2 (en) | 2002-03-22 | 2005-10-04 | Rambus Inc. | System with dual rail regulated locked loop |
US6922091B2 (en) | 2002-09-03 | 2005-07-26 | Rambus Inc. | Locked loop circuit with clock hold function |
FR2838006B1 (fr) * | 2002-04-02 | 2004-11-12 | St Microelectronics Sa | Dispositif et procede pour synchroniser un echange de donnees avec un organe distant |
US6563730B1 (en) | 2002-04-09 | 2003-05-13 | National Semiconductor Corporation | Low power static RAM architecture |
US6762961B2 (en) * | 2002-04-16 | 2004-07-13 | Sun Microsystems, Inc. | Variable delay compensation for data-dependent mismatch in characteristic of opposing devices of a sense amplifier |
US6948019B2 (en) * | 2002-04-30 | 2005-09-20 | Lsi Logic Corporation | Apparatus for arbitrating non-queued split master devices on a data bus |
US7231306B1 (en) * | 2002-04-30 | 2007-06-12 | Rambus Inc. | Method and apparatus for calibrating static timing offsets across multiple outputs |
US7020208B1 (en) | 2002-05-03 | 2006-03-28 | Pericom Semiconductor Corp. | Differential clock signals encoded with data |
US7133972B2 (en) * | 2002-06-07 | 2006-11-07 | Micron Technology, Inc. | Memory hub with internal cache and/or memory access prediction |
KR100437467B1 (ko) * | 2002-07-03 | 2004-06-23 | 삼성전자주식회사 | 연속 버스트 읽기 동작 모드를 갖는 멀티 칩 시스템 |
US7149824B2 (en) | 2002-07-10 | 2006-12-12 | Micron Technology, Inc. | Dynamically setting burst length of memory device by applying signal to at least one external pin during a read or write transaction |
KR100486250B1 (ko) * | 2002-07-10 | 2005-05-03 | 삼성전자주식회사 | 고주파수 동작을 위한 동기식 반도체 장치의 레이턴시제어 회로 및 그 방법 |
US7298667B2 (en) * | 2002-07-10 | 2007-11-20 | Samsung Electronic Co., Ltd. | Latency control circuit and method of latency control |
US6944091B2 (en) * | 2002-07-10 | 2005-09-13 | Samsung Electronics Co., Ltd. | Latency control circuit and method of latency control |
US8861667B1 (en) | 2002-07-12 | 2014-10-14 | Rambus Inc. | Clock data recovery circuit with equalizer clock calibration |
US7362800B1 (en) | 2002-07-12 | 2008-04-22 | Rambus Inc. | Auto-configured equalizer |
US7292629B2 (en) | 2002-07-12 | 2007-11-06 | Rambus Inc. | Selectable-tap equalizer |
US7200024B2 (en) * | 2002-08-02 | 2007-04-03 | Micron Technology, Inc. | System and method for optically interconnecting memory devices |
US7117316B2 (en) * | 2002-08-05 | 2006-10-03 | Micron Technology, Inc. | Memory hub and access method having internal row caching |
US7254331B2 (en) * | 2002-08-09 | 2007-08-07 | Micron Technology, Inc. | System and method for multiple bit optical data transmission in memory systems |
US7149874B2 (en) * | 2002-08-16 | 2006-12-12 | Micron Technology, Inc. | Memory hub bypass circuit and method |
US7124260B2 (en) * | 2002-08-26 | 2006-10-17 | Micron Technology, Inc. | Modified persistent auto precharge command protocol system and method for memory devices |
US7081896B1 (en) * | 2002-08-27 | 2006-07-25 | Nvidia Corporation | Memory request timing randomizer |
US6820181B2 (en) | 2002-08-29 | 2004-11-16 | Micron Technology, Inc. | Method and system for controlling memory accesses to memory modules having a memory hub architecture |
US7836252B2 (en) * | 2002-08-29 | 2010-11-16 | Micron Technology, Inc. | System and method for optimizing interconnections of memory devices in a multichip module |
US6711051B1 (en) | 2002-09-05 | 2004-03-23 | National Semiconductor Corporation | Static RAM architecture with bit line partitioning |
WO2004038599A1 (de) | 2002-09-06 | 2004-05-06 | Pact Xpp Technologies Ag | Rekonfigurierbare sequenzerstruktur |
US7102907B2 (en) * | 2002-09-09 | 2006-09-05 | Micron Technology, Inc. | Wavelength division multiplexed memory module, memory system and method |
US20040054864A1 (en) * | 2002-09-13 | 2004-03-18 | Jameson Neil Andrew | Memory controller |
US20040064686A1 (en) * | 2002-09-30 | 2004-04-01 | Miller Gregory L. | Method and apparatus for marking current memory configuration |
US6859434B2 (en) | 2002-10-01 | 2005-02-22 | Comsys Communication & Signal Processing Ltd. | Data transfer scheme in a communications system incorporating multiple processing elements |
US6982926B2 (en) * | 2002-10-04 | 2006-01-03 | Pgs Americas, Inc. | Apparatus and method for bubble shielding towed marine cable |
US20040081179A1 (en) * | 2002-10-23 | 2004-04-29 | Gregorcyk Arthur J. | Method and system for selecting between serial storage buses using data signals of the buses |
JP3773195B2 (ja) * | 2002-10-25 | 2006-05-10 | インターナショナル・ビジネス・マシーンズ・コーポレーション | メモリモジュール、情報処理装置、メモリモジュールに関する初期設定方法、並びにプログラム |
US7707351B2 (en) * | 2002-10-31 | 2010-04-27 | Ring Technology Enterprises Of Texas, Llc | Methods and systems for an identifier-based memory section |
US6879526B2 (en) * | 2002-10-31 | 2005-04-12 | Ring Technology Enterprises Llc | Methods and apparatus for improved memory access |
US7197662B2 (en) * | 2002-10-31 | 2007-03-27 | Ring Technology Enterprises, Llc | Methods and systems for a storage system |
US7415565B2 (en) * | 2002-10-31 | 2008-08-19 | Ring Technology Enterprises, Llc | Methods and systems for a storage system with a program-controlled switch for routing data |
US6954394B2 (en) * | 2002-11-27 | 2005-10-11 | Matrix Semiconductor, Inc. | Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions |
US7051229B2 (en) * | 2002-12-03 | 2006-05-23 | Alcatel Canada Inc. | Logical bus overlay for increasing the existing system bus data rate |
KR100506062B1 (ko) * | 2002-12-18 | 2005-08-05 | 주식회사 하이닉스반도체 | 복합형 메모리 장치 |
KR100506448B1 (ko) * | 2002-12-27 | 2005-08-08 | 주식회사 하이닉스반도체 | 불휘발성 강유전체 메모리를 이용한 인터리브 제어 장치 |
US7362697B2 (en) * | 2003-01-09 | 2008-04-22 | International Business Machines Corporation | Self-healing chip-to-chip interface |
JP4717798B2 (ja) * | 2003-01-13 | 2011-07-06 | ラムバス・インコーポレーテッド | 符号化書き込みマスキング |
US7313639B2 (en) * | 2003-01-13 | 2007-12-25 | Rambus Inc. | Memory system and device with serialized data transfer |
DE10302128B3 (de) * | 2003-01-21 | 2004-09-09 | Infineon Technologies Ag | Pufferverstärkeranordnung |
KR100507367B1 (ko) * | 2003-01-24 | 2005-08-05 | 주식회사 하이닉스반도체 | 불휘발성 강유전체 메모리를 이용한 직렬 버스 제어 장치 |
US6967896B2 (en) * | 2003-01-30 | 2005-11-22 | Saifun Semiconductors Ltd | Address scramble |
DE10307548A1 (de) * | 2003-02-21 | 2004-09-09 | Infineon Technologies Ag | Synchrones Speichersystem sowie Verfahren und Protokoll zur Kommunikation in einem synchronen Speichersystem |
JP2004259318A (ja) * | 2003-02-24 | 2004-09-16 | Renesas Technology Corp | 同期型半導体記憶装置 |
JP2004265265A (ja) * | 2003-03-04 | 2004-09-24 | Matsushita Electric Ind Co Ltd | データ転送制御装置 |
CN100337269C (zh) * | 2003-04-08 | 2007-09-12 | 华为技术有限公司 | 一种语音包汇聚转发实体和编解码实体配合的方法 |
US20050044174A1 (en) * | 2003-04-11 | 2005-02-24 | Sun Microsystems, Inc. | Multi-node computer system where active devices selectively initiate certain transactions using remote-type address packets |
US7234099B2 (en) * | 2003-04-14 | 2007-06-19 | International Business Machines Corporation | High reliability memory module with a fault tolerant address and command bus |
US6741111B1 (en) | 2003-04-21 | 2004-05-25 | Pericom Semiconductor Corp. | Data register for buffering double-data-rate DRAMs with reduced data-input-path power consumption |
US7028155B2 (en) * | 2003-04-22 | 2006-04-11 | Hewlett-Packard Development Company, L.P. | Master-slave data management system and method |
US6996785B1 (en) | 2003-04-25 | 2006-02-07 | Universal Network Machines, Inc . | On-chip packet-based interconnections using repeaters/routers |
US7287143B2 (en) * | 2003-04-30 | 2007-10-23 | Hynix Semiconductor Inc. | Synchronous memory device having advanced data align circuit |
US7266679B2 (en) * | 2003-05-01 | 2007-09-04 | Dell Products L.P. | System and method for reducing instability in an information handling system |
US20040225944A1 (en) * | 2003-05-09 | 2004-11-11 | Brueggen Christopher M. | Systems and methods for processing an error correction code word for storage in memory components |
US7392347B2 (en) * | 2003-05-10 | 2008-06-24 | Hewlett-Packard Development Company, L.P. | Systems and methods for buffering data between a coherency cache controller and memory |
CN100444141C (zh) * | 2003-05-13 | 2008-12-17 | 先进微装置公司 | 通过串行内存互连以将主机连接至内存模块的系统与方法 |
US20040232956A1 (en) * | 2003-05-22 | 2004-11-25 | Rambus Inc | Synchronized clocking |
DE10323415A1 (de) * | 2003-05-23 | 2004-12-30 | Infineon Technologies Ag | Speicheranordnung |
US6838902B1 (en) * | 2003-05-28 | 2005-01-04 | Actel Corporation | Synchronous first-in/first-out block memory for a field programmable gate array |
US7194581B2 (en) * | 2003-06-03 | 2007-03-20 | Intel Corporation | Memory channel with hot add/remove |
US7200787B2 (en) * | 2003-06-03 | 2007-04-03 | Intel Corporation | Memory channel utilizing permuting status patterns |
US7127629B2 (en) * | 2003-06-03 | 2006-10-24 | Intel Corporation | Redriving a data signal responsive to either a sampling clock signal or stable clock signal dependent on a mode signal |
US7165153B2 (en) | 2003-06-04 | 2007-01-16 | Intel Corporation | Memory channel with unidirectional links |
US8171331B2 (en) | 2003-06-04 | 2012-05-01 | Intel Corporation | Memory channel having deskew separate from redrive |
US7340537B2 (en) * | 2003-06-04 | 2008-03-04 | Intel Corporation | Memory channel with redundant presence detect |
US7386768B2 (en) | 2003-06-05 | 2008-06-10 | Intel Corporation | Memory channel with bit lane fail-over |
US7245145B2 (en) * | 2003-06-11 | 2007-07-17 | Micron Technology, Inc. | Memory module and method having improved signal routing topology |
US7168027B2 (en) | 2003-06-12 | 2007-01-23 | Micron Technology, Inc. | Dynamic synchronization of data capture on an optical or other high speed communications link |
US7047385B1 (en) * | 2003-06-16 | 2006-05-16 | Cisco Technology, Inc. | High-speed memory for use in networking systems |
US7120727B2 (en) * | 2003-06-19 | 2006-10-10 | Micron Technology, Inc. | Reconfigurable memory module and method |
US7107415B2 (en) * | 2003-06-20 | 2006-09-12 | Micron Technology, Inc. | Posted write buffers and methods of posting write requests in memory modules |
US7260685B2 (en) * | 2003-06-20 | 2007-08-21 | Micron Technology, Inc. | Memory hub and access method having internal prefetch buffers |
US7428644B2 (en) * | 2003-06-20 | 2008-09-23 | Micron Technology, Inc. | System and method for selective memory module power management |
DE10328658A1 (de) | 2003-06-26 | 2005-02-10 | Infineon Technologies Ag | Hub-Baustein für ein oder mehrere Speichermodule |
DE10330593B4 (de) * | 2003-07-07 | 2010-11-04 | Qimonda Ag | Integrierter Taktversorgungsbaustein für ein Speichermodul, Speichermodul, welches den integrierten Taktversorgungsbaustein umfasst, sowie Verfahren zum Betreiben des Speichermoduls unter Testbedingungen |
US7356627B2 (en) * | 2003-07-10 | 2008-04-08 | Nokia Corporation | Device identification |
US6987684B1 (en) | 2003-07-15 | 2006-01-17 | Integrated Device Technology, Inc. | Content addressable memory (CAM) devices having multi-block error detection logic and entry selective error correction logic therein |
US7193876B1 (en) | 2003-07-15 | 2007-03-20 | Kee Park | Content addressable memory (CAM) arrays having memory cells therein with different susceptibilities to soft errors |
US6870749B1 (en) | 2003-07-15 | 2005-03-22 | Integrated Device Technology, Inc. | Content addressable memory (CAM) devices with dual-function check bit cells that support column redundancy and check bit cells with reduced susceptibility to soft errors |
US7389364B2 (en) * | 2003-07-22 | 2008-06-17 | Micron Technology, Inc. | Apparatus and method for direct memory access in a hub-based memory system |
US7428245B1 (en) * | 2003-08-01 | 2008-09-23 | Staccato Communications, Inc. | Split medium access and control layer communications system |
US6861884B1 (en) * | 2003-08-04 | 2005-03-01 | Rambus Inc. | Phase synchronization for wide area integrated circuits |
US7317415B2 (en) | 2003-08-08 | 2008-01-08 | Affymetrix, Inc. | System, method, and product for scanning of biological materials employing dual analog integrators |
US7210059B2 (en) * | 2003-08-19 | 2007-04-24 | Micron Technology, Inc. | System and method for on-board diagnostics of memory modules |
US7133991B2 (en) * | 2003-08-20 | 2006-11-07 | Micron Technology, Inc. | Method and system for capturing and bypassing memory transactions in a hub-based memory system |
US20050050237A1 (en) * | 2003-08-28 | 2005-03-03 | Jeddeloh Joseph M. | Memory module and method having on-board data search capabilities and processor-based system using such memory modules |
US7136958B2 (en) * | 2003-08-28 | 2006-11-14 | Micron Technology, Inc. | Multiple processor system and method including multiple memory hub modules |
US7084894B2 (en) * | 2003-09-12 | 2006-08-01 | Hewlett-Packard Development Company, L.P. | Optical disc drive focusing apparatus |
US7310752B2 (en) * | 2003-09-12 | 2007-12-18 | Micron Technology, Inc. | System and method for on-board timing margin testing of memory modules |
US7177201B1 (en) | 2003-09-17 | 2007-02-13 | Sun Microsystems, Inc. | Negative bias temperature instability (NBTI) preconditioning of matched devices |
US6961276B2 (en) * | 2003-09-17 | 2005-11-01 | International Business Machines Corporation | Random access memory having an adaptable latency |
US7194593B2 (en) | 2003-09-18 | 2007-03-20 | Micron Technology, Inc. | Memory hub with integrated non-volatile memory |
US20050063506A1 (en) * | 2003-09-23 | 2005-03-24 | Sony Corporation | Method and system for jitter correction |
US7057958B2 (en) * | 2003-09-30 | 2006-06-06 | Sandisk Corporation | Method and system for temperature compensation for memory cells with temperature-dependent behavior |
US7164612B1 (en) | 2003-10-10 | 2007-01-16 | Sun Microsystems, Inc. | Test circuit for measuring sense amplifier and memory mismatches |
US7020035B1 (en) | 2003-10-10 | 2006-03-28 | Sun Microsystems, Inc. | Measuring and correcting sense amplifier and memory mismatches using NBTI |
US7120743B2 (en) | 2003-10-20 | 2006-10-10 | Micron Technology, Inc. | Arbitration system and method for memory responses in a hub-based memory system |
US7234070B2 (en) * | 2003-10-27 | 2007-06-19 | Micron Technology, Inc. | System and method for using a learning sequence to establish communications on a high-speed nonsynchronous interface in the absence of clock forwarding |
US7237042B2 (en) * | 2003-10-29 | 2007-06-26 | Intel Corporation | Mechanism for generating a virtual identifier |
US7177211B2 (en) * | 2003-11-13 | 2007-02-13 | Intel Corporation | Memory channel test fixture and method |
US7243205B2 (en) * | 2003-11-13 | 2007-07-10 | Intel Corporation | Buffered memory module with implicit to explicit memory command expansion |
US7065666B2 (en) | 2003-11-13 | 2006-06-20 | Micron Technology, Inc. | Apparatus and method for generating a delayed clock signal |
US7219294B2 (en) * | 2003-11-14 | 2007-05-15 | Intel Corporation | Early CRC delivery for partial frame |
US7143207B2 (en) * | 2003-11-14 | 2006-11-28 | Intel Corporation | Data accumulation between data path having redrive circuit and memory device |
US7447953B2 (en) | 2003-11-14 | 2008-11-04 | Intel Corporation | Lane testing with variable mapping |
US7304875B1 (en) | 2003-12-17 | 2007-12-04 | Integrated Device Technology. Inc. | Content addressable memory (CAM) devices that support background BIST and BISR operations and methods of operating same |
JP2005182872A (ja) * | 2003-12-17 | 2005-07-07 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP4741226B2 (ja) * | 2003-12-25 | 2011-08-03 | 株式会社日立製作所 | 半導体メモリモジュール、およびメモリシステム |
JP2005190036A (ja) * | 2003-12-25 | 2005-07-14 | Hitachi Ltd | 記憶制御装置及び記憶制御装置の制御方法 |
US7330992B2 (en) | 2003-12-29 | 2008-02-12 | Micron Technology, Inc. | System and method for read synchronization of memory modules |
US8504798B2 (en) * | 2003-12-30 | 2013-08-06 | Sandisk Technologies Inc. | Management of non-volatile memory systems having large erase blocks |
US7631138B2 (en) * | 2003-12-30 | 2009-12-08 | Sandisk Corporation | Adaptive mode switching of flash memory address mapping based on host usage characteristics |
US8250295B2 (en) | 2004-01-05 | 2012-08-21 | Smart Modular Technologies, Inc. | Multi-rank memory module that emulates a memory module having a different number of ranks |
KR100558557B1 (ko) * | 2004-01-20 | 2006-03-10 | 삼성전자주식회사 | 반도체 메모리 장치에서의 데이터 샘플링 방법 및 그에따른 데이터 샘플링 회로 |
US7042777B2 (en) * | 2004-01-28 | 2006-05-09 | Infineon Technologies Ag | Memory device with non-variable write latency |
US20050018495A1 (en) * | 2004-01-29 | 2005-01-27 | Netlist, Inc. | Arrangement of integrated circuits in a memory module |
US7188219B2 (en) | 2004-01-30 | 2007-03-06 | Micron Technology, Inc. | Buffer control system and method for a memory system having outstanding read and write request buffers |
US7181584B2 (en) * | 2004-02-05 | 2007-02-20 | Micron Technology, Inc. | Dynamic command and/or address mirroring system and method for memory modules |
US7788451B2 (en) | 2004-02-05 | 2010-08-31 | Micron Technology, Inc. | Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system |
US7412574B2 (en) | 2004-02-05 | 2008-08-12 | Micron Technology, Inc. | System and method for arbitration of memory responses in a hub-based memory system |
KR100604836B1 (ko) | 2004-02-26 | 2006-07-26 | 삼성전자주식회사 | 어드레스 버스 라인 상에 동시 양방향 입출력(sbdi/o)회로를 채용하는 메모리 시스템 |
US7289386B2 (en) | 2004-03-05 | 2007-10-30 | Netlist, Inc. | Memory module decoder |
US7916574B1 (en) | 2004-03-05 | 2011-03-29 | Netlist, Inc. | Circuit providing load isolation and memory domain translation for memory module |
US7366864B2 (en) | 2004-03-08 | 2008-04-29 | Micron Technology, Inc. | Memory hub architecture having programmable lane widths |
US7257683B2 (en) | 2004-03-24 | 2007-08-14 | Micron Technology, Inc. | Memory arbitration system and method having an arbitration packet protocol |
US7120723B2 (en) * | 2004-03-25 | 2006-10-10 | Micron Technology, Inc. | System and method for memory hub-based expansion bus |
US7447240B2 (en) * | 2004-03-29 | 2008-11-04 | Micron Technology, Inc. | Method and system for synchronizing communications links in a hub-based memory system |
US7902938B2 (en) | 2004-03-29 | 2011-03-08 | Nec Corporation | Data transmitter, data transmission line, and data transmission method |
US7213082B2 (en) | 2004-03-29 | 2007-05-01 | Micron Technology, Inc. | Memory hub and method for providing memory sequencing hints |
US6980042B2 (en) * | 2004-04-05 | 2005-12-27 | Micron Technology, Inc. | Delay line synchronizer apparatus and method |
US7590797B2 (en) * | 2004-04-08 | 2009-09-15 | Micron Technology, Inc. | System and method for optimizing interconnections of components in a multichip memory module |
US7142479B2 (en) | 2004-04-19 | 2006-11-28 | Nokia Corporation | Addressing data within dynamic random access memory |
TWI252409B (en) * | 2004-04-26 | 2006-04-01 | Sunplus Technology Co Ltd | Enhanced expandable time-sharing bus device |
US7162567B2 (en) * | 2004-05-14 | 2007-01-09 | Micron Technology, Inc. | Memory hub and method for memory sequencing |
US7222213B2 (en) * | 2004-05-17 | 2007-05-22 | Micron Technology, Inc. | System and method for communicating the synchronization status of memory modules during initialization of the memory modules |
US20050259692A1 (en) * | 2004-05-19 | 2005-11-24 | Zerbe Jared L | Crosstalk minimization in serial link systems |
DE102004025984A1 (de) * | 2004-05-26 | 2005-12-15 | Sms Demag Ag | Verfahren und Einrichtung für die Montage und für Funktionsprüfung von Walzarmaturen in Walzgerüsten oder in Walzstraßen, wie bspw. Tandemwalzstraßen |
US7363419B2 (en) | 2004-05-28 | 2008-04-22 | Micron Technology, Inc. | Method and system for terminating write commands in a hub-based memory system |
US7212423B2 (en) * | 2004-05-31 | 2007-05-01 | Intel Corporation | Memory agent core clock aligned to lane |
US7519788B2 (en) * | 2004-06-04 | 2009-04-14 | Micron Technology, Inc. | System and method for an asynchronous data buffer having buffer write and read pointers |
US7310748B2 (en) * | 2004-06-04 | 2007-12-18 | Micron Technology, Inc. | Memory hub tester interface and method for use thereof |
JP4610235B2 (ja) * | 2004-06-07 | 2011-01-12 | ルネサスエレクトロニクス株式会社 | 階層型モジュール |
DE102004031715B4 (de) * | 2004-06-30 | 2013-05-29 | Globalfoundries Inc. | Kombinierte On-Chip-Befehls- und Antwortdatenschnittstelle |
US20060004953A1 (en) * | 2004-06-30 | 2006-01-05 | Vogt Pete D | Method and apparatus for increased memory bandwidth |
US7383399B2 (en) * | 2004-06-30 | 2008-06-03 | Intel Corporation | Method and apparatus for memory compression |
DE102004032943A1 (de) * | 2004-07-07 | 2006-02-02 | Siemens Ag | Verfahren zur optimierten Zugriffssteuerung eines Mikro-Controllers auf einen Schaltkreis |
US7254659B2 (en) * | 2004-07-26 | 2007-08-07 | Motorola, Inc. | Method of VMEbus split-read transaction |
US7224595B2 (en) * | 2004-07-30 | 2007-05-29 | International Business Machines Corporation | 276-Pin buffered memory module with enhanced fault tolerance |
US7389375B2 (en) * | 2004-07-30 | 2008-06-17 | International Business Machines Corporation | System, method and storage medium for a multi-mode memory buffer device |
US7296129B2 (en) | 2004-07-30 | 2007-11-13 | International Business Machines Corporation | System, method and storage medium for providing a serialized memory interface with a bus repeater |
US20060036826A1 (en) * | 2004-07-30 | 2006-02-16 | International Business Machines Corporation | System, method and storage medium for providing a bus speed multiplier |
US7539800B2 (en) * | 2004-07-30 | 2009-05-26 | International Business Machines Corporation | System, method and storage medium for providing segment level sparing |
US7287235B1 (en) * | 2004-08-06 | 2007-10-23 | Calypto Design Systems, Inc. | Method of simplifying a circuit for equivalence checking |
US7366942B2 (en) | 2004-08-12 | 2008-04-29 | Micron Technology, Inc. | Method and apparatus for high-speed input sampling |
US8190808B2 (en) | 2004-08-17 | 2012-05-29 | Rambus Inc. | Memory device having staggered memory operations |
US7392331B2 (en) * | 2004-08-31 | 2008-06-24 | Micron Technology, Inc. | System and method for transmitting data packets in a computer system having a memory hub architecture |
US7301831B2 (en) * | 2004-09-15 | 2007-11-27 | Rambus Inc. | Memory systems with variable delays for write data signals |
US7324403B2 (en) * | 2004-09-24 | 2008-01-29 | Intel Corporation | Latency normalization by balancing early and late clocks |
US7254075B2 (en) | 2004-09-30 | 2007-08-07 | Rambus Inc. | Integrated circuit memory system having dynamic memory bank count and page size |
US7280428B2 (en) * | 2004-09-30 | 2007-10-09 | Rambus Inc. | Multi-column addressing mode memory system including an integrated circuit memory device |
EP1647989A1 (en) * | 2004-10-18 | 2006-04-19 | Dialog Semiconductor GmbH | Dynamical adaption of memory sense electronics |
US7299313B2 (en) * | 2004-10-29 | 2007-11-20 | International Business Machines Corporation | System, method and storage medium for a memory subsystem command interface |
US7441060B2 (en) | 2004-10-29 | 2008-10-21 | International Business Machines Corporation | System, method and storage medium for providing a service interface to a memory system |
US7512762B2 (en) | 2004-10-29 | 2009-03-31 | International Business Machines Corporation | System, method and storage medium for a memory subsystem with positional read data latency |
US7356737B2 (en) * | 2004-10-29 | 2008-04-08 | International Business Machines Corporation | System, method and storage medium for testing a memory module |
US7395476B2 (en) * | 2004-10-29 | 2008-07-01 | International Business Machines Corporation | System, method and storage medium for providing a high speed test interface to a memory subsystem |
US7277988B2 (en) * | 2004-10-29 | 2007-10-02 | International Business Machines Corporation | System, method and storage medium for providing data caching and data compression in a memory subsystem |
US7305574B2 (en) * | 2004-10-29 | 2007-12-04 | International Business Machines Corporation | System, method and storage medium for bus calibration in a memory subsystem |
US7331010B2 (en) * | 2004-10-29 | 2008-02-12 | International Business Machines Corporation | System, method and storage medium for providing fault detection and correction in a memory subsystem |
US7310704B1 (en) * | 2004-11-02 | 2007-12-18 | Symantec Operating Corporation | System and method for performing online backup and restore of volume configuration information |
JP4419074B2 (ja) * | 2004-11-15 | 2010-02-24 | エルピーダメモリ株式会社 | 半導体記憶装置 |
US7536666B1 (en) * | 2004-11-19 | 2009-05-19 | Xilinx, Inc. | Integrated circuit and method of routing a clock signal in an integrated circuit |
US8595459B2 (en) | 2004-11-29 | 2013-11-26 | Rambus Inc. | Micro-threaded memory |
WO2006065698A2 (en) | 2004-12-13 | 2006-06-22 | William Kenneth Waller | Sense amplifier circuitry and architecture to write data into and/or read data from memory cells |
US7218570B2 (en) * | 2004-12-17 | 2007-05-15 | Sandisk 3D Llc | Apparatus and method for memory operations using address-dependent conditions |
US20060164909A1 (en) * | 2005-01-24 | 2006-07-27 | International Business Machines Corporation | System, method and storage medium for providing programmable delay chains for a memory system |
US20060168407A1 (en) * | 2005-01-26 | 2006-07-27 | Micron Technology, Inc. | Memory hub system and method having large virtual page size |
US20060179191A1 (en) * | 2005-02-10 | 2006-08-10 | Young David W | Covert channel firewall |
JP2006285602A (ja) * | 2005-03-31 | 2006-10-19 | Nec Corp | メモリシステム、情報処理機器、データ転送方法、プログラム、記録媒体 |
US7702839B2 (en) * | 2005-04-12 | 2010-04-20 | Nokia Corporation | Memory interface for volatile and non-volatile memory devices |
US20060248305A1 (en) * | 2005-04-13 | 2006-11-02 | Wayne Fang | Memory device having width-dependent output latency |
US7184327B2 (en) * | 2005-04-14 | 2007-02-27 | Micron Technology, Inc. | System and method for enhanced mode register definitions |
KR100670656B1 (ko) * | 2005-06-09 | 2007-01-17 | 주식회사 하이닉스반도체 | 반도체 메모리 장치 |
US20080082763A1 (en) | 2006-10-02 | 2008-04-03 | Metaram, Inc. | Apparatus and method for power management of memory circuits by a system or component thereof |
US8089795B2 (en) | 2006-02-09 | 2012-01-03 | Google Inc. | Memory module with memory stack and interface with enhanced capabilities |
US8244971B2 (en) | 2006-07-31 | 2012-08-14 | Google Inc. | Memory circuit system and method |
KR101377305B1 (ko) | 2005-06-24 | 2014-03-25 | 구글 인코포레이티드 | 집적 메모리 코어 및 메모리 인터페이스 회로 |
US9171585B2 (en) | 2005-06-24 | 2015-10-27 | Google Inc. | Configurable memory circuit system and method |
US8327104B2 (en) | 2006-07-31 | 2012-12-04 | Google Inc. | Adjusting the timing of signals associated with a memory system |
US8060774B2 (en) | 2005-06-24 | 2011-11-15 | Google Inc. | Memory systems and memory modules |
US8111566B1 (en) | 2007-11-16 | 2012-02-07 | Google, Inc. | Optimal channel design for memory devices for providing a high-speed memory interface |
US7386656B2 (en) | 2006-07-31 | 2008-06-10 | Metaram, Inc. | Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit |
US8397013B1 (en) | 2006-10-05 | 2013-03-12 | Google Inc. | Hybrid memory module |
US8130560B1 (en) | 2006-11-13 | 2012-03-06 | Google Inc. | Multi-rank partial width memory modules |
US7392338B2 (en) | 2006-07-31 | 2008-06-24 | Metaram, Inc. | Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits |
US20080126690A1 (en) * | 2006-02-09 | 2008-05-29 | Rajan Suresh N | Memory module with memory stack |
US8077535B2 (en) | 2006-07-31 | 2011-12-13 | Google Inc. | Memory refresh apparatus and method |
US8796830B1 (en) | 2006-09-01 | 2014-08-05 | Google Inc. | Stackable low-profile lead frame package |
US7580312B2 (en) | 2006-07-31 | 2009-08-25 | Metaram, Inc. | Power saving system and method for use with a plurality of memory circuits |
US9542352B2 (en) | 2006-02-09 | 2017-01-10 | Google Inc. | System and method for reducing command scheduling constraints of memory circuits |
US8055833B2 (en) | 2006-10-05 | 2011-11-08 | Google Inc. | System and method for increasing capacity, performance, and flexibility of flash storage |
US9507739B2 (en) | 2005-06-24 | 2016-11-29 | Google Inc. | Configurable memory circuit system and method |
US8081474B1 (en) | 2007-12-18 | 2011-12-20 | Google Inc. | Embossed heat spreader |
US8090897B2 (en) | 2006-07-31 | 2012-01-03 | Google Inc. | System and method for simulating an aspect of a memory circuit |
US8386722B1 (en) | 2008-06-23 | 2013-02-26 | Google Inc. | Stacked DIMM memory interface |
US7590796B2 (en) | 2006-07-31 | 2009-09-15 | Metaram, Inc. | System and method for power management in memory systems |
US8335894B1 (en) | 2008-07-25 | 2012-12-18 | Google Inc. | Configurable memory system with interface circuit |
US10013371B2 (en) | 2005-06-24 | 2018-07-03 | Google Llc | Configurable memory circuit system and method |
US8041881B2 (en) | 2006-07-31 | 2011-10-18 | Google Inc. | Memory device with emulated characteristics |
US7609567B2 (en) | 2005-06-24 | 2009-10-27 | Metaram, Inc. | System and method for simulating an aspect of a memory circuit |
US8438328B2 (en) | 2008-02-21 | 2013-05-07 | Google Inc. | Emulation of abstracted DIMMs using abstracted DRAMs |
US20080028136A1 (en) * | 2006-07-31 | 2008-01-31 | Schakel Keith R | Method and apparatus for refresh management of memory modules |
US8359187B2 (en) | 2005-06-24 | 2013-01-22 | Google Inc. | Simulating a different number of memory circuit devices |
KR100674978B1 (ko) * | 2005-06-27 | 2007-01-29 | 삼성전자주식회사 | 반도체 장치의 일부 어드레스 핀의 터미네이션 값을조절하는 방법 및 이를 이용한 반도체 장치 |
KR100615580B1 (ko) * | 2005-07-05 | 2006-08-25 | 삼성전자주식회사 | 반도체 메모리 장치 및 이 장치의 데이터 입출력 방법과이를 구비한 메모리 시스템 |
US7872892B2 (en) | 2005-07-05 | 2011-01-18 | Intel Corporation | Identifying and accessing individual memory devices in a memory channel |
US7660183B2 (en) | 2005-08-01 | 2010-02-09 | Rambus Inc. | Low power memory device |
DE112006002300B4 (de) | 2005-09-02 | 2013-12-19 | Google, Inc. | Vorrichtung zum Stapeln von DRAMs |
US7519888B2 (en) | 2005-09-12 | 2009-04-14 | Virage Logic Corporation | Input-output device testing |
US7616036B1 (en) | 2005-09-12 | 2009-11-10 | Virage Logic Corporation | Programmable strobe and clock generator |
US7562271B2 (en) | 2005-09-26 | 2009-07-14 | Rambus Inc. | Memory system topologies including a buffer device and an integrated circuit memory device |
US7464225B2 (en) * | 2005-09-26 | 2008-12-09 | Rambus Inc. | Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology |
US11328764B2 (en) | 2005-09-26 | 2022-05-10 | Rambus Inc. | Memory system topologies including a memory die stack |
US7403446B1 (en) * | 2005-09-27 | 2008-07-22 | Cypress Semiconductor Corporation | Single late-write for standard synchronous SRAMs |
WO2007036050A1 (en) | 2005-09-30 | 2007-04-05 | Mosaid Technologies Incorporated | Memory with output control |
US7652922B2 (en) | 2005-09-30 | 2010-01-26 | Mosaid Technologies Incorporated | Multiple independent serial link memory |
US7478259B2 (en) * | 2005-10-31 | 2009-01-13 | International Business Machines Corporation | System, method and storage medium for deriving clocks in a memory system |
KR100668498B1 (ko) * | 2005-11-09 | 2007-01-12 | 주식회사 하이닉스반도체 | 반도체 메모리의 데이터 출력장치 및 방법 |
US7685392B2 (en) * | 2005-11-28 | 2010-03-23 | International Business Machines Corporation | Providing indeterminate read data latency in a memory system |
US7679401B1 (en) * | 2005-12-01 | 2010-03-16 | Tabula, Inc. | User registers implemented with routing circuits in a configurable IC |
JP4799157B2 (ja) * | 2005-12-06 | 2011-10-26 | エルピーダメモリ株式会社 | 積層型半導体装置 |
US9632929B2 (en) | 2006-02-09 | 2017-04-25 | Google Inc. | Translating an address associated with a command communicated between a system and memory circuits |
WO2007099447A2 (en) * | 2006-03-02 | 2007-09-07 | Nokia Corporation | Method and system for flexible burst length control |
US7404055B2 (en) | 2006-03-28 | 2008-07-22 | Intel Corporation | Memory transfer with early access to critical portion |
US8335868B2 (en) * | 2006-03-28 | 2012-12-18 | Mosaid Technologies Incorporated | Apparatus and method for establishing device identifiers for serially interconnected devices |
US7681102B2 (en) * | 2006-04-03 | 2010-03-16 | Qlogic, Corporation | Byte level protection in PCI-Express devices |
US20070260841A1 (en) | 2006-05-02 | 2007-11-08 | Hampel Craig E | Memory module with reduced access granularity |
JP5065618B2 (ja) * | 2006-05-16 | 2012-11-07 | 株式会社日立製作所 | メモリモジュール |
US7636813B2 (en) | 2006-05-22 | 2009-12-22 | International Business Machines Corporation | Systems and methods for providing remote pre-fetch buffers |
US7283414B1 (en) | 2006-05-24 | 2007-10-16 | Sandisk 3D Llc | Method for improving the precision of a temperature-sensor circuit |
US7594055B2 (en) * | 2006-05-24 | 2009-09-22 | International Business Machines Corporation | Systems and methods for providing distributed technology independent memory controllers |
US7640386B2 (en) | 2006-05-24 | 2009-12-29 | International Business Machines Corporation | Systems and methods for providing memory modules with multiple hub devices |
US7584336B2 (en) | 2006-06-08 | 2009-09-01 | International Business Machines Corporation | Systems and methods for providing data modification operations in memory subsystems |
US20070300077A1 (en) * | 2006-06-26 | 2007-12-27 | Seshadri Mani | Method and apparatus for biometric verification of secondary authentications |
US7617367B2 (en) * | 2006-06-27 | 2009-11-10 | International Business Machines Corporation | Memory system including a two-on-one link memory subsystem interconnection |
US20080025136A1 (en) * | 2006-07-31 | 2008-01-31 | Metaram, Inc. | System and method for storing at least a portion of information received in association with a first operation for use in performing a second operation |
US20080028135A1 (en) * | 2006-07-31 | 2008-01-31 | Metaram, Inc. | Multiple-component memory interface system and method |
US20080028137A1 (en) * | 2006-07-31 | 2008-01-31 | Schakel Keith R | Method and Apparatus For Refresh Management of Memory Modules |
US7724589B2 (en) | 2006-07-31 | 2010-05-25 | Google Inc. | System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits |
US7493439B2 (en) | 2006-08-01 | 2009-02-17 | International Business Machines Corporation | Systems and methods for providing performance monitoring in a memory system |
US7669086B2 (en) | 2006-08-02 | 2010-02-23 | International Business Machines Corporation | Systems and methods for providing collision detection in a memory system |
US7581073B2 (en) | 2006-08-09 | 2009-08-25 | International Business Machines Corporation | Systems and methods for providing distributed autonomous power management in a memory system |
US7587559B2 (en) * | 2006-08-10 | 2009-09-08 | International Business Machines Corporation | Systems and methods for memory module power management |
US7539842B2 (en) | 2006-08-15 | 2009-05-26 | International Business Machines Corporation | Computer memory system for selecting memory buses according to physical memory organization information stored in virtual address translation tables |
US7490217B2 (en) | 2006-08-15 | 2009-02-10 | International Business Machines Corporation | Design structure for selecting memory busses according to physical memory organization information stored in virtual address translation tables |
US20080059748A1 (en) * | 2006-08-31 | 2008-03-06 | Nokia Corporation | Method, mobile device, system and software for a write method with burst stop and data masks |
US8098784B2 (en) * | 2006-09-05 | 2012-01-17 | International Business Machines Corporation | Systems, methods and computer program products for high speed data transfer using a plurality of external clock signals |
US7613265B2 (en) * | 2006-09-05 | 2009-11-03 | International Business Machines Corporation | Systems, methods and computer program products for high speed data transfer using an external clock signal |
US7757064B2 (en) * | 2006-09-07 | 2010-07-13 | Infineon Technologies Ag | Method and apparatus for sending data from a memory |
JP4328790B2 (ja) * | 2006-09-13 | 2009-09-09 | Okiセミコンダクタ株式会社 | 半導体集積回路 |
US7483334B2 (en) * | 2006-09-26 | 2009-01-27 | Micron Technology, Inc. | Interleaved input signal path for multiplexed input |
JP4823009B2 (ja) * | 2006-09-29 | 2011-11-24 | 株式会社東芝 | メモリカード及びホスト機器 |
US7477522B2 (en) * | 2006-10-23 | 2009-01-13 | International Business Machines Corporation | High density high reliability memory module with a fault tolerant address and command bus |
US7870459B2 (en) | 2006-10-23 | 2011-01-11 | International Business Machines Corporation | High density high reliability memory module with power gating and a fault tolerant address and command bus |
US7546397B2 (en) * | 2006-10-24 | 2009-06-09 | Intersil Americas Inc. | Systems and methods for allowing multiple devices to share the same serial lines |
US7715251B2 (en) * | 2006-10-25 | 2010-05-11 | Hewlett-Packard Development Company, L.P. | Memory access strobe configuration system and process |
US8331361B2 (en) * | 2006-12-06 | 2012-12-11 | Mosaid Technologies Incorporated | Apparatus and method for producing device identifiers for serially interconnected devices of mixed type |
US7853727B2 (en) * | 2006-12-06 | 2010-12-14 | Mosaid Technologies Incorporated | Apparatus and method for producing identifiers regardless of mixed device type in a serial interconnection |
KR101441280B1 (ko) * | 2006-12-06 | 2014-09-17 | 컨버전트 인텔렉츄얼 프로퍼티 매니지먼트 인코포레이티드 | 혼합된 유형의 메모리 장치를 동작시키는 시스템 및 방법 |
US8271758B2 (en) | 2006-12-06 | 2012-09-18 | Mosaid Technologies Incorporated | Apparatus and method for producing IDS for interconnected devices of mixed type |
US8010709B2 (en) * | 2006-12-06 | 2011-08-30 | Mosaid Technologies Incorporated | Apparatus and method for producing device identifiers for serially interconnected devices of mixed type |
US7925854B2 (en) * | 2006-12-06 | 2011-04-12 | Mosaid Technologies Incorporated | System and method of operating memory devices of mixed type |
US8433874B2 (en) * | 2006-12-06 | 2013-04-30 | Mosaid Technologies Incorporated | Address assignment and type recognition of serially interconnected memory devices of mixed type |
US20080137470A1 (en) * | 2006-12-07 | 2008-06-12 | Josef Schnell | Memory with data clock receiver and command/address clock receiver |
US7990724B2 (en) | 2006-12-19 | 2011-08-02 | Juhasz Paul R | Mobile motherboard |
US7721140B2 (en) | 2007-01-02 | 2010-05-18 | International Business Machines Corporation | Systems and methods for improving serviceability of a memory system |
US7606988B2 (en) | 2007-01-29 | 2009-10-20 | International Business Machines Corporation | Systems and methods for providing a dynamic memory bank page policy |
US7603526B2 (en) * | 2007-01-29 | 2009-10-13 | International Business Machines Corporation | Systems and methods for providing dynamic memory pre-fetch |
US7609562B2 (en) * | 2007-01-31 | 2009-10-27 | Intel Corporation | Configurable device ID in non-volatile memory |
US8010710B2 (en) * | 2007-02-13 | 2011-08-30 | Mosaid Technologies Incorporated | Apparatus and method for identifying device type of serially interconnected devices |
US7639557B1 (en) | 2007-03-05 | 2009-12-29 | Altera Corporation | Configurable random-access-memory circuitry |
US7778074B2 (en) * | 2007-03-23 | 2010-08-17 | Sigmatel, Inc. | System and method to control one time programmable memory |
CN101702947B (zh) | 2007-04-12 | 2017-02-15 | 拉姆伯斯公司 | 具有点对点请求互连的存储器系统、存储器控制器及方法 |
GB2487019B (en) | 2007-05-08 | 2012-08-15 | Scanimetrics Inc | Ultra high speed signal transmission/reception |
EP2158544B1 (en) | 2007-06-12 | 2012-08-08 | Rambus Inc. | In-dram cycle-based levelization |
US20080320192A1 (en) * | 2007-06-19 | 2008-12-25 | Sundaram Chinthamani | Front side bus performance using an early defer-reply mechanism |
JP4890369B2 (ja) * | 2007-07-10 | 2012-03-07 | エルピーダメモリ株式会社 | デューティ検知回路及びこれを用いたdll回路、半導体記憶装置、並びに、データ処理システム |
US8209479B2 (en) | 2007-07-18 | 2012-06-26 | Google Inc. | Memory circuit system and method |
WO2009015086A2 (en) | 2007-07-20 | 2009-01-29 | Blue Danube Labs Inc | Method and system for multi-point signal generation with phase synchronized local carriers |
US8068357B2 (en) * | 2007-09-05 | 2011-11-29 | Rambus Inc. | Memory controller with multi-modal reference pad |
US8080874B1 (en) | 2007-09-14 | 2011-12-20 | Google Inc. | Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween |
US7764533B2 (en) * | 2007-09-18 | 2010-07-27 | International Business Machines Corporation | Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition |
US7567473B2 (en) | 2007-09-18 | 2009-07-28 | International Business Machines Corporation | Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition |
US7602632B2 (en) * | 2007-09-18 | 2009-10-13 | International Business Machines Corporation | Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition |
US7602631B2 (en) * | 2007-09-18 | 2009-10-13 | International Business Machines Corporation | Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition |
US8898368B2 (en) * | 2007-11-07 | 2014-11-25 | Inphi Corporation | Redriven/retimed registered dual inline memory module |
US8332932B2 (en) * | 2007-12-07 | 2012-12-11 | Scout Analytics, Inc. | Keystroke dynamics authentication techniques |
US7523379B1 (en) * | 2008-03-31 | 2009-04-21 | International Business Machines Corporation | Method for time-delayed data protection |
TWI373714B (en) * | 2008-04-02 | 2012-10-01 | Novatek Microelectronics Corp | Electronic device for contention detection of bidirectional bus and related method |
US8154901B1 (en) | 2008-04-14 | 2012-04-10 | Netlist, Inc. | Circuit providing load isolation and noise reduction |
US8516185B2 (en) | 2009-07-16 | 2013-08-20 | Netlist, Inc. | System and method utilizing distributed byte-wise buffers on a memory module |
WO2010064292A1 (ja) * | 2008-12-01 | 2010-06-10 | パイオニア株式会社 | データ処理装置、そのシステム、その方法、そのプログラム、および、そのプログラムを記録した記録媒体 |
US8122159B2 (en) | 2009-01-16 | 2012-02-21 | Allegro Microsystems, Inc. | Determining addresses of electrical components arranged in a daisy chain |
US9105323B2 (en) * | 2009-01-23 | 2015-08-11 | Micron Technology, Inc. | Memory device power managers and methods |
US8264903B1 (en) | 2009-05-05 | 2012-09-11 | Netlist, Inc. | Systems and methods for refreshing a memory module |
KR101003150B1 (ko) * | 2009-05-14 | 2010-12-21 | 주식회사 하이닉스반도체 | 어드레스 시프트 회로 및 방법 |
JP2010271841A (ja) * | 2009-05-20 | 2010-12-02 | Mitsubishi Electric Corp | クロック信号同期回路 |
US9767342B2 (en) | 2009-05-22 | 2017-09-19 | Affymetrix, Inc. | Methods and devices for reading microarrays |
US8375238B2 (en) | 2009-05-28 | 2013-02-12 | Panasonic Corporation | Memory system |
US8046628B2 (en) | 2009-06-05 | 2011-10-25 | Micron Technology, Inc. | Failure recovery memory devices and methods |
EP2441007A1 (en) | 2009-06-09 | 2012-04-18 | Google, Inc. | Programming of dimm termination resistance values |
US9128632B2 (en) | 2009-07-16 | 2015-09-08 | Netlist, Inc. | Memory module with distributed data buffers and method of operation |
US20110019760A1 (en) * | 2009-07-21 | 2011-01-27 | Rambus Inc. | Methods and Systems for Reducing Supply and Termination Noise |
US8130016B2 (en) * | 2009-08-27 | 2012-03-06 | Altera Corporation | Techniques for providing reduced duty cycle distortion |
US7893739B1 (en) * | 2009-08-27 | 2011-02-22 | Altera Corporation | Techniques for providing multiple delay paths in a delay circuit |
US8461782B2 (en) * | 2009-08-27 | 2013-06-11 | Allegro Microsystems, Llc | Linear or rotational motor driver identification |
US20110161428A1 (en) * | 2009-12-28 | 2011-06-30 | Ezpnp Technologies Corp. | Two-way data and resources sharing method |
EP2341445B1 (en) * | 2009-12-30 | 2017-09-06 | Intel Deutschland GmbH | Method for high speed data transfer |
US8938589B2 (en) | 2010-01-28 | 2015-01-20 | Hewlett-Packard Development Company, L. P. | Interface methods and apparatus for memory devices using arbitration |
EP2529374A4 (en) | 2010-01-28 | 2014-04-02 | Hewlett Packard Development Co | MEMORY ACCESS METHODS AND APPARATUS |
US8335879B2 (en) * | 2010-04-29 | 2012-12-18 | Hewlett-Packard Development Company, L.P. | Node differentiation in multi-node electronic systems |
US8495327B2 (en) | 2010-06-04 | 2013-07-23 | Nvidia Corporation | Memory device synchronization |
US8625320B1 (en) | 2010-08-31 | 2014-01-07 | Netlogic Microsystems, Inc. | Quaternary content addressable memory cell having one transistor pull-down stack |
US8553441B1 (en) | 2010-08-31 | 2013-10-08 | Netlogic Microsystems, Inc. | Ternary content addressable memory cell having two transistor pull-down stack |
US8582338B1 (en) | 2010-08-31 | 2013-11-12 | Netlogic Microsystems, Inc. | Ternary content addressable memory cell having single transistor pull-down stack |
US8462532B1 (en) | 2010-08-31 | 2013-06-11 | Netlogic Microsystems, Inc. | Fast quaternary content addressable memory cell |
US8848438B2 (en) * | 2010-10-05 | 2014-09-30 | Stec, Inc. | Asymmetric log-likelihood ratio for MLC flash channel |
KR101796116B1 (ko) | 2010-10-20 | 2017-11-10 | 삼성전자 주식회사 | 반도체 장치, 이를 포함하는 메모리 모듈, 메모리 시스템 및 그 동작방법 |
WO2012061633A2 (en) * | 2010-11-03 | 2012-05-10 | Netlist, Inc. | Method and apparatus for optimizing driver load in a memory package |
US8837188B1 (en) | 2011-06-23 | 2014-09-16 | Netlogic Microsystems, Inc. | Content addressable memory row having virtual ground and charge sharing |
US8773880B2 (en) | 2011-06-23 | 2014-07-08 | Netlogic Microsystems, Inc. | Content addressable memory array having virtual ground nodes |
US9268719B2 (en) | 2011-08-05 | 2016-02-23 | Rambus Inc. | Memory signal buffers and modules supporting variable access granularity |
US9146867B2 (en) | 2011-10-31 | 2015-09-29 | Hewlett-Packard Development Company, L.P. | Methods and apparatus to access memory using runtime characteristics |
US9712453B1 (en) * | 2012-03-26 | 2017-07-18 | Amazon Technologies, Inc. | Adaptive throttling for shared resources |
JP2013222364A (ja) | 2012-04-18 | 2013-10-28 | Renesas Electronics Corp | 信号処理回路 |
US9129071B2 (en) * | 2012-10-24 | 2015-09-08 | Texas Instruments Incorporated | Coherence controller slot architecture allowing zero latency write commit |
US9582451B2 (en) * | 2013-02-01 | 2017-02-28 | Infineon Technologies Ag | Receiver architecture |
US8723329B1 (en) | 2013-03-15 | 2014-05-13 | Invensas Corporation | In-package fly-by signaling |
CN105706064B (zh) | 2013-07-27 | 2019-08-27 | 奈特力斯股份有限公司 | 具有本地分别同步的内存模块 |
JP2016538327A (ja) | 2013-09-24 | 2016-12-08 | ユニバーシティ オブ ワシントン スルー イッツ センター フォー コマーシャリゼーション | デスモグレイン2(dsg2)結合タンパク質およびその使用 |
KR101816944B1 (ko) * | 2013-10-02 | 2018-01-09 | 엘에스산전 주식회사 | UART Ring 통신의 ID 자동 설정방법 |
US9787495B2 (en) | 2014-02-18 | 2017-10-10 | Allegro Microsystems, Llc | Signaling between master and slave components using a shared communication node of the master component |
US9172565B2 (en) | 2014-02-18 | 2015-10-27 | Allegro Microsystems, Llc | Signaling between master and slave components using a shared communication node of the master component |
WO2015167449A1 (en) * | 2014-04-29 | 2015-11-05 | Hewlett-Packard Development Company, L.P. | Switches coupling volatile memory devices to a power source |
KR102204391B1 (ko) | 2014-08-18 | 2021-01-18 | 삼성전자주식회사 | 공유 가능한 ecc 셀 어레이를 갖는 메모리 장치 |
GB201603589D0 (en) * | 2016-03-01 | 2016-04-13 | Surecore Ltd | Memory unit |
AU2016416525B2 (en) | 2016-07-27 | 2022-04-14 | Hubbell Incorporated | Systems, apparatuses and methods for dual line inbound detection on a data communication bus |
US10402110B2 (en) | 2016-08-04 | 2019-09-03 | Rambus Inc. | Adjustable access energy and access latency memory system and devices |
US10679722B2 (en) | 2016-08-26 | 2020-06-09 | Sandisk Technologies Llc | Storage system with several integrated components and method for use therewith |
US9721675B1 (en) * | 2016-11-09 | 2017-08-01 | Winbond Electronics Corporation | Memory device having input circuit and operating method of same |
FR3061383B1 (fr) | 2016-12-26 | 2019-05-24 | Stmicroelectronics (Grenoble 2) Sas | Synchronisation d'un reseau de capteurs |
US10057523B1 (en) | 2017-02-13 | 2018-08-21 | Alexander Krymski | Image sensors and methods with multiple phase-locked loops and serializers |
US10210918B2 (en) | 2017-02-28 | 2019-02-19 | Micron Technology, Inc. | Apparatuses and methods for determining a phase relationship between an input clock signal and a multiphase clock signal |
US10090026B2 (en) | 2017-02-28 | 2018-10-02 | Micron Technology, Inc. | Apparatuses and methods for providing internal memory commands and control signals in semiconductor memories |
KR20180106017A (ko) * | 2017-03-17 | 2018-10-01 | 에스케이하이닉스 주식회사 | 메모리 시스템 및 메모리 시스템의 동작 방법 |
US10269397B2 (en) | 2017-08-31 | 2019-04-23 | Micron Technology, Inc. | Apparatuses and methods for providing active and inactive clock signals |
US10170166B1 (en) * | 2017-09-08 | 2019-01-01 | Winbond Electronics Corp. | Data transmission apparatus for memory and data transmission method thereof |
KR20190030923A (ko) * | 2017-09-15 | 2019-03-25 | 에스케이하이닉스 주식회사 | 에러 정정 회로, 그것의 동작 방법 및 그것을 포함하는 데이터 저장 장치 |
US10747708B2 (en) | 2018-03-08 | 2020-08-18 | Allegro Microsystems, Llc | Communication system between electronic devices |
US10747470B2 (en) * | 2018-05-10 | 2020-08-18 | Micron Technology, Inc. | Semiconductor device with pseudo flow through scheme for power savings |
TWI666638B (zh) * | 2018-08-21 | 2019-07-21 | 華邦電子股份有限公司 | 記憶體電路及其資料位元狀態偵測器 |
US10861564B2 (en) | 2018-10-17 | 2020-12-08 | Winbond Electronics Corp. | Memory circuit and data bit status detector thereof |
JP6894459B2 (ja) | 2019-02-25 | 2021-06-30 | 華邦電子股▲ふん▼有限公司Winbond Electronics Corp. | 疑似スタティックランダムアクセスメモリとその動作方法 |
JP6748760B1 (ja) | 2019-05-13 | 2020-09-02 | ウィンボンド エレクトロニクス コーポレーション | 半導体記憶装置 |
US10714163B2 (en) | 2019-05-13 | 2020-07-14 | Intel Corporation | Methods for mitigating transistor aging to improve timing margins for memory interface signals |
DE102019125493A1 (de) * | 2019-09-23 | 2021-03-25 | Infineon Technologies Ag | Slaveeinrichtung, Bussystem und Verfahren |
US11442494B2 (en) | 2020-06-08 | 2022-09-13 | Analog Devices, Inc. | Apparatus and methods for controlling a clock signal |
US12056394B2 (en) | 2020-08-13 | 2024-08-06 | Cadence Design Systems, Inc. | Memory interface training |
KR20220086785A (ko) * | 2020-12-16 | 2022-06-24 | 삼성전자주식회사 | 트랜잭션 가속기의 동작 방법. 트랜잭션 가속기를 포함하는 컴퓨팅 장치의 동작 방법, 그리고 트랜잭션 가속기를 포함하는 컴퓨팅 장치 |
US11768060B2 (en) * | 2021-02-18 | 2023-09-26 | Kirsch Llc | Arrow or bolt having an advanced crossbow pin and pin nock |
CN113377438B (zh) * | 2021-08-13 | 2021-11-30 | 沐曦集成电路(上海)有限公司 | 一种处理器及其数据读写方法 |
Family Cites Families (475)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
NL288210A (ko) * | 1962-01-26 | |||
US3562432A (en) * | 1966-11-16 | 1971-02-09 | Communications Satellite Corp | Synchronizer for time division multiple access satellite communication system |
GB1262691A (en) * | 1968-11-12 | 1972-02-02 | Burroughs Corp | Data processing system having current drive for transmission line |
FR2044650B1 (ko) * | 1969-05-16 | 1974-06-14 | Ibm France | |
US3713025A (en) * | 1969-12-04 | 1973-01-23 | Avco Corp | Phase slip corrector means and method for synchronization of pseudorandom generating means in multistation networks |
US3691534A (en) * | 1970-11-04 | 1972-09-12 | Gen Instrument Corp | Read only memory system having increased data rate with alternate data readout |
US3721838A (en) * | 1970-12-21 | 1973-03-20 | Ibm | Repairable semiconductor circuit element and method of manufacture |
US3740723A (en) * | 1970-12-28 | 1973-06-19 | Ibm | Integral hierarchical binary storage element |
US3771145B1 (en) * | 1971-02-01 | 1994-11-01 | Wiener Patricia P. | Integrated circuit read-only memory |
US3924241A (en) * | 1971-03-15 | 1975-12-02 | Burroughs Corp | Memory cycle initiation in response to the presence of the memory address |
US3758761A (en) * | 1971-08-17 | 1973-09-11 | Texas Instruments Inc | Self-interconnecting/self-repairable electronic systems on a slice |
US3753244A (en) * | 1971-08-18 | 1973-08-14 | Ibm | Yield enhancement redundancy technique |
US3803562A (en) * | 1972-11-21 | 1974-04-09 | Honeywell Inf Systems | Semiconductor mass memory |
US3821715A (en) | 1973-01-22 | 1974-06-28 | Intel Corp | Memory system for a multi chip digital computer |
GB1461245A (en) * | 1973-01-28 | 1977-01-13 | Hawker Siddeley Dynamics Ltd | Reliability of random access memory systems |
DE2364254B2 (de) * | 1973-12-22 | 1976-03-18 | Schaltungsanordnung fuer datenverarbeitende geraete | |
DE2364408C3 (de) * | 1973-12-22 | 1979-06-07 | Olympia Werke Ag, 2940 Wilhelmshaven | Schaltungsanordnung zur Adressierung der Speicherplätze eines aus mehreren Chips bestehenden Speichers |
US3950735A (en) | 1974-01-04 | 1976-04-13 | Honeywell Information Systems, Inc. | Method and apparatus for dynamically controlling read/write operations in a peripheral subsystem |
US3846763A (en) | 1974-01-04 | 1974-11-05 | Honeywell Inf Systems | Method and apparatus for automatic selection of translators in a data processing system |
US3882470A (en) * | 1974-02-04 | 1975-05-06 | Honeywell Inf Systems | Multiple register variably addressable semiconductor mass memory |
US3900837A (en) * | 1974-02-04 | 1975-08-19 | Honeywell Inf Systems | Variably addressable semiconductor mass memory |
US4038648A (en) * | 1974-06-03 | 1977-07-26 | Chesley Gilman D | Self-configurable circuit structure for achieving wafer scale integration |
US3969706A (en) * | 1974-10-08 | 1976-07-13 | Mostek Corporation | Dynamic random access memory misfet integrated circuit |
US4263650B1 (en) * | 1974-10-30 | 1994-11-29 | Motorola Inc | Digital data processing system with interface adaptor having programmable monitorable control register therein |
US4079448A (en) * | 1975-04-07 | 1978-03-14 | Compagnie Honeywell Bull | Apparatus for synchronizing tasks on peripheral devices |
US4084154A (en) | 1975-05-01 | 1978-04-11 | Burroughs Corporation | Charge coupled device memory system with burst mode |
US4007452A (en) * | 1975-07-28 | 1977-02-08 | Intel Corporation | Wafer scale integration system |
US4099231A (en) * | 1975-10-01 | 1978-07-04 | Digital Equipment Corporation | Memory control system for transferring selected words in a multiple memory word exchange during one memory cycle |
US4048673A (en) | 1976-02-27 | 1977-09-13 | Data General Corporation | Cpu - i/o bus interface for a data processing system |
US4250570B1 (en) * | 1976-07-15 | 1996-01-02 | Intel Corp | Redundant memory circuit |
US4206833A (en) | 1976-07-15 | 1980-06-10 | Clark Equipment Company | Mobile aerial tower |
JPS60816B2 (ja) | 1976-12-18 | 1985-01-10 | 三洋電機株式会社 | ラジオ受信機のデジタル値設定装置 |
GB1574468A (en) * | 1976-09-30 | 1980-09-10 | Burroughs Corp | Input-output subsystem in a digital data processing system |
US4494186A (en) * | 1976-11-11 | 1985-01-15 | Honeywell Information Systems Inc. | Automatic data steering and data formatting mechanism |
US4092665A (en) * | 1976-12-29 | 1978-05-30 | Xerox Corporation | Method and means for extracting variable length data from fixed length bytes |
US4047246A (en) | 1977-01-10 | 1977-09-06 | Data General Corporation | I/O bus transceiver for a data processing system |
US4142069A (en) * | 1977-06-20 | 1979-02-27 | The United States Of America As Represented By The Secretary Of The Army | Time reference distribution technique |
US4255814A (en) * | 1977-07-15 | 1981-03-10 | Motorola, Inc. | Simulcast transmission system |
US4333142A (en) * | 1977-07-22 | 1982-06-01 | Chesley Gilman D | Self-configurable computer and memory system |
US4191996A (en) * | 1977-07-22 | 1980-03-04 | Chesley Gilman D | Self-configurable computer and memory system |
US4398248A (en) * | 1980-10-20 | 1983-08-09 | Mcdonnell Douglas Corporation | Adaptive WSI/MNOS solid state memory system |
JPS5714922Y2 (ko) | 1977-09-22 | 1982-03-27 | ||
US4426685A (en) | 1978-03-20 | 1984-01-17 | The United States Of America As Represented By The Secretary Of The Navy | Solid state delay device |
US4375665A (en) * | 1978-04-24 | 1983-03-01 | Texas Instruments Incorporated | Eight bit standard connector bus for sixteen bit microcomputer using mirrored memory boards |
US4231104A (en) | 1978-04-26 | 1980-10-28 | Teradyne, Inc. | Generating timing signals |
US4247817A (en) * | 1978-05-15 | 1981-01-27 | Teradyne, Inc. | Transmitting electrical signals with a transmission time independent of distance between transmitter and receiver |
US4205373A (en) * | 1978-05-22 | 1980-05-27 | Ncr Corporation | System and method for accessing memory connected to different bus and requesting subsystem |
US4183095A (en) * | 1978-09-01 | 1980-01-08 | Ncr Corporation | High density memory device |
US4222122A (en) | 1978-11-15 | 1980-09-16 | Everlast World's Boxing Headquarters Corp. | Boxing headguard |
US4234934A (en) * | 1978-11-30 | 1980-11-18 | Sperry Rand Corporation | Apparatus for scaling memory addresses |
US4257097A (en) | 1978-12-11 | 1981-03-17 | Bell Telephone Laboratories, Incorporated | Multiprocessor system with demand assignable program paging stores |
US4315308A (en) * | 1978-12-21 | 1982-02-09 | Intel Corporation | Interface between a microprocessor chip and peripheral subsystems |
US4249247A (en) * | 1979-01-08 | 1981-02-03 | Ncr Corporation | Refresh system for dynamic RAM memory |
US4222112A (en) * | 1979-02-09 | 1980-09-09 | Bell Telephone Laboratories, Incorporated | Dynamic RAM organization for reducing peak current |
JPS55110355A (en) * | 1979-02-16 | 1980-08-25 | Toshiba Corp | Memory board and selection system for it |
US4253147A (en) | 1979-04-09 | 1981-02-24 | Rockwell International Corporation | Memory unit with pipelined cycle of operations |
DE2915488C2 (de) * | 1979-04-17 | 1982-05-19 | Nixdorf Computer Ag, 4790 Paderborn | Schaltungsanordnung zur Steuerung der Übertragung von Digital-Signalen, insbesondere PCM-Signalen, zwischen Anschlußstellen eines Zeitmultiplex-Fernmeldenetzes, insbesondere PCM-Zeitmultiplex-Fernmeldenetzes |
US4250352A (en) * | 1979-05-10 | 1981-02-10 | Workman William S Sr | Remote station monitoring system |
US4286321A (en) * | 1979-06-18 | 1981-08-25 | International Business Machines Corporation | Common bus communication system in which the width of the address field is greater than the number of lines on the bus |
US4320505A (en) * | 1979-07-23 | 1982-03-16 | Bell Telephone Laboratories, Incorporated | Processing apparatus for data rate reduction |
JPS5634186A (en) * | 1979-08-29 | 1981-04-06 | Hitachi Ltd | Bipolar memory circuit |
JPS5636628A (en) | 1979-09-03 | 1981-04-09 | Asahi Optical Co Ltd | Memory device in phase synchronizing type automatic exposure control mechanism |
US4443864A (en) * | 1979-10-09 | 1984-04-17 | Texas Instruments Incorporated | Memory system for microprocessor with multiplexed address/data bus |
US4306298A (en) * | 1979-10-09 | 1981-12-15 | Texas Instruments Incorporated | Memory system for microprocessor with multiplexed address/data bus |
JPS5669056A (en) | 1979-11-08 | 1981-06-10 | Fanuc Ltd | Robot-equipped machining center |
US4330852A (en) | 1979-11-23 | 1982-05-18 | Texas Instruments Incorporated | Semiconductor read/write memory array having serial access |
US4322635A (en) | 1979-11-23 | 1982-03-30 | Texas Instruments Incorporated | High speed serial shift register for MOS integrated circuit |
DE2948159C2 (de) | 1979-11-29 | 1983-10-27 | Siemens AG, 1000 Berlin und 8000 München | Integrierter Speicherbaustein mit wählbaren Betriebsfunktionen |
JPS5682961U (ko) | 1979-12-01 | 1981-07-04 | ||
JPS5682961A (en) | 1979-12-11 | 1981-07-07 | Fujitsu Ltd | Memory control system |
DE2952056C2 (de) * | 1979-12-22 | 1981-11-26 | Hewlett-Packard GmbH, 7030 Böblingen | Schreib- und Leseschaltung für einen Speicher mit wahlfreiem Zugriff |
US4338569A (en) | 1980-03-11 | 1982-07-06 | Control Data Corporation | Delay lock loop |
US4348754A (en) * | 1980-05-15 | 1982-09-07 | Ampex Corporation | Digital delay for high quality audio use |
GB2077468B (en) | 1980-06-04 | 1984-10-24 | Hitachi Ltd | Multi-computer system with plural serial bus loops |
JPS5714922A (en) | 1980-07-02 | 1982-01-26 | Hitachi Ltd | Storage device |
US4468738A (en) * | 1980-07-16 | 1984-08-28 | Ford Aerospace & Communications Corporation | Bus access arbitration using unitary arithmetic resolution logic and unique logical addresses of competing processors |
US4385350A (en) * | 1980-07-16 | 1983-05-24 | Ford Aerospace & Communications Corporation | Multiprocessor system having distributed priority resolution circuitry |
US4360870A (en) * | 1980-07-30 | 1982-11-23 | International Business Machines Corporation | Programmable I/O device identification |
US4373183A (en) * | 1980-08-20 | 1983-02-08 | Ibm Corporation | Bus interface units sharing a common bus using distributed control for allocation of the bus |
US4379222A (en) | 1980-08-21 | 1983-04-05 | Ncr Corporation | High speed shift register |
US4355376A (en) * | 1980-09-30 | 1982-10-19 | Burroughs Corporation | Apparatus and method for utilizing partially defective memory devices |
JPS5764895U (ko) * | 1980-10-03 | 1982-04-17 | ||
US4408272A (en) | 1980-11-03 | 1983-10-04 | Bell Telephone Laboratories, Incorporated | Data control circuit |
DE3069679D1 (en) | 1980-12-08 | 1985-01-03 | Ibm | Method of transmitting information between stations attached to a unidirectional transmission ring |
JPS57101957A (en) | 1980-12-17 | 1982-06-24 | Hitachi Ltd | Storage control device |
US4435762A (en) | 1981-03-06 | 1984-03-06 | International Business Machines Corporation | Buffered peripheral subsystems |
US4945471A (en) * | 1981-04-01 | 1990-07-31 | Teradata Corporation | Message transmission system for selectively transmitting one of two colliding messages based on contents thereof |
US4493021A (en) * | 1981-04-03 | 1985-01-08 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Multicomputer communication system |
US4583161A (en) * | 1981-04-16 | 1986-04-15 | Ncr Corporation | Data processing system wherein all subsystems check for message errors |
EP0077328A4 (en) * | 1981-04-27 | 1985-06-26 | Textron Inc | BUS FOR SEVERAL MAIN PROCESSORS. |
JPS57186289A (en) * | 1981-05-13 | 1982-11-16 | Hitachi Ltd | Semiconductor memory |
JPS6030898B2 (ja) * | 1981-05-15 | 1985-07-19 | テクトロニクス・インコ−ポレイテツド | ロジツク・アナライザの入力装置 |
JPS589285A (ja) * | 1981-07-08 | 1983-01-19 | Toshiba Corp | 半導体装置 |
US4458357A (en) * | 1981-08-17 | 1984-07-03 | Basic Four Corporation | Circuit board identity generator |
JPS5831637A (ja) | 1981-08-20 | 1983-02-24 | Nec Corp | 多重処理装置 |
US4438494A (en) * | 1981-08-25 | 1984-03-20 | Intel Corporation | Apparatus of fault-handling in a multiprocessing system |
US4419747A (en) * | 1981-09-14 | 1983-12-06 | Seeq Technology, Inc. | Method and device for providing process and test information in semiconductors |
US4513374A (en) * | 1981-09-25 | 1985-04-23 | Ltv Aerospace And Defense | Memory system |
US4500905A (en) * | 1981-09-30 | 1985-02-19 | Tokyo Shibaura Denki Kabushiki Kaisha | Stacked semiconductor device with sloping sides |
US4811202A (en) * | 1981-10-01 | 1989-03-07 | Texas Instruments Incorporated | Quadruply extended time multiplexed information bus for reducing the `pin out` configuration of a semiconductor chip package |
US4421996A (en) * | 1981-10-09 | 1983-12-20 | Advanced Micro Devices, Inc. | Sense amplification scheme for random access memory |
US4637365A (en) | 1981-10-19 | 1987-01-20 | Motortech, Inc. | Fuel conditioning apparatus and method |
US4595923A (en) | 1981-10-21 | 1986-06-17 | Elxsi | Improved terminator for high speed data bus |
US4481625A (en) * | 1981-10-21 | 1984-11-06 | Elxsi | High speed data bus system |
US4462088A (en) | 1981-11-03 | 1984-07-24 | International Business Machines Corporation | Array design using a four state cell for double density |
JPS5880193A (ja) | 1981-11-06 | 1983-05-14 | Mitsubishi Electric Corp | メモリの記憶内容消去方法 |
US4480307A (en) * | 1982-01-04 | 1984-10-30 | Intel Corporation | Interface for use between a memory and components of a module switching apparatus |
US4488218A (en) * | 1982-01-07 | 1984-12-11 | At&T Bell Laboratories | Dynamic priority queue occupancy scheme for access to a demand-shared bus |
FR2520910B1 (fr) | 1982-02-04 | 1987-07-10 | Victor Company Of Japan | Dispositif a memoire video |
US4470114A (en) * | 1982-03-01 | 1984-09-04 | Burroughs Corporation | High speed interconnection network for a cluster of processors |
JPS58155596A (ja) * | 1982-03-10 | 1983-09-16 | Hitachi Ltd | ダイナミツク型mosram |
JPS58159360A (ja) * | 1982-03-17 | 1983-09-21 | Fujitsu Ltd | 半導体装置 |
JPS58184626A (ja) | 1982-04-22 | 1983-10-28 | Toshiba Corp | バスクロツク同期方式 |
US4449207A (en) * | 1982-04-29 | 1984-05-15 | Intel Corporation | Byte-wide dynamic RAM with multiplexed internal buses |
FR2526250B1 (fr) * | 1982-04-30 | 1988-05-13 | Labo Electronique Physique | Procede de calage temporel automatique de stations dans un systeme de transmission par multiplex et de traitement de donnees |
JPS58192154A (ja) | 1982-05-07 | 1983-11-09 | Casio Comput Co Ltd | 自動デ−タ処理機能を有するメモリ装置 |
US4506348A (en) | 1982-06-14 | 1985-03-19 | Allied Corporation | Variable digital delay circuit |
US4519034A (en) * | 1982-06-30 | 1985-05-21 | Elxsi | I/O Bus clock |
US4667305A (en) | 1982-06-30 | 1987-05-19 | International Business Machines Corporation | Circuits for accessing a variable width data bus with a variable width data field |
JPS595478A (ja) | 1982-07-02 | 1984-01-12 | Toshiba Corp | 電子計算機のアドレス装置 |
NO157998C (no) | 1982-07-13 | 1988-06-29 | Siemens Ag | Synkron taktgenerator for digitalsignal-multipleksapparater. |
US4513370A (en) * | 1982-07-19 | 1985-04-23 | Amdahl Corporation | Data transfer control system and method for a plurality of linked stations |
US4608700A (en) * | 1982-07-29 | 1986-08-26 | Massachusetts Institute Of Technology | Serial multi-drop data link |
US4433934A (en) * | 1982-08-19 | 1984-02-28 | Rockwell International Corporation | Push-pull yoke-power takeoff coupling |
US4494021A (en) * | 1982-08-30 | 1985-01-15 | Xerox Corporation | Self-calibrated clock and timing signal generator for MOS/VLSI circuitry |
DE3380465D1 (en) * | 1982-09-20 | 1989-09-28 | Toshiba Kk | Video ram write control apparatus |
US4476560A (en) * | 1982-09-21 | 1984-10-09 | Advanced Micro Devices, Inc. | Diagnostic circuit for digital systems |
US4562435A (en) * | 1982-09-29 | 1985-12-31 | Texas Instruments Incorporated | Video display system using serial/parallel access memories |
US4513372A (en) | 1982-11-15 | 1985-04-23 | Data General Corporation | Universal memory |
US4496861A (en) * | 1982-12-06 | 1985-01-29 | Intel Corporation | Integrated circuit synchronous delay line |
US4509142A (en) | 1982-12-15 | 1985-04-02 | Texas Instruments Incorporated | Semiconductor memory device with pipeline access |
JPS59111561A (ja) * | 1982-12-17 | 1984-06-27 | Hitachi Ltd | 複合プロセツサ・システムのアクセス制御方式 |
US4586167A (en) * | 1983-01-24 | 1986-04-29 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor memory device |
US4528661A (en) | 1983-02-14 | 1985-07-09 | Prime Computer, Inc. | Ring communications system |
JPS59165285A (ja) | 1983-03-11 | 1984-09-18 | Hitachi Ltd | 半導体記憶素子 |
JPS59172897A (ja) | 1983-03-22 | 1984-09-29 | Victor Co Of Japan Ltd | カラ−映像信号再生装置におけるクロツクパルス発生回路 |
DE3375611D1 (en) | 1983-03-29 | 1988-03-10 | Ibm | Bus interface device for a data processing system |
GB2138230B (en) * | 1983-04-12 | 1986-12-03 | Sony Corp | Dynamic random access memory arrangements |
FR2545670B1 (fr) | 1983-05-04 | 1985-07-05 | Billy Jean Claude | Multiplexeur, demultiplexeur et equipement de multiplexage-demultiplexage a trames reconfigurables |
US4520465A (en) | 1983-05-05 | 1985-05-28 | Motorola, Inc. | Method and apparatus for selectively precharging column lines of a memory |
US4616310A (en) | 1983-05-20 | 1986-10-07 | International Business Machines Corporation | Communicating random access memory |
US4513355A (en) * | 1983-06-15 | 1985-04-23 | Motorola, Inc. | Metallization and bonding means and method for VLSI packages |
JPS603268A (ja) | 1983-06-20 | 1985-01-09 | Dainippon Screen Mfg Co Ltd | 画像走査記録装置における記憶装置への画像デ−タ書込み読出し方法 |
US4770640A (en) * | 1983-06-24 | 1988-09-13 | Walter Howard F | Electrical interconnection device for integrated circuits |
JPS6011993A (ja) * | 1983-06-30 | 1985-01-22 | シャープ株式会社 | 電子式キヤツシユレジスタ |
US4649511A (en) * | 1983-07-25 | 1987-03-10 | General Electric Company | Dynamic memory controller for single-chip microprocessor |
US4656605A (en) * | 1983-09-02 | 1987-04-07 | Wang Laboratories, Inc. | Single in-line memory module |
JPS6055459A (ja) | 1983-09-07 | 1985-03-30 | Hitachi Ltd | プロツクデ−タ転送記憶制御方法 |
US4646270A (en) * | 1983-09-15 | 1987-02-24 | Motorola, Inc. | Video graphic dynamic RAM |
US4763249A (en) | 1983-09-22 | 1988-08-09 | Digital Equipment Corporation | Bus device for use in a computer system having a synchronous bus |
US4628489A (en) | 1983-10-03 | 1986-12-09 | Honeywell Information Systems Inc. | Dual address RAM |
JPS6080193A (ja) | 1983-10-07 | 1985-05-08 | Hitachi Micro Comput Eng Ltd | メモリシステム |
FR2553609B1 (fr) | 1983-10-14 | 1985-12-27 | Chomel Denis | Systeme de multiplexage numerique temporel asynchrone a bus distribue |
GB8329511D0 (en) | 1983-11-04 | 1983-12-07 | Inmos Ltd | Timing apparatus |
US4570220A (en) * | 1983-11-25 | 1986-02-11 | Intel Corporation | High speed parallel bus and data transfer method |
US4882669A (en) * | 1983-11-28 | 1989-11-21 | Canon Kabushiki Kaisha | Multi computer fail safe control apparatus |
US4635192A (en) * | 1983-12-06 | 1987-01-06 | Tri Sigma Corporation | Self configuring bus structure for computer network |
US4660141A (en) * | 1983-12-06 | 1987-04-21 | Tri Sigma Corporation | Self configuring computer network with automatic bus exchange of module identification numbers and processor assigned module numbers |
JPS60136086A (ja) | 1983-12-23 | 1985-07-19 | Hitachi Ltd | 半導体記憶装置 |
JPS60140993A (ja) | 1983-12-27 | 1985-07-25 | Matsushita Electric Ind Co Ltd | 記録再生装置 |
US4747081A (en) | 1983-12-30 | 1988-05-24 | Texas Instruments Incorporated | Video display system using memory with parallel and serial access employing serial shift registers selected by column address |
US4688197A (en) | 1983-12-30 | 1987-08-18 | Texas Instruments Incorporated | Control of data access to memory for improved video system |
US4639890A (en) | 1983-12-30 | 1987-01-27 | Texas Instruments Incorporated | Video display system using memory with parallel and serial access employing selectable cascaded serial shift registers |
US4663735A (en) | 1983-12-30 | 1987-05-05 | Texas Instruments Incorporated | Random/serial access mode selection circuit for a video memory system |
US4745548A (en) * | 1984-02-17 | 1988-05-17 | American Telephone And Telegraph Company, At&T Bell Laboratories | Decentralized bus arbitration using distributed arbiters having circuitry for latching lockout signals gated from higher priority arbiters |
US4584672A (en) * | 1984-02-22 | 1986-04-22 | Intel Corporation | CMOS dynamic random-access memory with active cycle one half power supply potential bit line precharge |
US4654655A (en) * | 1984-03-02 | 1987-03-31 | Motorola, Inc. | Multi-user serial data bus |
US4631659A (en) | 1984-03-08 | 1986-12-23 | Texas Instruments Incorporated | Memory interface with automatic delay state |
US4766536A (en) * | 1984-04-19 | 1988-08-23 | Rational | Computer bus apparatus with distributed arbitration |
US4775931A (en) * | 1984-05-11 | 1988-10-04 | Hewlett-Packard Company | Dynamically configured computing device |
US4566098A (en) * | 1984-05-14 | 1986-01-21 | Northern Telecom Limited | Control mechanism for a ring communication system |
US4727475A (en) * | 1984-05-18 | 1988-02-23 | Frederick Kiremidjian | Self-configuring modular computer system with automatic address initialization |
US4649516A (en) * | 1984-06-01 | 1987-03-10 | International Business Machines Corp. | Dynamic row buffer circuit for DRAM |
JPS60261095A (ja) | 1984-06-06 | 1985-12-24 | Mitsubishi Electric Corp | 半導体記憶装置 |
US4712194A (en) * | 1984-06-08 | 1987-12-08 | Matsushita Electric Industrial Co., Ltd. | Static random access memory |
DE3584318D1 (de) | 1984-06-29 | 1991-11-14 | Ibm | Hochgeschwindigkeitspufferspeicheranordnung fuer schnelle datenuebertragung. |
USH696H (en) | 1984-07-03 | 1989-10-03 | Cpt Corporation | System for accessing shared resource device by intelligent user devices |
JPS6154098A (ja) * | 1984-08-23 | 1986-03-18 | Fujitsu Ltd | パルス発生回路 |
US4637018A (en) | 1984-08-29 | 1987-01-13 | Burroughs Corporation | Automatic signal delay adjustment method |
JPH0799616B2 (ja) * | 1984-08-30 | 1995-10-25 | 三菱電機株式会社 | 半導体記憶装置 |
US4773005A (en) * | 1984-09-07 | 1988-09-20 | Tektronix, Inc. | Dynamic address assignment system |
JPS6172350A (ja) | 1984-09-14 | 1986-04-14 | Fujitsu Ltd | デ−タ転送制御方式 |
US4646269A (en) | 1984-09-18 | 1987-02-24 | Monolithic Memories, Inc. | Multiple programmable initialize words in a programmable read only memory |
JPS6172350U (ko) | 1984-10-12 | 1986-05-16 | ||
US4629909A (en) | 1984-10-19 | 1986-12-16 | American Microsystems, Inc. | Flip-flop for storing data on both leading and trailing edges of clock signal |
US4641276A (en) | 1984-10-22 | 1987-02-03 | General Electric Company | Serial-parallel data transfer system for VLSI data paths |
JPS61102333A (ja) * | 1984-10-24 | 1986-05-21 | Nippon Denso Co Ltd | 自動車の差動装置 |
JPS61107453A (ja) | 1984-10-30 | 1986-05-26 | Nec Corp | モジユ−ルアドレス設定回路 |
US4758993A (en) * | 1984-11-19 | 1988-07-19 | Fujitsu Limited | Random access memory device formed on a semiconductor substrate having an array of memory cells divided into sub-arrays |
US4625307A (en) | 1984-12-13 | 1986-11-25 | United Technologies Corporation | Apparatus for interfacing between at least one channel and at least one bus |
DE3543911A1 (de) | 1984-12-14 | 1986-06-26 | Mitsubishi Denki K.K., Tokio/Tokyo | Digitale verzoegerungseinheit |
US4633440A (en) | 1984-12-31 | 1986-12-30 | International Business Machines | Multi-port memory chip in a hierarchical memory |
US4675813A (en) * | 1985-01-03 | 1987-06-23 | Northern Telecom Limited | Program assignable I/O addresses for a computer |
US4683555A (en) * | 1985-01-22 | 1987-07-28 | Texas Instruments Incorporated | Serial accessed semiconductor memory with reconfigureable shift registers |
DE3588186T2 (de) | 1985-01-22 | 1998-12-03 | Texas Instruments Inc., Dallas, Tex. | Halbleiterspeicher mit Serienzugriff |
US4636986B1 (en) | 1985-01-22 | 1999-12-07 | Texas Instruments Inc | Separately addressable memory arrays in a multiple array semiconductor chip |
US4984217A (en) * | 1985-01-23 | 1991-01-08 | Hitachi, Ltd. | Semiconductor memory |
US4712190A (en) | 1985-01-25 | 1987-12-08 | Digital Equipment Corporation | Self-timed random access memory chip |
JPS61175845A (ja) * | 1985-01-31 | 1986-08-07 | Toshiba Corp | マイクロプロセツサシステム |
US4719602A (en) * | 1985-02-07 | 1988-01-12 | Visic, Inc. | Memory with improved column access |
DE3504992A1 (de) * | 1985-02-14 | 1986-08-14 | Brown, Boveri & Cie Ag, 6800 Mannheim | Leistungshalbleitermodul mit integriertem waermerohr |
US4933835A (en) * | 1985-02-22 | 1990-06-12 | Intergraph Corporation | Apparatus for maintaining consistency of a cache memory with a primary memory |
US4740924A (en) | 1985-02-25 | 1988-04-26 | Siemens Aktiengesellschaft | Circuit arrangement comprising a matrix-shaped memory arrangement for variably adjustable time delay of digital signals |
US4769778A (en) | 1985-02-25 | 1988-09-06 | Siemens Aktiengesellschaft | Circuit arrangement comprising a matrix-shaped memory arrangement for digital filtration of image signals in row and column directions |
EP0194939B1 (en) * | 1985-03-14 | 1992-02-05 | Fujitsu Limited | Semiconductor memory device |
US4685088A (en) | 1985-04-15 | 1987-08-04 | International Business Machines Corporation | High performance memory system utilizing pipelining techniques |
US4726021A (en) | 1985-04-17 | 1988-02-16 | Hitachi, Ltd. | Semiconductor memory having error correcting means |
US4744062A (en) | 1985-04-23 | 1988-05-10 | Hitachi, Ltd. | Semiconductor integrated circuit with nonvolatile memory |
DE3578905D1 (de) * | 1985-04-23 | 1990-08-30 | Ibm | Nebenanschlussanordnung und verfahren zum stationsanschliessen fuer ein ringkommunikationssystem. |
JPS61267148A (ja) * | 1985-05-22 | 1986-11-26 | Hitachi Ltd | 記憶回路 |
US4644532A (en) * | 1985-06-10 | 1987-02-17 | International Business Machines Corporation | Automatic update of topology in a hybrid network |
US4951251A (en) * | 1985-06-17 | 1990-08-21 | Hitachi, Ltd. | Semiconductor memory device |
US4703418A (en) | 1985-06-28 | 1987-10-27 | Hewlett-Packard Company | Method and apparatus for performing variable length data read transactions |
US4893174A (en) * | 1985-07-08 | 1990-01-09 | Hitachi, Ltd. | High density integration of semiconductor circuit |
JPS6216289A (ja) | 1985-07-16 | 1987-01-24 | Nec Corp | 読出し専用メモリ |
US4680738A (en) * | 1985-07-30 | 1987-07-14 | Advanced Micro Devices, Inc. | Memory with sequential mode |
US4658381A (en) * | 1985-08-05 | 1987-04-14 | Motorola, Inc. | Bit line precharge on a column address change |
US4750839A (en) * | 1985-08-07 | 1988-06-14 | Texas Instruments Incorporated | Semiconductor memory with static column decode and page mode addressing capability |
JPS6238593A (ja) * | 1985-08-14 | 1987-02-19 | Fujitsu Ltd | ダイナミツク型半導体記憶装置 |
JPS6240693A (ja) * | 1985-08-16 | 1987-02-21 | Fujitsu Ltd | ニブル・モ−ド機能を有する半導体記憶装置 |
JPS6251509A (ja) | 1985-08-27 | 1987-03-06 | Nec Home Electronics Ltd | 移載装置 |
JPH0736269B2 (ja) * | 1985-08-30 | 1995-04-19 | 株式会社日立製作所 | 半導体記憶装置 |
JPS6265298A (ja) * | 1985-09-17 | 1987-03-24 | Fujitsu Ltd | Epromの書き込み方式 |
JPH0638696B2 (ja) | 1985-09-20 | 1994-05-18 | 株式会社東芝 | 電力変換装置 |
US4835733A (en) | 1985-09-30 | 1989-05-30 | Sgs-Thomson Microelectronics, Inc. | Programmable access memory |
JP2664137B2 (ja) * | 1985-10-29 | 1997-10-15 | 凸版印刷株式会社 | Icカード |
US4920483A (en) * | 1985-11-15 | 1990-04-24 | Data General Corporation | A computer memory for accessing any word-sized group of contiguous bits |
US4740923A (en) * | 1985-11-19 | 1988-04-26 | Hitachi, Ltd | Memory circuit and method of controlling the same |
US4779089A (en) * | 1985-11-27 | 1988-10-18 | Tektronix, Inc. | Bus arbitration controller |
US4792926A (en) * | 1985-12-09 | 1988-12-20 | Kabushiki Kaisha Toshiba | High speed memory system for use with a control bus bearing contiguous segmentially intermixed data read and data write request signals |
US4858112A (en) * | 1985-12-17 | 1989-08-15 | General Electric Company | Interface comprising message and protocol processors for interfacing digital data with a bus network |
US4748617A (en) | 1985-12-20 | 1988-05-31 | Network Systems Corporation | Very high-speed digital data bus |
GB2187367B (en) * | 1986-01-09 | 1990-03-28 | Ricoh Kk | Control system for local area network |
US4785396A (en) * | 1986-01-28 | 1988-11-15 | Intel Corporation | Push-pull serial bus coupled to a plurality of devices each having collision detection circuit and arbitration circuit |
US4755937A (en) | 1986-02-14 | 1988-07-05 | Prime Computer, Inc. | Method and apparatus for high bandwidth shared memory |
DE3604966C1 (de) * | 1986-02-17 | 1987-04-09 | Nixdorf Computer Ag | Schaltungsanordnung zum Vermitteln von Binaersignalen,insbesondere PCM-Signalen |
JPH0754638B2 (ja) * | 1986-02-18 | 1995-06-07 | 松下電子工業株式会社 | シフトレジスタ |
JPS62202537A (ja) | 1986-02-19 | 1987-09-07 | Hitachi Ltd | 半導体集積回路装置 |
US4719627A (en) * | 1986-03-03 | 1988-01-12 | Unisys Corporation | Memory system employing a low DC power gate array for error correction |
US4949301A (en) | 1986-03-06 | 1990-08-14 | Advanced Micro Devices, Inc. | Improved pointer FIFO controller for converting a standard RAM into a simulated dual FIFO by controlling the RAM's address inputs |
US4870562A (en) | 1986-03-20 | 1989-09-26 | Nec Corporation | Microcomputer capable of accessing internal memory at a desired variable access time |
US4706166A (en) | 1986-04-25 | 1987-11-10 | Irvine Sensors Corporation | High-density electronic modules--process and product |
CA1293565C (en) | 1986-04-28 | 1991-12-24 | Norio Ebihara | Semiconductor memory |
US4979145A (en) | 1986-05-01 | 1990-12-18 | Motorola, Inc. | Structure and method for improving high speed data rate in a DRAM |
US4825416A (en) * | 1986-05-07 | 1989-04-25 | Advanced Micro Devices, Inc. | Integrated electronic memory circuit with internal timing and operable in both latch-based and register-based systems |
JPS62287499A (ja) * | 1986-06-06 | 1987-12-14 | Fujitsu Ltd | 半導体メモリ装置 |
JPH081754B2 (ja) * | 1986-06-10 | 1996-01-10 | 日本電気株式会社 | メモリ回路 |
DE3789731T2 (de) | 1986-06-20 | 1994-08-18 | Hitachi Ltd | Digitaler Videosignalprozessor. |
JPS634492A (ja) * | 1986-06-23 | 1988-01-09 | Mitsubishi Electric Corp | 半導体記憶装置 |
US4803621A (en) * | 1986-07-24 | 1989-02-07 | Sun Microsystems, Inc. | Memory access system |
US4835674A (en) | 1986-07-28 | 1989-05-30 | Bull Hn Information Systems Inc. | Computer network system for multiple processing elements |
JPS6334795A (ja) | 1986-07-29 | 1988-02-15 | Mitsubishi Electric Corp | 半導体記憶装置 |
US5031072A (en) * | 1986-08-01 | 1991-07-09 | Texas Instruments Incorporated | Baseboard for orthogonal chip mount |
US4747100A (en) | 1986-08-11 | 1988-05-24 | Allen-Bradley Company, Inc. | Token passing network utilizing active node table |
JPS6346864A (ja) | 1986-08-14 | 1988-02-27 | Nec Corp | フアクシミリ装置 |
US5276846A (en) | 1986-09-15 | 1994-01-04 | International Business Machines Corporation | Fast access memory structure |
US4845664A (en) * | 1986-09-15 | 1989-07-04 | International Business Machines Corp. | On-chip bit reordering structure |
US4754433A (en) | 1986-09-16 | 1988-06-28 | Ibm Corporation | Dynamic ram having multiplexed twin I/O line pairs |
US4799199A (en) * | 1986-09-18 | 1989-01-17 | Motorola, Inc. | Bus master having burst transfer mode |
US4785394A (en) * | 1986-09-19 | 1988-11-15 | Datapoint Corporation | Fair arbitration technique for a split transaction bus in a multiprocessor computer system |
US4719505A (en) | 1986-09-19 | 1988-01-12 | M/A-Com Government Systems, Inc. | Color burst regeneration |
JPS6391766A (ja) | 1986-10-06 | 1988-04-22 | Fujitsu Ltd | 記憶装置アクセス制御方式 |
US4922141A (en) | 1986-10-07 | 1990-05-01 | Western Digital Corporation | Phase-locked loop delay line |
GB2197553A (en) | 1986-10-07 | 1988-05-18 | Western Digital Corp | Phase-locked loop delay line |
EP0265574B1 (en) * | 1986-10-30 | 1992-04-29 | International Business Machines Corporation | Daisy chain bus access configuration |
US4839801A (en) | 1986-11-03 | 1989-06-13 | Saxpy Computer Corporation | Architecture for block processing computer system |
US5140688A (en) | 1986-11-10 | 1992-08-18 | Texas Instruments Incorporated | GaAs integrated circuit programmable delay line element |
JPH01130240A (ja) * | 1987-11-16 | 1989-05-23 | Yokogawa Hewlett Packard Ltd | データ列発生装置 |
CA1258912A (en) * | 1986-11-20 | 1989-08-29 | Stephen J. King | Interactive real-time video processor with zoom, pan and scroll capability |
JPS63132365A (ja) * | 1986-11-22 | 1988-06-04 | Nec Corp | バス調停制御方式 |
JPS63142445A (ja) | 1986-12-05 | 1988-06-14 | Agency Of Ind Science & Technol | メモリ装置 |
JPS63146298A (ja) | 1986-12-10 | 1988-06-18 | Mitsubishi Electric Corp | 可変語長シフトレジスタ |
JPS63276795A (ja) | 1986-12-16 | 1988-11-15 | Mitsubishi Electric Corp | 可変長シフトレジスタ |
EP0272869B1 (en) * | 1986-12-19 | 1993-07-14 | Fujitsu Limited | Dual port type semiconductor memory device realizing a high speed read operation |
US4764846A (en) * | 1987-01-05 | 1988-08-16 | Irvine Sensors Corporation | High density electronic package comprising stacked sub-modules |
JPS63175287A (ja) * | 1987-01-16 | 1988-07-19 | Hitachi Ltd | 記憶装置 |
US5018109A (en) | 1987-01-16 | 1991-05-21 | Hitachi, Ltd. | Memory including address registers for increasing access speed to the memory |
US4789960A (en) | 1987-01-30 | 1988-12-06 | Rca Licensing Corporation | Dual port video memory system having semi-synchronous data input and data output |
US4821226A (en) | 1987-01-30 | 1989-04-11 | Rca Licensing Corporation | Dual port video memory system having a bit-serial address input port |
US4851990A (en) | 1987-02-09 | 1989-07-25 | Advanced Micro Devices, Inc. | High performance processor interface between a single chip processor and off chip memory means having a dedicated and shared bus structure |
US4782439A (en) | 1987-02-17 | 1988-11-01 | Intel Corporation | Direct memory access system for microcontroller |
GB8704315D0 (en) * | 1987-02-24 | 1987-04-01 | Int Computers Ltd | Data display apparatus |
JPS63142445U (ko) | 1987-03-10 | 1988-09-20 | ||
US5038320A (en) * | 1987-03-13 | 1991-08-06 | International Business Machines Corp. | Computer system with automatic initialization of pluggable option cards |
US5056060A (en) * | 1987-03-13 | 1991-10-08 | Apple Computer, Inc. | Printed circuit card with self-configuring memory system for non-contentious allocation of reserved memory space among expansion cards |
DE3870680D1 (de) | 1987-03-20 | 1992-06-11 | Hitachi Ltd | Taktsignal-versorgungssystem. |
US5184027A (en) | 1987-03-20 | 1993-02-02 | Hitachi, Ltd. | Clock signal supply system |
US4837682A (en) * | 1987-04-07 | 1989-06-06 | Glen Culler & Associates | Bus arbitration system and method |
US4858113A (en) | 1987-04-10 | 1989-08-15 | The United States Of America As Represented By The Director Of The National Security Agency | Reconfigurable pipelined processor |
KR960009249B1 (ko) * | 1987-04-24 | 1996-07-16 | 미다 가쓰시게 | 반도체 메모리 |
US5133064A (en) | 1987-04-27 | 1992-07-21 | Hitachi, Ltd. | Data processing system generating clock signal from an input clock, phase locked to the input clock and used for clocking logic devices |
JPS63271679A (ja) * | 1987-04-30 | 1988-11-09 | Toshiba Corp | デ−タ書込み方式 |
US4937733A (en) * | 1987-05-01 | 1990-06-26 | Digital Equipment Corporation | Method and apparatus for assuring adequate access to system resources by processors in a multiprocessor computer system |
JPS63281519A (ja) * | 1987-05-13 | 1988-11-18 | Noboru Yamaguchi | 同期クロック信号発生装置 |
US4805198A (en) * | 1987-05-19 | 1989-02-14 | Crystal Semiconductor Corporation | Clock multiplier/jitter attenuator |
US4761567A (en) | 1987-05-20 | 1988-08-02 | Advanced Micro Devices, Inc. | Clock scheme for VLSI systems |
US4817058A (en) * | 1987-05-21 | 1989-03-28 | Texas Instruments Incorporated | Multiple input/output read/write memory having a multiple-cycle write mask |
JPS63296292A (ja) * | 1987-05-27 | 1988-12-02 | Mitsubishi Electric Corp | 半導体装置 |
JPS63304491A (ja) * | 1987-06-04 | 1988-12-12 | Mitsubishi Electric Corp | 半導体メモリ |
US4785428A (en) | 1987-06-18 | 1988-11-15 | Intel Corporation | Programmable memory array control signals |
JPS6429951A (en) | 1987-07-24 | 1989-01-31 | Hitachi Ltd | Storage system |
JP2714944B2 (ja) | 1987-08-05 | 1998-02-16 | 三菱電機株式会社 | 半導体記憶装置 |
US4807189A (en) * | 1987-08-05 | 1989-02-21 | Texas Instruments Incorporated | Read/write memory having a multiple column select mode |
JP2590122B2 (ja) * | 1987-08-07 | 1997-03-12 | 富士通株式会社 | 半導体メモリ |
JP2679994B2 (ja) * | 1987-08-14 | 1997-11-19 | 株式会社日立製作所 | ベクトル処理装置 |
US4845677A (en) | 1987-08-17 | 1989-07-04 | International Business Machines Corporation | Pipelined memory chip structure having improved cycle time |
JPS6429951U (ko) | 1987-08-17 | 1989-02-22 | ||
US4930065A (en) | 1987-08-20 | 1990-05-29 | David Computer Corporation | Automatic data channels for a computer system |
JPH0671241B2 (ja) | 1987-09-10 | 1994-09-07 | 株式会社ケンウッド | スペクトラム拡散通信の初期同期方式 |
US5179687A (en) * | 1987-09-26 | 1993-01-12 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor memory device containing a cache and an operation method thereof |
JPS6488662A (en) | 1987-09-29 | 1989-04-03 | Fujitsu Ltd | Semiconductor memory |
JP2701030B2 (ja) * | 1987-10-09 | 1998-01-21 | 株式会社日立製作所 | 高速記憶装置の書込制御回路 |
US5040153A (en) * | 1987-10-23 | 1991-08-13 | Chips And Technologies, Incorporated | Addressing multiple types of memory devices |
US5051889A (en) * | 1987-10-23 | 1991-09-24 | Chips And Technologies, Incorporated | Page interleaved memory access |
US4964038A (en) * | 1987-10-28 | 1990-10-16 | International Business Machines Corp. | Data processing system having automatic address allocation arrangements for addressing interface cards |
KR970008786B1 (ko) | 1987-11-02 | 1997-05-29 | 가부시기가이샤 히다찌세이사꾸쇼 | 반도체 집적회로 |
US5226147A (en) * | 1987-11-06 | 1993-07-06 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor memory device for simple cache system |
US4947484A (en) * | 1987-11-10 | 1990-08-07 | Echelon Systems Corporation | Protocol for network having a plurality of intelligent cells |
US4920486A (en) * | 1987-11-23 | 1990-04-24 | Digital Equipment Corporation | Distributed arbitration apparatus and method for shared bus |
US4855809A (en) * | 1987-11-24 | 1989-08-08 | Texas Instruments Incorporated | Orthogonal chip mount system module and method |
JPH01146187A (ja) | 1987-12-02 | 1989-06-08 | Mitsubishi Electric Corp | キヤッシュメモリ内蔵半導体記憶装置 |
JPH01236494A (ja) | 1988-03-17 | 1989-09-21 | Hitachi Ltd | 半導体集積回路装置 |
US5014242A (en) * | 1987-12-10 | 1991-05-07 | Hitachi, Ltd. | Semiconductor device for a ram disposed on chip so as to minimize distances of signal paths between the logic circuits and memory circuit |
US6112287A (en) | 1993-03-01 | 2000-08-29 | Busless Computers Sarl | Shared memory multiprocessor system using a set of serial links as processors-memory switch |
US4878166A (en) | 1987-12-15 | 1989-10-31 | Advanced Micro Devices, Inc. | Direct memory access apparatus and methods for transferring data between buses having different performance characteristics |
JPH01166545A (ja) * | 1987-12-22 | 1989-06-30 | Nec Corp | ジグザグ型ic |
US5093807A (en) | 1987-12-23 | 1992-03-03 | Texas Instruments Incorporated | Video frame storage system |
US4954992A (en) * | 1987-12-24 | 1990-09-04 | Mitsubishi Denki Kabushiki Kaisha | Random access memory having separate read out and write in bus lines for reduced access time and operating method therefor |
US4959816A (en) * | 1987-12-28 | 1990-09-25 | Kabushiki Kaisha Toshiba | Semiconductor integrated circuit |
US5200926A (en) * | 1987-12-28 | 1993-04-06 | Kabushiki Kaisha Toshiba | Semiconductor integrated circuit |
US5157776A (en) | 1987-12-30 | 1992-10-20 | Zenith Data Systems Corporation | High speed memory for microcomputer systems |
JPH0821234B2 (ja) * | 1988-01-14 | 1996-03-04 | 三菱電機株式会社 | ダイナミック型半導体記憶装置およびその制御方法 |
US4873671A (en) | 1988-01-28 | 1989-10-10 | National Semiconductor Corporation | Sequential read access of serial memories with a user defined starting address |
US4916670A (en) * | 1988-02-02 | 1990-04-10 | Fujitsu Limited | Semiconductor memory device having function of generating write signal internally |
US5101117A (en) | 1988-02-17 | 1992-03-31 | Mips Computer Systems | Variable delay line phase-locked loop circuit synchronization system |
IL89120A (en) | 1988-02-17 | 1992-08-18 | Mips Computer Systems Inc | Circuit synchronization system |
JPH0786853B2 (ja) * | 1988-02-29 | 1995-09-20 | 株式会社ピーエフユー | バス転送制御方式 |
US4975763A (en) * | 1988-03-14 | 1990-12-04 | Texas Instruments Incorporated | Edge-mounted, surface-mount package for semiconductor integrated circuit devices |
JPH021671A (ja) * | 1988-03-17 | 1990-01-05 | Toshiba Corp | パケット交換機の負荷制御方式 |
JP2923786B2 (ja) | 1988-03-18 | 1999-07-26 | 日立マクセル株式会社 | 半導体ファイルメモリ及びそれを用いる記憶システム |
US4811364A (en) | 1988-04-01 | 1989-03-07 | Digital Equipment Corporation | Method and apparatus for stabilized data transmission |
US5193199A (en) * | 1988-04-14 | 1993-03-09 | Zilog, Inc. | Device and method for programming critical hardware parameters |
US5220673A (en) * | 1988-04-14 | 1993-06-15 | Zilog, Inc. | Device and method for programming critical hardware parameters |
CA1301261C (en) * | 1988-04-27 | 1992-05-19 | Wayne D. Grover | Method and apparatus for clock distribution and for distributed clock synchronization |
US5301278A (en) * | 1988-04-29 | 1994-04-05 | International Business Machines Corporation | Flexible dynamic memory controller |
JPH0212541A (ja) * | 1988-04-29 | 1990-01-17 | Internatl Business Mach Corp <Ibm> | コンピユーテイング・システム及びその動作方法 |
US5029124A (en) | 1988-05-17 | 1991-07-02 | Digital Equipment Corporation | Method and apparatus for providing high speed parallel transfer of bursts of data |
JP2818415B2 (ja) * | 1988-05-18 | 1998-10-30 | 日本電気株式会社 | バッファ記憶装置 |
US5254880A (en) * | 1988-05-25 | 1993-10-19 | Hitachi, Ltd. | Large scale integrated circuit having low internal operating voltage |
US5034917A (en) * | 1988-05-26 | 1991-07-23 | Bland Patrick M | Computer system including a page mode memory with decreased access time and method of operation thereof |
DK163397C (da) | 1988-06-24 | 1992-07-13 | Nordiske Kabel Traad | Fremgangsmaade ved regulering af en taktgenerators fase i forhold til et datasignal |
US5134699A (en) | 1988-06-24 | 1992-07-28 | Advanced Micro Devices, Inc. | Programmable burst data transfer apparatus and technique |
US4870622A (en) | 1988-06-24 | 1989-09-26 | Advanced Micro Devices, Inc. | DRAM controller cache |
US4953130A (en) * | 1988-06-27 | 1990-08-28 | Texas Instruments, Incorporated | Memory circuit with extended valid data output time |
US5210715A (en) * | 1988-06-27 | 1993-05-11 | Texas Instruments Incorporated | Memory circuit with extended valid data output time |
US5404327A (en) * | 1988-06-30 | 1995-04-04 | Texas Instruments Incorporated | Memory device with end of cycle precharge utilizing write signal and data transition detectors |
JP2534757B2 (ja) * | 1988-07-06 | 1996-09-18 | 株式会社東芝 | リフレッシュ回路 |
US5111423A (en) * | 1988-07-21 | 1992-05-05 | Altera Corporation | Programmable interface for computer system peripheral circuit card |
US5038317A (en) * | 1988-07-25 | 1991-08-06 | Allen-Bradley Company, Inc. | Programmable controller module rack with a relative rack slot addressing mechanism |
US4912630A (en) | 1988-07-29 | 1990-03-27 | Ncr Corporation | Cache address comparator with sram having burst addressing control |
US4939510A (en) * | 1988-08-22 | 1990-07-03 | E-Systems, Inc. | Broadband communications RF packet collision detection |
JP2574474B2 (ja) | 1988-08-23 | 1997-01-22 | 富士通株式会社 | 光モジュール |
JPH0697614B2 (ja) | 1988-08-26 | 1994-11-30 | エヌ・イーケムキャット株式会社 | 担持白金合金電極触媒 |
US5247518A (en) * | 1988-09-02 | 1993-09-21 | Hitachi, Ltd. | High-speed ring lan system |
US5206833A (en) * | 1988-09-12 | 1993-04-27 | Acer Incorporated | Pipelined dual port RAM |
JP2633645B2 (ja) * | 1988-09-13 | 1997-07-23 | 株式会社東芝 | 半導体メモリ装置 |
US5179667A (en) | 1988-09-14 | 1993-01-12 | Silicon Graphics, Inc. | Synchronized DRAM control apparatus using two different clock rates |
US5193193A (en) | 1988-09-14 | 1993-03-09 | Silicon Graphics, Inc. | Bus control system for arbitrating requests with predetermined on/off time limitations |
JPH0283891A (ja) * | 1988-09-20 | 1990-03-23 | Fujitsu Ltd | 半導体メモリ |
US4932002A (en) * | 1988-09-30 | 1990-06-05 | Texas Instruments, Incorporated | Bit line latch sense amp |
FI81225C (fi) | 1988-09-30 | 1990-09-10 | Kone Oy | Foerfarande och anordning foer att saenda meddelande i binaerform i en serietrafikbuss. |
US5138434A (en) * | 1991-01-22 | 1992-08-11 | Micron Technology, Inc. | Packaging for semiconductor logic devices |
US4975877A (en) * | 1988-10-20 | 1990-12-04 | Logic Devices Incorporated | Static semiconductor memory with improved write recovery and column address circuitry |
US5006982A (en) | 1988-10-21 | 1991-04-09 | Siemens Ak. | Method of increasing the bandwidth of a packet bus by reordering reply packets |
US4956694A (en) * | 1988-11-04 | 1990-09-11 | Dense-Pac Microsystems, Inc. | Integrated circuit chip stacking |
JP2674809B2 (ja) * | 1988-11-07 | 1997-11-12 | 日本電気株式会社 | 情報処理装置 |
US5034964A (en) | 1988-11-08 | 1991-07-23 | Tandem Computers Incorporated | N:1 time-voltage matrix encoded I/O transmission system |
US4975872A (en) * | 1988-11-17 | 1990-12-04 | Matsushita Electric Industrial Co., Ltd. | Dual port memory device with tag bit marking |
US5148523A (en) * | 1988-11-29 | 1992-09-15 | Solbourne Computer, Inc. | Dynamic video RAM incorporationg on chip line modification |
US5142637A (en) * | 1988-11-29 | 1992-08-25 | Solbourne Computer, Inc. | Dynamic video RAM incorporating single clock random port control |
US5023838A (en) | 1988-12-02 | 1991-06-11 | Ncr Corporation | Random access memory device with integral logic capability |
US5142376A (en) | 1988-12-16 | 1992-08-25 | Canon Kabushiki Kaisha | Image signal recording and reproducing system with pilot signal phase-locked with a synchronizing signal |
US5018111A (en) | 1988-12-27 | 1991-05-21 | Intel Corporation | Timing circuit for memory employing reset function |
US4982400A (en) * | 1988-12-29 | 1991-01-01 | Intel Corporation | Ring bus hub for a star local area network |
US4864563A (en) | 1989-01-09 | 1989-09-05 | E-Systems, Inc. | Method for establishing and maintaining a nodal network in a communication system |
US5123100A (en) | 1989-01-13 | 1992-06-16 | Nec Corporation | Timing control method in a common bus system having delay and phase correcting circuits for transferring data in synchronization and time division slot among a plurality of transferring units |
US5129069A (en) * | 1989-01-24 | 1992-07-07 | Zenith Data Systems Corporation | Method and apparatus for automatic memory configuration by a computer |
JPH02210685A (ja) * | 1989-02-10 | 1990-08-22 | Tokyo Electric Co Ltd | Dramコントローラ |
US4937734A (en) * | 1989-02-21 | 1990-06-26 | Sun Microsystems, Inc. | High speed bus with virtual memory data transfer and rerun cycle capability |
US5099481A (en) | 1989-02-28 | 1992-03-24 | Integrated Device Technology, Inc. | Registered RAM array with parallel and serial interface |
US5111486A (en) | 1989-03-15 | 1992-05-05 | Motorola, Inc. | Bit synchronizer |
JPH02246151A (ja) * | 1989-03-20 | 1990-10-01 | Hitachi Ltd | 抵抗手段と論理回路、入力回路、ヒューズ切断回路、駆動回路、電源回路、静電保護回路及びこれらを含む半導体記憶装置ならびにそのレイアウト方式及びテスト方式 |
US4998069A (en) * | 1989-03-31 | 1991-03-05 | Tandem Computers Incorporated | Loopback tester for testing field replaceable units |
CA2011935A1 (en) * | 1989-04-07 | 1990-10-07 | Desiree A. Awiszio | Dual-path computer interconnect system with four-ported packet memory control |
US4940909A (en) * | 1989-05-12 | 1990-07-10 | Plus Logic, Inc. | Configuration control circuit for programmable logic devices |
US5114423A (en) * | 1989-05-15 | 1992-05-19 | Advanced Cardiovascular Systems, Inc. | Dilatation catheter assembly with heated balloon |
US5001672A (en) | 1989-05-16 | 1991-03-19 | International Business Machines Corporation | Video ram with external select of active serial access register |
US5175822A (en) * | 1989-06-19 | 1992-12-29 | International Business Machines Corporation | Apparatus and method for assigning addresses to scsi supported peripheral devices |
EP0405411B1 (en) * | 1989-06-26 | 1995-11-15 | Nec Corporation | Semiconductor memory having improved data readout scheme |
US4901036A (en) | 1989-06-29 | 1990-02-13 | Motorola, Inc. | Frequency synthesizer with an interface controller and buffer memory |
US4954987A (en) * | 1989-07-17 | 1990-09-04 | Advanced Micro Devices, Inc. | Interleaved sensing system for FIFO and burst-mode memories |
CA2017458C (en) * | 1989-07-24 | 2000-10-10 | Jonathan R. Engdahl | Intelligent network interface circuit |
KR940008295B1 (ko) * | 1989-08-28 | 1994-09-10 | 가부시기가이샤 히다찌세이사꾸쇼 | 반도체메모리 |
JPH0394350A (ja) * | 1989-09-07 | 1991-04-19 | Nec Corp | 半導体記憶装置 |
US5163131A (en) * | 1989-09-08 | 1992-11-10 | Auspex Systems, Inc. | Parallel i/o network file server architecture |
US5107465A (en) | 1989-09-13 | 1992-04-21 | Advanced Micro Devices, Inc. | Asynchronous/synchronous pipeline dual mode memory access circuit and method |
US4970418A (en) * | 1989-09-26 | 1990-11-13 | Apple Computer, Inc. | Programmable memory state machine for providing variable clocking to a multimode memory |
JP2982905B2 (ja) * | 1989-10-02 | 1999-11-29 | 三菱電機株式会社 | ダイナミック型半導体記憶装置 |
US4998262A (en) * | 1989-10-10 | 1991-03-05 | Hewlett-Packard Company | Generation of topology independent reference signals |
US5121382A (en) * | 1989-10-11 | 1992-06-09 | Digital Equipment Corporation | Station-to-station full duplex communication in a communications network |
US5058132A (en) | 1989-10-26 | 1991-10-15 | National Semiconductor Corporation | Clock distribution system and technique |
US5448744A (en) * | 1989-11-06 | 1995-09-05 | Motorola, Inc. | Integrated circuit microprocessor with programmable chip select logic |
GB8925723D0 (en) | 1989-11-14 | 1990-01-04 | Amt Holdings | Processor array system |
DE69031936T2 (de) * | 1989-11-17 | 1998-06-10 | Digital Equipment Corp | System und Verfahren zur Speicherung von Firmware in einem adressunabhängigen Format |
US5111464A (en) * | 1989-12-01 | 1992-05-05 | Mips Computer Systems, Inc. | Interrupt reporting for single-bit memory errors |
US5179670A (en) * | 1989-12-01 | 1993-01-12 | Mips Computer Systems, Inc. | Slot determination mechanism using pulse counting |
US4998222A (en) | 1989-12-04 | 1991-03-05 | Nec Electronics Inc. | Dynamic random access memory with internally gated RAS |
US5278974A (en) * | 1989-12-04 | 1994-01-11 | Digital Equipment Corporation | Method and apparatus for the dynamic adjustment of data transfer timing to equalize the bandwidths of two buses in a computer system having different bandwidths |
US5175831A (en) * | 1989-12-05 | 1992-12-29 | Zilog, Inc. | System register initialization technique employing a non-volatile/read only memory |
EP0433818B1 (en) * | 1989-12-19 | 1998-11-11 | 3Com Corporation | Method for configuring a computer bus adapter circuit board without the use of jumpers or switches |
US5036495A (en) | 1989-12-28 | 1991-07-30 | International Business Machines Corp. | Multiple mode-set for IC chip |
US5175835A (en) * | 1990-01-10 | 1992-12-29 | Unisys Corporation | Multi-mode DRAM controller |
US5021985A (en) | 1990-01-19 | 1991-06-04 | Weitek Corporation | Variable latency method and apparatus for floating-point coprocessor |
US5107491A (en) | 1990-02-20 | 1992-04-21 | Advanced Micro Devices, Inc. | Collision filter |
US5012408A (en) * | 1990-03-15 | 1991-04-30 | Digital Equipment Corporation | Memory array addressing system for computer systems with multiple memory arrays |
US5301155A (en) * | 1990-03-20 | 1994-04-05 | Mitsubishi Denki Kabushiki Kaisha | Multiblock semiconduction storage device including simultaneous operation of a plurality of block defect determination circuits |
US5266848A (en) * | 1990-03-28 | 1993-11-30 | Hitachi, Ltd. | CMOS circuit with reduced signal swing |
EP0449052A3 (en) | 1990-03-29 | 1993-02-24 | National Semiconductor Corporation | Parity test method and apparatus for a memory chip |
JP2938511B2 (ja) * | 1990-03-30 | 1999-08-23 | 三菱電機株式会社 | 半導体記憶装置 |
US5023488A (en) * | 1990-03-30 | 1991-06-11 | Xerox Corporation | Drivers and receivers for interfacing VLSI CMOS circuits to transmission lines |
US5181205A (en) * | 1990-04-10 | 1993-01-19 | National Semiconductor Corporation | Short circuit detector circuit for memory arrays |
IL96808A (en) * | 1990-04-18 | 1996-03-31 | Rambus Inc | Introductory / Origin Circuit Agreed Using High-Performance Brokerage |
US6324120B2 (en) * | 1990-04-18 | 2001-11-27 | Rambus Inc. | Memory device having a variable data output length |
US5243703A (en) * | 1990-04-18 | 1993-09-07 | Rambus, Inc. | Apparatus for synchronously generating clock signals in a data processing system |
US5384501A (en) * | 1990-06-15 | 1995-01-24 | Kabushiki Kaisha Toshiba | Integration circuit including a differential amplifier having a variable transconductance |
US5077693A (en) * | 1990-08-06 | 1991-12-31 | Motorola, Inc. | Dynamic random access memory |
US5270973A (en) * | 1990-08-06 | 1993-12-14 | Texas Instruments Incorporated | Video random access memory having a split register and a multiplexer |
US6249481B1 (en) * | 1991-10-15 | 2001-06-19 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
US5198995A (en) * | 1990-10-30 | 1993-03-30 | International Business Machines Corporation | Trench-capacitor-one-transistor storage cell and array for dynamic random access memories |
JP3992757B2 (ja) | 1991-04-23 | 2007-10-17 | テキサス インスツルメンツ インコーポレイテツド | マイクロプロセッサと同期するメモリ、及びデータプロセッサ、同期メモリ、周辺装置とシステムクロックを含むシステム |
US5392292A (en) * | 1991-06-27 | 1995-02-21 | Cray Research, Inc. | Configurable spare memory chips |
GB2260631B (en) * | 1991-10-17 | 1995-06-28 | Intel Corp | Microprocessor 2X core design |
US5297091A (en) * | 1991-10-31 | 1994-03-22 | International Business Machines Corporation | Early row address strobe (RAS) precharge |
US5276641A (en) * | 1991-12-12 | 1994-01-04 | International Business Machines Corporation | Hybrid open folded sense amplifier architecture for a memory device |
JP3481263B2 (ja) * | 1992-02-19 | 2003-12-22 | 株式会社リコー | シリアル記憶装置 |
US5257232A (en) * | 1992-03-05 | 1993-10-26 | International Business Machines Corporation | Sensing circuit for semiconductor memory with limited bitline voltage swing |
US5325516A (en) * | 1992-03-09 | 1994-06-28 | Chips And Technologies Inc. | Processor system with dual clock |
JPH05274879A (ja) * | 1992-03-26 | 1993-10-22 | Nec Corp | 半導体装置 |
US5254883A (en) * | 1992-04-22 | 1993-10-19 | Rambus, Inc. | Electrical current source circuitry for a bus |
US5384745A (en) * | 1992-04-27 | 1995-01-24 | Mitsubishi Denki Kabushiki Kaisha | Synchronous semiconductor memory device |
JP2892216B2 (ja) * | 1992-05-22 | 1999-05-17 | 株式会社東芝 | 半導体メモリ |
FR2694121B1 (fr) * | 1992-07-24 | 1995-09-22 | Sgs Thomson Microelectronics | Memoire en circuit integre avec prechaarge prealable en sortie. |
KR960001859B1 (ko) * | 1993-04-16 | 1996-02-06 | 삼성전자주식회사 | 반도체 메모리장치의 디코딩회로 및 그 방법 |
US5511024A (en) * | 1993-06-02 | 1996-04-23 | Rambus, Inc. | Dynamic random access memory system |
KR960006271B1 (ko) * | 1993-08-14 | 1996-05-13 | 삼성전자주식회사 | 고속동작을 위한 입출력라인구동방식을 가지는 반도체메모리장치 |
US5497347A (en) * | 1994-06-21 | 1996-03-05 | Motorola Inc. | BICMOS cache TAG comparator having redundancy and separate read an compare paths |
US5594937A (en) * | 1994-09-02 | 1997-01-14 | Ghz Equipment Company | System for the transmission and reception of directional radio signals utilizing a gigahertz implosion concept |
JP2630277B2 (ja) * | 1994-10-24 | 1997-07-16 | 日本電気株式会社 | 半導体記憶装置 |
JP3739104B2 (ja) * | 1995-02-27 | 2006-01-25 | 株式会社ルネサステクノロジ | 不揮発性半導体記憶装置 |
US5636173A (en) * | 1995-06-07 | 1997-06-03 | Micron Technology, Inc. | Auto-precharge during bank selection |
US5873114A (en) * | 1995-08-18 | 1999-02-16 | Advanced Micro Devices, Inc. | Integrated processor and memory control unit including refresh queue logic for refreshing DRAM during idle cycles |
US5604705A (en) * | 1995-08-22 | 1997-02-18 | Lucent Technologies Inc. | Static random access memory sense amplifier |
JPH09171486A (ja) * | 1995-10-16 | 1997-06-30 | Seiko Epson Corp | Pcカード |
US5860125A (en) * | 1995-11-08 | 1999-01-12 | Advanced Micro Devices, Inc. | Integrated circuit including a real time clock, configuration RAM, and memory controller in a core section which receives an asynchronous partial reset and an asynchronous master reset |
US5841707A (en) * | 1995-11-29 | 1998-11-24 | Texas Instruments Incorporated | Apparatus and method for a programmable interval timing generator in a semiconductor memory |
US5712882A (en) * | 1996-01-03 | 1998-01-27 | Credence Systems Corporation | Signal distribution system |
US5657841A (en) * | 1996-03-04 | 1997-08-19 | Morvan; Jacques | Extension cord reel assembly with ground fault interrupt outlets |
US5742798A (en) * | 1996-08-09 | 1998-04-21 | International Business Machines Corporation | Compensation of chip to chip clock skew |
KR100204810B1 (ko) * | 1996-09-13 | 1999-06-15 | 윤종용 | 소거블럭사이즈를 가변시킬 수 있는 반도체 메모리장치 |
US5953263A (en) * | 1997-02-10 | 1999-09-14 | Rambus Inc. | Synchronous memory device having a programmable register and method of controlling same |
US5825710A (en) * | 1997-02-26 | 1998-10-20 | Powerchip Semiconductor Corp. | Synchronous semiconductor memory device |
JP3504104B2 (ja) * | 1997-04-03 | 2004-03-08 | 富士通株式会社 | シンクロナスdram |
US5881016A (en) * | 1997-06-13 | 1999-03-09 | Cirrus Logic, Inc. | Method and apparatus for optimizing power consumption and memory bandwidth in a video controller using SGRAM and SDRAM power reduction modes |
JP3247639B2 (ja) * | 1997-08-07 | 2002-01-21 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 半導体メモリ、半導体メモリのデータ読み出し方法及び書き込み方法 |
KR100281898B1 (ko) * | 1998-07-21 | 2001-02-15 | 윤종용 | 데이터의 듀티 사이클을 보정하는 듀티 사이클 보정회로 및 그방법 |
-
1990
- 1990-12-27 IL IL9680890A patent/IL96808A/en not_active IP Right Cessation
-
1991
- 1991-04-16 DE DE02000378T patent/DE02000378T1/de active Pending
- 1991-04-16 DE DE06125954T patent/DE06125954T1/de active Pending
- 1991-04-16 KR KR1019920702584A patent/KR100201057B1/ko not_active IP Right Cessation
- 1991-04-16 DE DE69132121T patent/DE69132121T2/de not_active Revoked
- 1991-04-16 JP JP50805091A patent/JP3414393B2/ja not_active Expired - Lifetime
- 1991-04-16 DE DE69133500T patent/DE69133500T2/de not_active Expired - Lifetime
- 1991-04-16 EP EP06125954A patent/EP1816570A3/en not_active Withdrawn
- 1991-04-16 DE DE69133565.6T patent/DE69133565T3/de not_active Expired - Lifetime
- 1991-04-16 WO PCT/US1991/002590 patent/WO1991016680A1/en not_active Application Discontinuation
- 1991-04-16 DE DE69132501T patent/DE69132501T3/de not_active Expired - Lifetime
- 1991-04-16 EP EP06125958A patent/EP1830241B1/en not_active Revoked
- 1991-04-16 DE DE69133611T patent/DE69133611D1/de not_active Expired - Lifetime
- 1991-04-16 DE DE69133550T patent/DE69133550T2/de not_active Expired - Lifetime
- 1991-04-16 DE DE06125946T patent/DE06125946T1/de active Pending
- 1991-04-16 DE DE1022642T patent/DE1022642T1/de active Pending
- 1991-04-16 EP EP05026720A patent/EP1640847B1/en not_active Revoked
- 1991-04-16 EP EP02000378A patent/EP1197830B1/en not_active Revoked
- 1991-04-16 DE DE69133598T patent/DE69133598D1/de not_active Expired - Lifetime
- 1991-04-16 DE DE69132721T patent/DE69132721T2/de not_active Expired - Lifetime
- 1991-04-16 EP EP00108822A patent/EP1022642B1/en not_active Revoked
- 1991-04-16 DE DE06125958T patent/DE06125958T1/de active Pending
- 1991-04-16 DE DE69133572T patent/DE69133572T2/de not_active Expired - Lifetime
- 1991-04-16 DE DE00100018T patent/DE00100018T1/de active Pending
- 1991-04-16 EP EP06125946A patent/EP1816569B1/en not_active Revoked
- 1991-04-16 EP EP91908374A patent/EP0525068B1/en not_active Revoked
-
1992
- 1992-03-05 US US07/847,651 patent/US5606717A/en not_active Expired - Fee Related
- 1992-03-05 US US07/847,532 patent/US5473575A/en not_active Expired - Lifetime
- 1992-03-05 US US07/849,212 patent/US5499385A/en not_active Expired - Lifetime
- 1992-09-30 US US07/954,945 patent/US5319755A/en not_active Expired - Lifetime
-
1994
- 1994-01-18 US US08/183,573 patent/US5408129A/en not_active Expired - Fee Related
- 1994-03-31 US US08/222,646 patent/US5513327A/en not_active Expired - Lifetime
- 1994-08-14 IL IL11065094A patent/IL110650A0/xx unknown
- 1994-08-14 IL IL11064994A patent/IL110649A0/xx unknown
- 1994-08-14 IL IL11064894A patent/IL110648A0/xx unknown
-
1995
- 1995-05-24 US US08/448,657 patent/US5638334A/en not_active Expired - Lifetime
-
1996
- 1996-11-15 US US08/749,729 patent/US5657481A/en not_active Expired - Lifetime
- 1996-12-09 US US08/762,139 patent/US5809263A/en not_active Expired - Lifetime
-
1997
- 1997-02-10 US US08/796,782 patent/US5841715A/en not_active Expired - Lifetime
- 1997-02-10 US US08/798,520 patent/US5841580A/en not_active Expired - Lifetime
- 1997-02-10 US US08/798,525 patent/US5954804A/en not_active Expired - Fee Related
- 1997-03-28 US US08/829,459 patent/US6598171B1/en not_active Expired - Fee Related
- 1997-08-13 US US08/910,810 patent/US5983320A/en not_active Expired - Fee Related
- 1997-11-26 US US08/979,127 patent/US5915105A/en not_active Expired - Fee Related
-
1998
- 1998-06-16 US US09/098,387 patent/US5928343A/en not_active Expired - Fee Related
- 1998-09-25 US US09/161,090 patent/US6049846A/en not_active Expired - Fee Related
- 1998-11-20 US US09/196,199 patent/US6038195A/en not_active Expired - Fee Related
- 1998-11-27 US US09/200,446 patent/US6035365A/en not_active Expired - Fee Related
- 1998-12-17 US US09/213,243 patent/US6101152A/en not_active Expired - Fee Related
- 1998-12-28 US US09/221,108 patent/US6415339B1/en not_active Expired - Fee Related
-
1999
- 1999-01-29 US US09/239,522 patent/US6044426A/en not_active Expired - Fee Related
- 1999-02-19 US US09/252,993 patent/US6085284A/en not_active Expired - Fee Related
- 1999-02-19 US US09/252,997 patent/US6034918A/en not_active Expired - Fee Related
- 1999-02-19 US US09/252,998 patent/US6032214A/en not_active Expired - Fee Related
- 1999-03-05 US US09/263,225 patent/US6128696A/en not_active Expired - Fee Related
- 1999-03-05 US US09/263,224 patent/US6032215A/en not_active Expired - Fee Related
- 1999-03-08 US US09/263,956 patent/US6070222A/en not_active Expired - Fee Related
- 1999-07-21 US US09/357,989 patent/US6067592A/en not_active Expired - Fee Related
-
2000
- 2000-01-19 US US09/487,524 patent/US6185644B1/en not_active Expired - Fee Related
- 2000-01-27 US US09/492,982 patent/US6452863B2/en not_active Expired - Fee Related
- 2000-02-22 US US09/510,213 patent/US6182184B1/en not_active Expired - Fee Related
- 2000-02-28 US US09/514,872 patent/US6260097B1/en not_active Expired - Fee Related
- 2000-04-10 US US09/545,648 patent/US6378020B2/en not_active Expired - Fee Related
- 2000-05-08 US US09/566,551 patent/US6266285B1/en not_active Expired - Fee Related
- 2000-07-31 US US09/629,497 patent/US6314051B1/en not_active Expired - Fee Related
- 2000-09-25 US US09/669,295 patent/US6304937B1/en not_active Expired - Fee Related
-
2001
- 2001-02-08 JP JP2001031860A patent/JP3404383B2/ja not_active Expired - Fee Related
- 2001-03-07 US US09/801,151 patent/US6697295B2/en not_active Expired - Fee Related
- 2001-06-28 US US09/893,836 patent/US6570814B2/en not_active Expired - Fee Related
- 2001-07-26 US US09/916,493 patent/US6513081B2/en not_active Expired - Fee Related
- 2001-10-01 US US09/969,489 patent/US6564281B2/en not_active Expired - Fee Related
- 2001-12-21 US US10/028,077 patent/US6546446B2/en not_active Expired - Fee Related
-
2002
- 2002-02-04 US US10/102,237 patent/US6584037B2/en not_active Expired - Fee Related
-
2003
- 2003-02-03 JP JP2003026111A patent/JP3550143B2/ja not_active Expired - Lifetime
- 2003-11-20 US US10/716,595 patent/US20040114454A1/en not_active Abandoned
-
2004
- 2004-09-14 US US10/939,500 patent/US6975558B2/en not_active Expired - Fee Related
- 2004-09-14 US US10/939,501 patent/US7110322B2/en not_active Expired - Fee Related
- 2004-10-27 US US10/973,268 patent/US20050141332A1/en not_active Abandoned
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR937000918A (ko) | 고성능 버스 인터페이스를 사용하는 집적회로 입출력 | |
KR100201057B1 (ko) | 고성능 버스 인터페이스를 사용하는 집적회로 입출력 | |
US8521945B2 (en) | Portable data storage using SLC and MLC flash memory | |
US6697926B2 (en) | Method and apparatus for determining actual write latency and accurately aligning the start of data capture with the arrival of data at a memory device | |
US4558429A (en) | Pause apparatus for a memory controller with interleaved queuing apparatus | |
US4366538A (en) | Memory controller with queue control apparatus | |
US20020099896A1 (en) | Integrated circuit device having double data rate capability | |
US20010009276A1 (en) | Memory device having a variable data output length and a programmable register | |
US6173353B1 (en) | Method and apparatus for dual bus memory transactions | |
EP3640944B1 (en) | Non-sequential page continuous read | |
EP0375121A2 (en) | Method and apparatus for efficient DRAM control | |
KR20090101195A (ko) | Nand 플래시 메모리의 커맨드 기반 제어 | |
US4451880A (en) | Memory controller with interleaved queuing apparatus | |
EP0032136B1 (en) | Memory system | |
KR100438736B1 (ko) | 어드레스 라인을 이용해 데이터 쓰기를 수행하는 메모리제어 장치 | |
KR100388342B1 (ko) | 비동기 및 동기 프로토콜을 갖는 멀티 포트 메모리 | |
KR920010977B1 (ko) | 개선된 성능의 메모리 버스 아키텍쳐(memory bus architecture) | |
US20240311051A1 (en) | Semiconductor device, semiconductor storage device, and memory system | |
US4410943A (en) | Memory delay start apparatus for a queued memory controller | |
TW202439315A (zh) | 記憶體系統 | |
GB2288256A (en) | Bus configuration for memory systems | |
EP1004956A2 (en) | Integrated I/O circuit using a high performance bus interface |