JP5480880B2 - リソグラフィ投影装置 - Google Patents

リソグラフィ投影装置 Download PDF

Info

Publication number
JP5480880B2
JP5480880B2 JP2011281445A JP2011281445A JP5480880B2 JP 5480880 B2 JP5480880 B2 JP 5480880B2 JP 2011281445 A JP2011281445 A JP 2011281445A JP 2011281445 A JP2011281445 A JP 2011281445A JP 5480880 B2 JP5480880 B2 JP 5480880B2
Authority
JP
Japan
Prior art keywords
gas
liquid
substrate
seal member
inlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011281445A
Other languages
English (en)
Other versions
JP2012064979A (ja
Inventor
ロフ ヨエリ
テオドルス アンナ マリア デルクセン アントニウス
アレクサンデル ホーゲンダム クリスティアーン
コレスニチェンコ アレクセイ
ローロフ ロープストラ エリク
マリヌス モッデルマン テオドルス
カタリヌス フベルトゥス ムルケンス ヨハンネス
アエイコ シーブランド リトセマ ローロフ
シモン クラウス
テオドール デ スミット ヨハンネス
ストラーイユエール アレクサンデル
ストレーフケルク ボブ
ファン サンテン ヘルマール
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2012064979A publication Critical patent/JP2012064979A/ja
Application granted granted Critical
Publication of JP5480880B2 publication Critical patent/JP5480880B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection

Description

本発明は、
− 放射線の投影ビームを供給する放射線システムと、
− 所望するパターンに従って投影ビームをパターン化するパターニング手段を支持する支持構造と、
− 基板を保持する基板テーブルと、
− パターン化されたビームを基板の目標部分に投影する投影システムと、
− 該投影システムの最終素子と該基板間のスペースを少なくとも部分的に液体で満たす液体供給システムとから成るリソグラフィ投影装置に関する。
本明細書において使用する「パターニング手段」なる用語は、入射する放射線ビームに、基板の目標部分に作り出されるべきパターンと一致するパターン化断面を与えるために使用し得る手段に当たるものとして広義に解釈されるべきである。また、「ライトバルブ」なる用語もこうした状況において使用される。一般的に、上記のパターンは、集積回路や他のデバイス(以下を参照)であるような、デバイスにおいて目標部分に作り出される特別な機能層に相当する。そのようなパターニング手段には以下が含まれる。すなわち、
− マスク。マスクの概念はリソグラフィにおいて周知のものであり、これには、様々なハイブリッドマスクタイプのみならず、バイナリマスク、レベンソンマスク、減衰位相シフトマスクといったようなマスクタイプも含まれる。放射線ビームにこのようなマスクを配置することにより、マスクに照射する放射線の、マスクパターンに従う選択的透過(透過性マスクの場合)や選択的反射(反射性マスクの場合)を可能にする。マスクの場合、その支持構造は一般的に、入射する放射線ビームの所望する位置にマスクを保持しておくことが可能であり、かつ、必要な場合、ビームに対して運動させることの可能なマスクテーブルである。
− プログラマブルミラーアレイ。このようなデバイスの一例として、粘弾性制御層および反射面を有するマトリクスアドレス可能面があげられる。こうした装置の基本的原理は、(例えば)反射面のアドレスされた領域は入射光を回折光として反射するが、アドレスされていない領域は入射光を非回折光として反射するといったことである。適切なフィルタを使用することにより、回折光のみを残して上記非回折光を反射ビームからフィルタすることが可能である。この方法において、ビームはマトリクスアドレス可能面のアドレスパターンに従ってパターン形成される。プログラマブルミラーアレイのまた別の実施形態では小さな複数のミラーのマトリクス配列を用いる。そのミラーの各々は、適した局部電界を適用することによって、もしくは圧電作動手段を用いることによって、軸を中心に個々に傾けられている。もう一度言うと、ミラーはマトリクスアドレス可能であり、それによりアドレスされたミラーはアドレスされていないミラーとは異なる方向に入射の放射線ビームを反射する。このようにして、反射されたビームはマトリクスアドレス可能ミラーのアドレスパターンに従いパターン形成される。必要とされるマトリクスアドレッシングは適切な電子手段を用いて実行される。前述の両方の状況において、パターニング手段は1つ以上のプログラマブルミラーアレイから構成可能である。ここに参照を行ったミラーアレイに関するより多くの情報は、例えば、米国特許第US5,296,891号および同第US5,523,193号、並びに、PCT特許種出願第WO98/38597および同WO98/33096に開示されているので詳細は、これらの内容を参照されたい。プログラマブルミラーアレイの場合、上記支持構造は、例えばフレームもしくはテーブルとして具体化され、これは必要に応じて、固定式となるか、もしくは可動式となる。
− プログラマブルLCDアレイ。このような構成の例が米国特許第US5,229,872号に開示されているので詳細は、この内容を参照されたい。上記同様、この場合における支持構造も、例えばフレームもしくはテーブルとして具体化され、これも必要に応じて、固定式となるか、もしくは可動式となる。簡潔化の目的で、本文の残りを、特定の箇所において、マスクおよびマスクテーブルを必要とする例に限定して説明することとする。しかし、こうした例において論じられる一般的な原理は、既に述べたようなパターニング手段のより広範な状況において理解されるべきである。
リソグラフィ投影装置は例えば、集積回路(IC)の製造において使用可能である。この場合、パターニング手段はICの個々の層に対応する回路パターンを生成する。そして、放射線感光原料(レジスト)の層が塗布された基板(シリコンウェハ)上の目標部分(例えば1つあるいはそれ以上のダイから成る)にこのパターンを像形成することが出来る。一般的に、シングルウェハは、投影システムを介して1つずつ順次照射される近接目標部分の全体ネットワークを含んでいる。マスクテーブル上のマスクによるパターニングを用いる現在の装置は、異なる2つのタイプのマシンに区分される。リソグラフィ投影装置の一タイプでは、全体マスクパターンを目標部分に1回の作動にて露光することによって各目標部分が照射される。こうした装置は一般的にウェハステッパと称されている。ステップアンドスキャン装置と称される別の装置では、所定の基準方向(「スキャニング」方向)にマスクパターンを投影ビームで徐々にスキャニングし、これと同時に基板テーブルをこの方向と平行に、あるいは反平行にスキャニングすることにより、各目標部分が照射される。一般的に、投影装置は倍率係数M(一般的に、<1)を有することから、基板テーブルが走査される速度Vは、マスクテーブルが走査される速度の係数M倍となる。ここに記載を行ったリソグラフィデバイスに関するさらなる情報は、参考までに記載を行うと、例えば、米国特許第US6,046,792号から得ることが出来る。
リソグラフィ投影装置を使用する製造工程において、パターン(例えばマスクにおける)は少なくとも部分的に放射線感光材(レジスト)の層で覆われた基板上に像形成される。この像形成ステップに先立ち、基板は、プライミング、レジスト塗布、およびソフトベークといったような各種の工程を経る。露光後、基板は、ポストベーク(PEB)、現像、ハードベーク、および像形成フューチャの測定/検査といったような他の工程を通る。この工程の配列は、例えばICといったような素子の個々の層をパターン化するための基準として使用される。このようなパターン形成された層は、それから、全て個々の層を仕上げる目的である、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等といった種々のプロセスを経る。数枚の層が必要とされる場合には、全体工程、もしくはその変形をそれぞれの新しい層に繰り返す必要がある。最終的に、素子のアレイが基板(ウェハ)上に形成される。次に、これらの素子はダイシングやソーイングといったような技法で相互より分離される。それから個々の素子は、キャリアに装着されたり、ピンに接続されたりし得る。こうした工程に関するさらなる情報は、1997年にマグローヒル出版会社より刊行された、Peter van Zant著、「マイクロチップ製造:半導体処理に対する実用ガイド」という名称の書籍(“Microchip Fabrication:A Pratical Guide to Semiconductor Processing”)の第3版、ISBN0−07−067250−4に記載されているので詳細はこの内容を参照されたい。
簡潔化の目的で、これより投影システムを「レンズ」と称するものとする。しかし、この用語は、例えば屈折光学システム、反射光学システム、および反射屈折光学システムを含むさまざまなタイプの投影システムを網羅するものとして広義に解釈されるべきである。放射線システムはまた、放射線の投影ビームの誘導、成形、あるいは制御を行う、こうした設計タイプのいずれかに応じて稼動する構成要素も備えることが出来る。こうした構成要素もまた以降において集約的に、あるいは単独的に「レンズ」と称する。さらに、リソグラフィ装置は2つあるいはそれ以上の基板テーブル(および、あるいは2つもしくはそれ以上のマスクテーブル)を有するタイプのものである。このような「多段」デバイスにおいては、追加のテーブルが並列して使用される。もしくは、1つ以上の他のテーブルが露光に使用されている間に予備工程が1つ以上のテーブルにて実行される。例えば、参考までに、デュアルステージリソグラフィ装置について、米国特許第US5,969,441号および国際特許出願第WO98/40791号において記載がなされている。
投影システムの最終素子と基板間のスペースを満たすように、例えば水といったような、比較的高い屈折率を有する液体にリソグラフィ投影装置における基板を浸すことが提案されている。これにおけるポイントは、露光放射線は液体においてより短い波長を有するため、より小さいフィーチャを結像可能にすることである。(液体の効果でシステムにおける有効NAも増すと考えられる。)
しかし、基板テーブルを液体に浸すことは、走査露光中に加速されなくてはならない多量の液体があることを意味する。これは追加の、あるいはより強力なモータを必要とし、液体における乱流が望ましからざる、かつ予測し得ない影響をもたらす。
リソグラフィ投影装置に液体を有することに関していくつかの困難がある。例えば液体を流出させることは、干渉計により干渉することによって、かつ、リソグラフィ投影装置においてビームを真空に保つ必要がある場合、その真空を破壊することによって問題を生じる。さらには、適切な予防策がとられないままに液体はかなりの割合で使用される。
液浸リソグラフィに関連するさらなる問題に、液体の深度を一定に保つことにおける困難さや、結像位置、すなわち最終投影システム素子の下への基板の搬送、またその結像位置からの搬送における困難さが含まれる。また、液体の汚染(液体に溶解した化学物質による)、および液体の温度の上昇は達成可能な結像品質に有害な影響を及ぼす。
何らかの理由による、コンピュータの故障、電源障害、あるいは装置の制御ロスの際に、特に投影システムの光学素子を保護するための工程を実行する必要がある。また、装置の他の構成要素に液体がこぼれるのを防止する工程をもうける必要がある。
液体供給システムが、液体が自由面を有する場合において使用される場合、液体供給システムに加えられる力によりその自由面に波が発生するのを防ぐための工程を実行する必要がある。波は動作基板から投影システムに振動を伝えうる。
国際特許出願番号WO99/49504号において、液体が投影レンズとウェハ間のスペースに供給されるリソグラフィ装置が開示されている。ウェハがレンズの下でX方向に走査されるとき、液体はレンズの+Xサイドにて供給され、−Xサイドで取り上げられる。
本発明は、ステージ動作の間に加速される必要のある液体の量を最小限にして、基板と投影システム間のスペースを液体で満たしたリソグラフィ投影装置を提供することを目的とする。
本目的並びに他の目的は、冒頭の段落にて特定したようなリソグラフィ投影装置において、本発明に従い達成される。ここで、上記の液体供給システムは、
− 上記投影システムの最終素子と上記基板テーブル間の上記スペースの少なくとも境界の部分に沿って伸長したシール部材と、
− 該シール部材と該基板の表面間においてガスシールを形成するガスシール手段とから構成される。
ガスシール手段はこのようにしてシール部材と基板間において非接触シールを形成することから、例えば走査露光中に、投影システムの下で基板が動作するときであっても、液体は投影システムの最終素子と基板間のスペースに封じ込まれる。
シール部材は、スペースを囲む、円形、長方形、もしくは他の形状のいずれかの閉ループ形状をなすか、あるいは、例えばU型の形状、またはスペースの一方サイドに沿って伸長しただけの閉じていない形状も可能である。シール部材が閉じていない場合、基板が投影システムの下で走査されるとき、シール部材は液体を閉じ込めるように配置される。
好ましくは、ガスシール手段は、該シール部材を支持するガスベアリングである。これは、液体供給システムの同一部分が、ベアリングと、そして、投影システムの最終素子と基板間のスペースにおける液体のシーリングの両方に使用可能であり、それによりシール部材の複雑さと重量を減じるという長所を有する。また、真空環境においてのガスベアリングの使用から得られた以前の経験を生かすことが出来る。
好ましくは、ガスシール手段は、上記基板に対向した該シール部材の面に形成されたガス導入口および第一ガス導出口と、該導入口に加圧下にてガスを供給する手段と、該第一ガス導出口からガスを抽出する真空手段とにより構成される。さらに望ましくは、ガス導入口は上記投影システムの光軸から該第一ガス導出口よりもさらに外側に配置される。このようにしてガスシールにおけるガスの流れは内側に向き、最も効果的に液体を封じ込める。この場合、ガスシール手段は有利に、基板に対向したシール部材の面に形成された第二ガス導出口をさらに備え、第一ガス導出口および第二ガス導出口はガス導入口の両側に形成される。第二ガス導出口は、ガス導入口からシール部材を囲む環境に抜け出すガスを最小限に抑えることを可能にする。よって、抜け出る、干渉計により干渉する、あるいはリソグラフィ装置における真空を低下させるといったガスのリスクは最小限に抑えられる。
液体供給システムは、シール部材の面と、基板および/または基板の最上面のトポロジー間の距離を測定するセンサーをも備えている。このようにして調整手段は、例えばガスシール手段をフィードフォワード方式あるいはフィードバック方式にて調整することでシール部材の面と基板間の距離を変えるために使用され得る。
該装置は、第一ガス導出口と光軸に最も近い面のエッジとで該シール部材の該面の部分のレベルを面の残り部分に対して変える手段をさらに備えている。これは、スペース内に液体を封じ込める圧力の調整が導入口の下の圧力の調整とは別になされるようにすることで、スペース内に液体を保持する力のバランスを乱すことなく基板上のシール部材の高さを調整することが可能である。これを可能にするまた別の方法は、第一ガス導出口または第二ガス導出口とガス導入口間の面の部分のレベルを面の残り部分に対して変えるための手段を使用することである。これら3つのシステムはどのような組み合わせでも使用可能である。
ガスシール手段のシーリング機能とベアリング機能を分けるまた別の方法は、第一ガス導出口よりも投影システムの光軸の近くに位置して、シール部材の面に形成されるチャネルを設けることである。このチャネルの圧力は、スペース内に液体を封じ込めるように変えることが可能である一方、ガス導入口およびガス導出口は基板上のシール部材の高さを変えるために使用可能であることから、これらはシール部材を支持するためにのみ作用し、シーリング機能は、たとえあったとしても、ほんのわずかでしかない。
さらに有利なフューチャは、ガスの流れをガス導入口の領域に均等に分配する目的でガス導入口に配置される多孔質部材である。
これは、ガス導入口およびガス導出口を形成するのに好都合であり、ガス導入口の各々は該基板に対向した該シール部材の該面における溝と、間隔をとって配置された該溝に導かれる複数の導管とから成る。
また、毛管作用でギャップ内に液体を引き込むよう、かつ/あるいはガスシール部材からのガスが該スペースに入るのを防止するよう、該シール部材と該ガスシール手段の内側にある該基板の面との間のギャップは小さいことが望ましい。シール部材の下に液体を引き込む毛管作用とそれを押し出すガスの流れとの間のバランスによってとりわけ安定したシールを形成する。
基板と投影システム間のスペースを液体で満たし、基板と投影システム間の外乱の伝わりを最小限におさえるリソグラフィ投影装置を提供することをさらなる目的とする。
本目的並びに他の目的は、冒頭の段落で特定したようなリソグラフィ装置において本発明に従って達成される。ここで、該スペースはダクトを通して液体リザーバと液体にて連結を行っており、流体の流れ方向に垂直な面における該ダクトの最小断面面積は少なくとも、
Figure 0005480880
となり、△Vは時間tmin内に該スペースから取り除かれなくてはならない液体の量であり、Lはダクト長であり、ηは該スペースにおける液体の粘度であり、そして△Pmaxは該最終素子にかかる最大許容圧力である。
この装置は、液体が、波の発生する大きな自由面を有さないように、完全に抑制されることができるという長所を有する。すなわち、スペースあるいはリザーバは最上部でエンクローズされ、リザーバは液体で満たされている。これは、所定の時間内(実験的に計測されたクラッシュの時間)にダクトを通して流出可能な流体の量が、装置のクラッシュの際に投影システムの最終素子への損傷が回避され得るほど十分に多いことから、スペース内の圧力が損傷を発生させるレベルに達する前にダクトを通り液体が抜け出すことが出来るからである。シール部材が最終素子に対して動作するとき、液体は抜け出さなくてはならない。そうしないと、シール部材に対する最終素子の相対動作の間に最終素子にかかる静水圧が最終素子に損傷を与えることとなる。
本発明の別の態様において、冒頭の段落において特定したようなリソグラフィ装置が提供される。ここで、液体供給システムはさらに、該液体供給システムにおける液体の最上面において、波の発生を抑える、かつ、圧力解除手段を含む抑制手段を備える。
このようにして抑制手段が液体の最上面と接触することにより波の発生が抑えられる。しかし、クラッシュの際に最終素子への損傷を回避するため、なおかつ液体はこのスペースから抜け出すことが出来る。
抑制手段を提供する一つの方法は可とう性のある膜を通すか、あるいはスペース内の液体と非混和性の高粘度の液体をスペース内の液体最上面に置くことである。これらの各場合において、抑制手段の可とう性により圧力解除機能がもたらされる。
本発明の別の態様において、
− 放射線感光材料の層により少なくとも部分的に覆われた基板を提供するステップと、
− 放射線システムを用いて放射線の投影ビームを供給するステップと、
− パターニング手段を用いて投影ビームのその断面にパターンを与えるステップと、
− 放射線感光材料の層の目標部分に放射線のパターン化されたビームを投影するステップと、
− 基板と、上記投影ステップにおいて使用される投影システムの最終素子間のスペースを満たすように液体を供給するステップとからなるデバイス製造方法が提供され、
− 該スペースの少なくとも境界の部分に沿って伸長したシール部材と該基板の表面間においてガスシールを形成するか、あるいは、
− ダクトを通して該スペースと液体にて連結する液体リザーバを提供するかのいずれかであって、
− 該ダクトは、
Figure 0005480880
の液体の流れ方向に垂直な面において最小の断面面積を有しており、ここで、△Vは時間tmin内に該スペースから取り除かれなくてはならない液体の量であり、Lはダクト長であり、ηは該スペースにおける液体の粘度であり、そして△Pmaxは該最終素子上の最大許容圧力であることを特徴とし、あるいは、
− 抑制手段によって該液体の波の発生を抑制し、かつ、該液体の圧力を解除させることを特徴とする。
本発明による装置の使用法に関して、本文ではICの製造において詳細なる参照説明を行うものであるが、こうした装置が他の多くの用途においても使用可能であることは明確に理解されるべきである。例えば、本発明による装置は、集積光学装置、磁気ドメインメモリ用ガイダンスおよび検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッド等の製造に使用され得る。こうした代替的な用途においては、本文にて使用した「レチクル」、「ウェハ」、「ダイ」といった用語は、それぞれ「マスク」、「基板」、「目標部分」といった、より一般的な用語に置き換えて使用され得ることは当該技術分野の専門家にとって明らかである。
本明細書において使用した「放射線」および「ビーム」という用語は、イオンビームあるいは電子ビームといったような粒子ビームのみならず、紫外線(例えば、365nm、248nm、193nm、157nm、あるいは126nmの波長を有する)を含むあらゆるタイプの電磁放射線を網羅するものである。
本発明の実施例についての詳細説明を、添付の図面を参照に、例示の方法においてのみ行うものとする。全図を通して同様部品には、同様の参照番号を含むものとする。
本発明の実施例におけるリソグラフィ投影装置を示したものである。 本発明の第1実施例の液体リザーバを示したものである。 本発明の第1実施例の液体リザーバの部分の拡大図である。 本発明の第2実施例の液体リザーバを示したものである。 本発明の第2実施例の液体リザーバの部分の拡大図である。 本発明の第3実施例の液体リザーバの拡大図である。 本発明の第4実施例の液体リザーバを示したものである。 本発明の第4実施例の液体リザーバの部分の拡大図である。 本発明の第5実施例の液体リザーバを示したものである。 本発明の第6実施例の液体リザーバを示したものである。 第6実施例のシール部材の下側の平面図である。 第7実施例のシール部材の下側の平面図である。 第7実施例の液体リザーバの断面図である。 第8実施例の液体リザーバの断面図である。 第9実施例の液体リザーバの断面図である。 また別の第9実施例の変形態様の液体リザーバの断面図である。 第10実施例の液体リザーバの断面図である。
(実施例1)
図1は、本発明の独自の実施形態に基づくリソグラフィ投影装置を示したものである。この装置は、特別な本実施形態において放射線源LAも備えた、放射線の投影ビームPB(例えばDUV放射線)を供給する放射線システムEx、ILと、マスクMA(例えばレクチル)を保持するマスクホルダーw備え、かつ、品目PLに対して正確にマスクの位置決めを行う第一位置決め手段に連結を行った第一オブジェクト・テーブル(マスクテーブル)MTと、基板W(例えば、レジスト塗布シリコンウェハ)を保持する基板ホルダを備え、かつ、品目PLに対して正確に基板の位置決めを行う第二位置決め手段に連結を行った第二オブジェクト・テーブル(基板テーブル)WTと、マスクMAの照射部分を、基板Wの目標部分C(例えば、1つあるいはそれ以上のダイから成る)に像形成する投影システム(「レンズ」)PL(例えば反射屈折レンズシステム)とにより構成されている。ここで示しているように、この装置は透過タイプ(すなわち透過マスクを有する)である。しかし、一般的には、例えば反射マスクを有する反射タイプのものも可能である。あるいは、本装置は、上記に関連するタイプであるプログラマブルミラーアレイといったような、他の種類のパターニング手段も使用可能である。
ソースLA(例えばエキシマレーザー)は放射線のビームを作り出す。このビームは、直接的に、あるいは、例えばビームエキスパンダーExといったようなコンディショニング手段を横断した後に、照明システム(照明装置)ILに供給される。照明装置ILは、ビームにおける強度分布の外部かつ/あるいは内部放射範囲(一般的にそれぞれ、σ−outerおよびσ−innerに相当する)を設定する調整手段AMから成る。さらに、照明装置ILは一般的に積分器INおよびコンデンサCOといったような、他のさまざまな構成要素を備える。このようにして、マスクMAに照射するビームPBは、その断面に亘り所望する均一性と強度分布とを有する。
図1に関して、ソースLAはリソグラフィ装置のハウジング内にある(これは例えばソースが水銀ランプである場合に多い)が、しかし、リソグラフィ投影装置から離して配置することも可能であることを注記する。この場合、ソースLAが作り出す放射線ビームは(適した誘導ミラーにより)装置内に導かれる。この後者のシナリオでは、ソースLAがエキシマレーザーである場合が多い。本発明および請求項はこれら両方のシナリオを網羅するものである。
続いてビームPBはマスクテーブルMT上に保持されているマスクMAに入射する。ビームPBはマスクMAを横断して基板Wの目標部分C上にビームPBの焦点を合わせるレンズPLを通過する。第二位置決め手段(および干渉計測手段IF)により、基板テーブルWTは、例えばビームPBの経路における異なる目標部分Cに位置を合わせるために正確に運動可能である。同様に、第一位置決め手段は、例えばマスクライブラリからマスクMAを機械的に検索した後に、あるいは走査運動の間に、ビームPBの経路に対してマスクMAを正確に位置決めするように使用可能である。一般的に、オブジェクト・テーブルMTおよびオブジェクト・テーブルWTの運動はロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)にて行われる。これについては図1に明示を行っていない。しかし、ウェハステッパの場合(ステップアンドスキャン装置とは対照的に)、マスクテーブルMTはショートストロークアクチュエータに連結されるだけであるか、あるいは固定される。
ここに表した装置は2つの異なるモードにて使用可能である。
− ステップモードにおいて、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクの像全体が1回の作動(すなわち1回の「フラッシュ」)で目標部分Cに投影される。次に基板テーブルWTがx方向および/あるいはy方向にシフトされ、異なる目標部分CがビームPBにより照射され得る。
− スキャンモードにおいて、基本的に同一シナリオが適用されるが、但し、ここでは、所定の目標部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所定方向(いわゆる「走査方向」、例えばy方向)に運動可能であり、それによってビームPBがマスクの像を走査する。これと同時に、基板テーブルWTが速度V=Mvで、同一方向あるいは反対方向に運動する。ここで、MはレンズPLの倍率(一般的にM=1/4あるいは1/5)である。このように、解像度を妥協することなく、比較的大きな目標部分Cを露光することが可能となる。
図2は、投影システムと基板ステージ間における液体リザーバ10を示したものである。液体リザーバ10には、導入/導出ダクト13を介して、例えば水であるような比較的高い屈折率を有する液体11が満たされている。液体の効果は、投影ビームの放射線が空気や真空におけるよりも、液体にてより短い波長を有し、より小さいフィーチャの解像を可能にすることにある。投影システムの解像度限界は、とりわけ投影ビームの波長、およびシステムの開口数によって決定する。液体の存在も有効開口数を増すと考えられる。さらに、液体は固定の開口数で被写界深度を増すのに効果的である。
リザーバ10は、投影システムのイメージフィールドのまわりに基板に対する非接触シールを形成しており、基板表面と投影システムの最終素子間のスペースをうめるように液体が閉じ込められている。リザーバは投影システムPLの最終素子の下で、これを囲んで配置されたシール部材12から形成されている。液体が投影システムの下のシール部材12内のスペースに入れられる。これでシール部材12は投影システムの最終素子を少し超えて伸長しており、液面は最終素子まで上がって液体のバッファがもたらされる。シール部材12は、上端部で、投影システムのステップもしくはその最終素子に、好ましくきっちりと一致する内周を有しており、そして、例えば丸くても良い。底部において、内周は、これに限るわけではないが例えば長方形のイメージフィールドの形状にきっちりと一致する。
液体は、シール部材12の底部と基板W表面間のガスシール16によってリザーバに閉じ込められる。ガスシールは、例えば空気や合成エアーといったようなガス、しかし好ましくはN2もしくは他の不活性ガスにより形成される。こうしたガスは、導入口15を介してシール部材12と基板間のギャップに加圧下で供給され、第一導出口14により抽出される。ガス導入口15への過圧、第一導出口14の真空レベル、およびギャップのジオメトリは、液体を閉じ込める内部への高速の空気流が生じるように調整される。これを図3においてより詳細に示している。
ガスシールは2つの環状の溝18、19から形成されており、これらの環状の溝は、溝のまわりにスペースをとって連続した小さなコンダクト(conduct)により、それぞれ第一導入口15と第一導出口14に連結している。導入口15と導出口14は、シール部材24の外周を囲む複数の別々のオリフィスであるか、あるいは連続した溝またはスリットである。シール部材に大きな環状のくぼみが導入口と導出口各々に設けられ、マニホールドを形成する。ガスシールはガスベアリングとして作用することにより、シール部材12を支持することにおいても有効である。
ガス導入口15の外側にあるギャップG1は、外側に向かう空気の流れに対して抵抗を与えるように小さく、かつ長いほうが好ましいが、必ずしもそうである必要はない。シール部材のまわりの多数の小さな穴により形成された導入口15の半円上端のギャップG2は少し大きく、シール部材のまわりのガスを確実に十分に分布させる。ギャップG3はシールを通るガスの流れをコントロールするように選択される。ギャップG4は真空の分布がうまくなされるように大きくなっている。導出口14は、導入口15と同様の方法にて多数の小さな穴により形成されている。ギャップG5は、スペース内の液体にガス/酸素が拡散するのを防ぐよう、かつ、多量の液体が入って真空を妨げるのを回避するよう、かつ、毛管現象により常にこれを確実に液体で満たすよう小さくなっている。
このように、ガスシールは、ギャップに液体を引き込む毛管力と液体を押し出す空気流間のバランスである。ギャップがG5からG4に広くなると毛管力を減じ、空気の流れが増すことによって、液体の境界線がこの領域となり、投影システムPL下で基板が動くときでさえ安定する。
ギャップG3のサイズおよびジオメトリのみならず、G2における導入口とG4における導出口間の圧力差がシール16を通るガスの流量を決定し、この圧力差は具体的な実施形態に従って決定される。一方、ギャップG3の長さが短く、G2における絶対圧力がG4における絶対圧力の2倍である場合、かなりの効果が達せられる。この場合、ガス速度はガスにおける音の速度であり、これ以上は上がらない。ゆえに安定したガスの流れを達成する。
ガス導出系は、ガス導入圧を減じて液体をギャップG4に入らせて、そして真空システムによって吸い出すことにより、システムから液体を完全に取り除く際にも使用され得る。これはシールを形成するために用いられるガスと同様、液体を取扱うためにも容易に調整が可能である。ガスシールの圧力調整は、基板が動作するとき摩擦によって熱せられるギャップG5の液体が投影システムの下のスペースの液体温度を乱さないよう、ギャップG5を通る液体を確実に流動させるためにも用いられる。
ガス導入口および導出口のまわりのシール部材の形状は、乱流と振動を減じるべく、出来るだけ層流をもたらすように選択されるべきである。また、ガスの流れは、液体を閉じ込める最大力をもたらすべく、液体の界面における流れ方向の変化が出来るだけ大きくなるように調整されるべきである。
液体供給システムはリザーバ10の液体を循環させ、それにより新鮮な液体をリザーバ10に供給する。
ガスシール16はシール部材12を支持するのに十分な大きさの力を作り出すことが可能である。実際に、シール部材12により支持される有効重量をあげるために、シール部材12を基板方向にバイアスする必要がある。シール部材12は、いずれの場合でも、投影システムに対して、かつこの下で実質的に静止位置においてXY面(光軸に垂直)に保持されるが、投影システムから切り離される。シール部材12はZ方向、RxおよびRyに自由に動く。
(実施例2)
図4および図5において第2実施例を示している。第2実施例は以下に記載の内容を除いて第1実施例と同様である。
この実施例において、第一ガス導出口14に対して、ガス導入口15の向かい側に第二ガス導出口216が設けられる。この方法において、装置の光軸から外側へガス導入口15から抜け出るガスは、真空ソースに連結した第二導出口216により吸い上げられる。このようにして、ガスが、例えば干渉計読取り、あるいは、投影システムおよび/または基板が収容されている真空と干渉不可能であるよう、ガスシールからガスが抜け出すのを防止する。
2つのガス導出口を使用する本実施例の他の利点は、この設計がリソグラフィ装置において以前に用いられていたエアベアリングの設計と非常に類似することである。従って、そうしたエアベアリングから得られた経験を本実施例のガスシールに直接適用することが可能である。第2実施例のガスシールはシール手段としてだけでなくガスベアリングとしての使用に特に適することから、シール部材12の重量の支持にこれを使用することが出来る。
シール部材12の底面と基板W間の距離を測定するため、あるいは、基板Wの上面のトポロジーを計測するため、有効にセンサーが設けられる。ガス導入口および導出口の14、15、216に印加される圧力を変えるために調整手段を用いることが可能であり、リザーバに液体11を閉じ込める圧力P2と、シール部材12を支持する圧力P1およびP3を変える。よって、シール部材12と基板W間の距離Dが変えられるか、もしくは一定の距離に維持される。同一調整手段がシール部材12の面を維持するのに使用され得る。同一の調整手段はフィードフォワード調整ループあるいはフィードバック調整ループのいずれかにより調整され得る。
図5は、リザーバに液体を保持する圧力P2と、シール部材12を支持する圧力P3を別々に調整するためにガスシールがいかに調整されうるかを詳細に示したものである。この特別な調整は、稼動中の液体損失を最小限におさえる方法を提供するため、有益である。第2実施例では、圧力P2およびP3を別々に調節可能にして、露光中のコンディションを変えるものである。コンディションが変わることは、異なる走査速度により、あるいは、おそらく基板Wのエッジがシール部材12によってオーバーラップしていることにより、単位時間ごとの液体損失が異なるレベルとなる。これは、基板Wに面したシール部材12の面における個々の部分の基板Wに対する距離を変える手段を提供することにより達成される。こうした部分には、第一ガス導出口14と、光軸に最も近いシール部材12のエッジ間の部分220、ガス導入口15と第一ガス導出口14間の部分230、そして、第二ガス導出口216とガス導入口15間の部分240を含む。これらの部分は、例えば圧電アクチュエータを使用して、基板W方向、かつ基板Wから離れる方向に動作される。つまり、シール部材12の底面は圧電アクチュエータ(望ましくはスタック)から成る。該圧電アクチュエータは、これらを横切る電位差を与えることで拡張/収縮することが可能である。また他の機械的手段を用いることも可能である。
ガス導入口15の下で作り出される圧力P3は、ガス導入口15に印加されるガスの圧力P5、第一ガス導出口14および第二ガス導出口216それぞれに印加されるガスの圧力P6およびP4、そして、基板Wと基板Wに面したシール部材12の底面間の距離Dとにより決定される。また、ガス導入口とガス導出口間の水平距離も影響を与える。
シール部材12の重さは圧力P3によって補正され、それによりシール部材12はウェハWからの距離Dを確定する。Dの減少はP3の増加となり、Dの増加はP3の減少となる。ゆえにこれは自己調整システムといえる。
圧力P3による一定の押し出し力にて、距離Dは、圧力P4、P5、およびP6によって調整のみ可能とされる。しかし、P5、P6、およびDの組み合わせは、リザーバに液体11を保持する圧力である圧力P2を作り出す。所定の圧力レベルで液体の容器から抜け出す液体の量は計算可能であり、液体PLIQにおける圧力も重要である。PLIQがP2よりも大きい場合、液体はリザーバから抜け出る。また、PLIQがP2よりも小さい場合には好ましからざる気泡が液体に発生する。液体に気泡が確実に出来ないよう、かつ、この液体の交換が必要である際にそれほど多くの液体が抜け出ることがないよう、P2をPLIQよりもわずかに小さい値に維持していくようにすることが望ましい。好ましくは、これは全て定数Dによりなされる。部分220とウェハW間の距離D1を変えると、抜け出る液体の量が距離D1の2乗で変化するので、リザーバから抜け出す液体の量をかなり変えることが出来る。必要とされる距離の変動はわずか1mm程度のものであり、望ましくは10μmである。これは、100V以上のオーダの稼動電圧を有する圧電スタックにより容易に可能とされる。
あるいは、部分230の底部に圧電素子を配置することにより、抜け出すことの出来る液体量を調整することが可能である。距離D2を変えることは圧力P2を変えるのに有効である。しかし、この解決法は、定数Dを維持するために、ガス導入口15における圧力P5の調整を必要とするであろう。
当然、部分240の低位部分と基板W間の距離D3を同様の方法で変えることも可能であり、P2およびP3の個々の調整に使用することも可能である。P2およびP3を所望の値に変えるべく、圧力P4、P5、およびP6と、距離D1、D2、およびD3を全て別個に、あるいは組み合わせて調整することが可能であることが理解されよう。
実際に、第2実施例は、リザーバ10の液体量のアクティブ管理における使用に特に有効である。基板Wの結像が行われていない投影装置のスタンバイ状態とは、リザーバ10の液体は空であるがそれによりシール部材12を支持するためにガスシールはアクティブであるという状態であろう。基板Wが配置された後、液体がリザーバ10内に導入される。次に基板Wが結像される。基板Wを取り除く前に、リザーバからの液体を取り除くことが出来る。最終基板の露光後にリザーバ10内の液体が取り除かれる。液体を取り除くたびに、前に液体が満たされていた領域を乾かすようにガスパージが適用されなくてはならない。上述したように定数P3を維持しながら、液体は、P2を変えることによって第2実施例に従って装置において容易に完全に取り除かれることが出来る。他の実施例において、P5およびP6(かつ必要な場合、あるいは適切である場合、P4も)を変えることにより、同様の効果を達成することが出来る。
(実施例3)
図6に示すように、第2実施例の代替案、あるいはさらなる展開として、第一ガス導出口14の内側(投影システムの光軸にさらに近い)に、基板Wに面したシール部材12の面にチャネル320が設けられる。チャネル320はガス導入口および導出口の14、15、216と同様の構成を有する。
チャネル320を用いることで、圧力P3とは別々に圧力P2を変えることが可能である。もしくは、リザーバ10の液面より上方の周囲圧へチャネルを開口することにより、稼動中のリザーバからの液体消費がかなり減じられる。チャネル320は他のどのような実施例とも組み合わせることが可能であり、特に第1実施例と組み合わせて使用され得るが、本実施例を第2実施例との組み合わせにおいて説明した。さらなる長所は、ガス導入口15および第一ガス導出口14(またある特定の実施例においては第二ガス導出口216も)が乱されないことである。
さらに、ここでは3個のみの素子を示しているが、基板Wに面したシール部材12の面に何個のチャネルでも取り入れることが可能である。各チャネルは、液体供給システムの剛性、液体消費、安定性、あるいは他の特性を改善するために圧力を与えられている。
(実施例4)
図7および図8に示した第4実施例は、以下に記載の内容を除いては第1実施例と同様である。しかし、第4実施例はここに記載のいずれか他の実施例とともに有効に使用することも可能である。
第4実施例において、好ましくはポーラス・カーボンや多孔質セラミック部材といった多孔質部材410が、ガス導入口15に取り付けられる。ここでは、ガスはシール部材の底面から抜け出る。好ましくは、多孔質部材の底面はシール部材の底面と同一平面上にある。このポーラス・カーボン部材410は、(この場合基板Wにおける)完全に平らではない面に無関係であり、導入口14を抜け出るガスは導入口の出口全体によく分配される。シール部材12が基板Wのエッジ上に部分的に配置されるとき、このポイントにおいてガスシールが接触する面が平らでないとき、多孔質部材410を使用することによって得られる長所もまた明らかである。
第4実施例の別形態において、多孔質部材410を真空チャネル14に配置することが可能である。望ましからざる圧力損失を回避しながら、多孔質部材410は、加圧状態を維持するように選択された孔を有するべきである。これは、基板Wのエッジを結像するときに有利であり、ガスベアリングは基板Wのエッジ上を動作する。なぜならば、たとえエッジ位置における予圧が損失するとしても、予圧の変動をかなり減じて、そして、ステージ上の浮上高と力の連続的な変化において、真空チャネルは多量かつ多種のガスにより汚染されないからである。
(実施例5)
上記の実施例全てにおいて一般的に、自由表面を有する、空気といったガスに露出されるリザーバ10内に液体を有する。これは、投影システムPLの最終素子が、投影システムに静水力が増すことによるクラッシュの場合に破壊するのを防ぐためでる。クラッシュの間、投影システムPLがそれに対して作用するとき、リザーバ10内の液体は抑制させず、液体が容易に上方にあがることを余儀なくされる。この解決法の欠点は、稼動中に自由表面で表面波が生じ、それにより基板Wから投影システムPLに好ましからざる外乱を伝えることである。
この問題を解決する一つの方法は、リザーバ10が、特にその上面が、シール部材内に完全に含まれるようにすることである。液体は第二リザーバからダクトを通してリザーバ10に供給される。この第二リザーバは抑制されない最上面を有することができ、クラッシュの間、液体はダクトを通して第二リザーバ内に向かうことを余儀なくされることから、投影システムにおいて第一リザーバ10に大きな静水力が生じるのを回避する。
こうしたクローズドシステムにおいて、投影システムにおける液体の圧力の局所的増加は、リザーバに連結するダクトが、次の等式に従う半径を有するダクトに等しい断面面積を確実に有することにより回避される。
Figure 0005480880
ここで、Rはダクト半径であり、△Vは時間t内にリザーバ10から取り除かれなくてはならない液体の量であり、Lはダクトの長さであり、ηは液体の粘度であり、そして△Pは第二リザーバと第一リザーバ10間の圧力差である。基板テーブルが0.2m/秒(実験により計測)の速度でクラッシュする可能性があり、かつ、△Pmaxは104Paである(最大圧力において投影システムの最終素子はダメージが生じる前は持ちこたえることが出来る)という仮定がたてられる場合、必要パイプ半径は0.2mのダクト長に対して約2.5ミリメータである。望ましくは、ダクトの有効半径は式から得られる最小値の少なくとも2倍である。
投影システムPLがクラッシュ時になおかつ保護されるようにしながら、リザーバ10の液体において波の形成を回避するまた別の方法は、リザーバ10の液体の最上面における抑制膜510を液体の自由表面に提供することである。この解決法は、クラッシュの場合、高すぎる圧力にせずに液体を排出させるために、安全手段515を必要とする。その一解決法を図9において示している。抑制膜は可とう性材料から成り、これは、液体の圧力が所定の許容最大値に達する前に液体が可とう性のある抑制膜510を変形させることにより、液体が、投影システムPLと抑制膜510間、もしくは抑制膜とシール部材間をそれぞれ抜け出すといった方法で、シール部材12の壁部あるいは投影システムに取り付けされる。このように、クラッシュの場合に投影システムPLに損傷を与えることなく、液体が安全膜を抜け出すことが可能である。この実施例に関して、リザーバ10の少なくとも体積の抑制膜上にスペースを有することが明らかに望ましい。よって、可とう性のある膜は、リザーバ10における液体の最上面において波の形成を回避し得るほど十分に堅いが、一旦液体が所定の静水圧に達すると、液体が抜け出るのを防止するほどには堅くない。より堅い抑制膜との組み合わせにおいて、所定圧力以上で液体の自由な流れを可能にする圧力弁515を使用することで同様の効果が達せられる。
抑制手段のまた別の形態では、リザーバ10の液体の最上部の自由表面に粘度の高い液体を配置する。これは、クラッシュの場合に、投影システムPLを妨害せず液体を抜け出させながら表面波の形成を抑制する。当然、高粘度の液体はスペース10において使用される液体と非混和性でなくてはならない。
それに関する液体抑制手段510のさらなる代替案はメッシュで構成することである。この方法において液体の最上面は各々が小さい面積の複数部分に分割される。この複数部分の表面面積はメッシュの開口に等しく、それにより大きな表面波の生成が効果的に抑えられるため、このようにして、共振により作り出され、かつ投影システムを阻害する大きな表面波の発生を回避する。また、メッシュによって、その開口を通る液体の流れを可能にすることで、クラッシュの際に投影システム保護する効果的な圧力解除メカニズムがもたらされる。
(実施例6)
図10および図11に示した第6実施例は、以下に記載の内容を除いて第1実施例と同様である。第6実施例は上述の実施例における提案のいくつかを使用する。
別の実施例において、投影システムの最終素子の下でこれを囲んで配置されたシール部材12により、投影システムの下の領域に浸液11が閉じ込められている。
シール部材12と基板W間のガスシールは、3つのタイプの導入口および導出口から形成されている。シール部材は一般的に導出口614、導入口615、そして、さらにもう1つの導入口617を備えている。これらは、投影システムPLの最も近くに導出口614が、導出口614のすぐ外側にさらにもう1つの導入口617が、そして投影システムPLから最も遠くに導入口615が配置されている。導入口615は、環状のチャンバ622を介して、基板Wに面したシール部材12の表面にある複数の導出ホール620にガス供給がなされるエアベアリングから成る。導出口620を抜け出す空気の力は、シール部材12の重量の少なくとも部分を支持することと、投影システムPLの下の局所的な領域に閉じ込められる浸液をシールするのを助ける導出口614方向への空気の流れを可能にすることの両方の役割をなす。チャンバ622の目的は、別個のガス供給オリフィス625が導出ホール620で均一な圧力にてガスを供給するようにすることである。導出ホール620は直径が約0.25mmであり、約54個の導出ホール620がある。導出ホール620とチャンバ622間における流量制限にあるオーダの大きさの差があり、これは、少ない数、すなわち1つだけのメイン供給オリフィス625であっても、導出ホール620全てからの均一な流出を可能にする。
導出ホール620を抜け出るガスは放射状に内側と外側の両方に流れる。導出口614から放射状に内側に流れる空気は、シール部材と基板W間のシールを形成するのに有効である。しかし、さらなる導入口617によりさらに空気の流れがもたらされる場合、シールを改善することが分かった。通路630はガスソース、例えば外気に連結している。導入口615からの空気の放射状の内側への流れは、さらなる導入口617から導出口614に向かってさらにガスを引き込むのに有効である。
通路630の端部(連続する別々の導入口ではなく)に設けられた環状の溝633は、溝633の最も内側のエッジと導出口614間におけるガスのシーリングフローが外周全体において確実に均一であるようにする。溝は一般に幅が2.5mmであり、同様の高さを有するものである。
溝633の最も内側のエッジ635は図示するような半径がもたらされ、通路630を通って導出口614に向かうガスのスムーズな流れを可能にする。
導出口614はまた、高さがわずか約0.7mmであるが、幅が6から7mmの連続した溝640を有する。溝640の最も外側のエッジ642は、ほぼ90°の鋭いエッジがもたらされ、それによってガスの流れ、特に、さらなる導入口630からのガスの流れはガスシールの効果を高めるように加速される。溝640は、環状のチャンバ647につながる、よって別個の導出通路649につながる複数の導出ホール645を有する。複数の導出ホール645は直径が約1mmであり、それにより、導出ホール645を通過する水滴はより小さな水滴に細分される。
シール部材12の液体除去効果を、さらなる導入口617に連結した調整弁638によって調整することが可能である。弁638はさらなる導入口617を通る流量の調整に有効であり、それにより、導出口614を通るガスシールの液体除去効果を変える。
シール部材の全径は100mm程度のものである。
図11は、図10のシール部材の下側の平面図である。図から分かるように、導入口615は複数の別個の導入ホール620として提供されている。エアベアリングとしての溝が、このようなシステムにおいて変動が設定されるような能力(ガスの圧縮可能特性による)を有することから、これはメインの導入口615に溝を使用することに対して長所がある。小さい直径の導入ホール620はその中のガスは低量であるから、その能力によって生じる問題にあまり悩まされない。
溝633の形状のさらなる導入口617は、別個の導入ホール620のみを使用するときには必ずしも可能でなかった、シール部材12の全円周において連続するガスの流れを可能にするために使用され得る。チャンバ647、622といったような有効な溝640を供給することにより別個の構成要素として導出口645を供給することは、流れを安定させるのに問題とはならない。
液体の導入口は図10および図11のシール部材12において示されておらない。液体は、前述の実施例にて示された方法と同様の方法にて供給されるか、あるいは、いくらかの液体は、欧州特許申請番号03256820.6号および同第03256809.9号において記載されているように導出入される。
(実施例7)
第7実施例は以下に記載の内容を除いて第6実施例に類似する。図12は、図11と類似するシール部材12下側の平面図である。図12において、シール部材12には第6実施例に示したようなさらなる導入口は設けられないが、任意に追加することも可能である。
第7実施例のシール部材12は、導入ホール720により形成され、かつ、第6実施例の全体設計と同様のガスベアリング715から成る。導入口714は、それぞれガスソースと真空ソースに導く2つのみの通路745と747を有する環状の溝740から成る。この方法により通路745に連結したガスソースから、通路747に連結した真空ソースへの高速のガスの流れがもたらされる。この高速のガスの流れにより浸液をより効果的に排水することが可能となる。さらに、真空チャンバにおいてより大きく制限された真空の流れを作り出すことで、基板W上のシール部材12の高さの変動による流れのばらつき、あるいは表面における他の漏れのもとは、ガスベアリングに対して予圧を与える真空チャンバの圧力に影響を与えない。
(実施例8)
第8実施例は図14との関連において説明を行うものであり、以下に記載の内容を除いて第一実施例と同様である。
図14において分かるように、第8実施例は、第一実施例と同様導入口815と導出口814を備えたシール部材12を有する。しかし、導出口14の下に、あるいはこれのわずかに放射状の外側に、基板Wの面上のガスの速度を増すガスジェットが作り出されるように配列されたさらにもう1つの導入口817が設けられており、それによって浸液はより効果的に基板Wの表面から取り除かれる。さらなる導入口817は、投影システムPLに放射状の内側に向かう角度で基板Wに向かって導かれるノズルによってもたらされる出口を有する。従い、最後の数マイクロメータの液体フィルムを水から取り除くことの出来ない、基板表面上のゼロ速度で単純な放射線状の速度分布を有する、導入口815と導出口814間の層流(レイノルズ数が約300)が改善される。なぜならば、さらなる導入口817により、より高速の空気速度を有するガスが基板表面と接触するのを可能にするからである。
図14から、さらなる導入口817のノズル出口が、導出口814の放射状の外側に、しかし、導入口815よりも導出口814の近くに設けられていることが分かる。
(実施例9)
第9実施例を図15および図16に示しており、これは以下に記載の内容を除いて第一実施例と同様である。
第9実施例において、基板Wに面するシール部材の底面における導出口914の口が、導出口914内への空気の速度を増すように修正されている。これは、導出口914の通路を同じサイズに保ちながら、導入口914の口のサイズを減じることで達せられる。これは、シール部材12の材料を通路の中央に向かって伸長して、外側への追加部材940と内側への追加部材950を形成することにより、より小さい口を設けることで達成される。外側への追加部材940は内側への追加部材950よりも小さく、これら2つの部材940、950間のギャップは、導出口914の残り部分よりも約20倍小さい。口の幅は約100から300μmである。
図16において、第9実施例のさらなる別の形態を示しており、ここでは、第8実施例における導入口817に類似するさらなる導入口917が設けられている。しかし、この場合、さらなる導入口917は基板Wの面にほぼ平行な噴流をもたらし、それにより導出口914の口に入るガスが加速される。
(実施例10)
第10実施例を図17に示しており、本実施例は以下に記載の内容を除いて第1実施例と同様である。
第10実施例において、第8実施例と同様の原理に従って基板Wの表面上のガス速度を増すことによって液体除去効率が改善される。導入口1015から出て、導出口1014に向かって放射状の内側に動くガスは、環状の溝1018の下を通過する。図示するように、溝の効果は、ガスがその放射状の最も外側にある溝に入り、放射状の内側面において基板Wの方向に角度を持って出ることである。従い、導出口1014への入り口で基板Wの表面上のガスの速度は増し、液体除去効率が改善される。
どの実施例のフューチャであっても、他の実施例のいくつかの、あるいは全部のフューチャとともに使用可能であることは明らかである。
以上、本発明の実施形態を詳細に説明したが、本発明の範囲を逸脱することなく他の方法でも具体化できることは当業者にとって明らかである。本詳細説明は本発明を制限する意図ではない。

Claims (7)

  1. 基板を保持するテーブルと、
    パターン化されたビームを前記基板の目標部分に投影する投影システムと、
    前記投影システムの最終素子と前記基板及び/又は前記テーブルとの間のスペースを少なくとも部分的に液体で満たす液体供給システムとを有し、
    前記液体供給システムは、
    前記スペースの少なくとも一部に沿って伸長したシール部材と、
    前記シール部材と前記基板及び/又は前記テーブルの表面との間においてガスシールを形成するガスシール手段と
    を有し、
    前記シール部材は、前記基板及び/又は前記テーブルに面した前記シール部材の面の一部の前記基板及び/又は前記テーブルに対する距離を変える調整手段を含む、
    リソグラフィ投影装置。
  2. 前記ガスシール手段は、前記基板及び/又は前記テーブルに対向した前記シール部材の面に形成されたガス導入口および第一ガス導出口と、前記ガス導入口に加圧下にてガスを供給する手段と、前記第一ガス導出口からガスを抽出する真空手段とを含む請求項1に記載のリソグラフィ投影装置。
  3. 前記ガスシール手段は、前記基板及び/又は前記テーブルに対向した前記シール部材の前記面に形成された第二ガス導出口をさらに備え、前記第一ガス導出口および前記第二ガス導出口は前記ガス導入口の両側に形成される請求項2に記載のリソグラフィ投影装置。
  4. 前記調整手段は、前記面の残り部分に対して、前記第一ガス導出口と前記ガス導入口間における前記面の部分のレベルを変える請求項2又は3に記載のリソグラフィ投影装置。
  5. 前記調整手段は、前記面の残り部分に対して、前記第一ガス導出口と光軸に最も近い面のエッジとの間における前記面の部分のレベルを変える請求項2から4のいずれか1項に記載のリソグラフィ投影装置。
  6. 前記ガス導入口は、前記投影システムの光軸から、前記第一ガス導出口よりもさらに外側に配置されている請求項2から5のいずれか1項に記載のリソグラフィ投影装置。
  7. 前記シール部材の前記面と、前記基板及び/又は前記基板のトポロジーとの間の距離を測定するセンサーをさらに備える請求項1から6のいずれか1項に記載のリソグラフィ投影装置。
JP2011281445A 2002-11-12 2011-12-22 リソグラフィ投影装置 Expired - Fee Related JP5480880B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP02257822 2002-11-12
EP02257822.3 2002-11-12
EP03252955 2003-05-13
EP03252955.4 2003-05-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010059726A Division JP5017403B2 (ja) 2002-11-12 2010-03-16 リソグラフィ投影装置

Publications (2)

Publication Number Publication Date
JP2012064979A JP2012064979A (ja) 2012-03-29
JP5480880B2 true JP5480880B2 (ja) 2014-04-23

Family

ID=33160979

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2003417259A Expired - Fee Related JP3977324B2 (ja) 2002-11-12 2003-11-11 リソグラフィ装置
JP2007038065A Expired - Fee Related JP4567013B2 (ja) 2002-11-12 2007-02-19 リソグラフィ投影装置
JP2010059726A Expired - Fee Related JP5017403B2 (ja) 2002-11-12 2010-03-16 リソグラフィ投影装置
JP2011281445A Expired - Fee Related JP5480880B2 (ja) 2002-11-12 2011-12-22 リソグラフィ投影装置

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2003417259A Expired - Fee Related JP3977324B2 (ja) 2002-11-12 2003-11-11 リソグラフィ装置
JP2007038065A Expired - Fee Related JP4567013B2 (ja) 2002-11-12 2007-02-19 リソグラフィ投影装置
JP2010059726A Expired - Fee Related JP5017403B2 (ja) 2002-11-12 2010-03-16 リソグラフィ投影装置

Country Status (6)

Country Link
US (8) US6952253B2 (ja)
JP (4) JP3977324B2 (ja)
KR (1) KR100585476B1 (ja)
CN (1) CN100470367C (ja)
SG (3) SG135052A1 (ja)
TW (1) TWI232357B (ja)

Families Citing this family (1117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3639807B2 (ja) * 2001-06-27 2005-04-20 キヤノン株式会社 光学素子及び製造方法
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
KR100585476B1 (ko) * 2002-11-12 2006-06-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100568101C (zh) 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
US7110081B2 (en) 2002-11-12 2006-09-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE60335595D1 (de) * 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
US7372541B2 (en) 2002-11-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG150388A1 (en) * 2002-12-10 2009-03-30 Nikon Corp Exposure apparatus and method for producing device
SG171468A1 (en) * 2002-12-10 2011-06-29 Nikon Corp Exposure apparatus and method for producing device
US7948604B2 (en) * 2002-12-10 2011-05-24 Nikon Corporation Exposure apparatus and method for producing device
US7242455B2 (en) * 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
AU2003289271A1 (en) * 2002-12-10 2004-06-30 Nikon Corporation Exposure apparatus, exposure method and method for manufacturing device
JP4352874B2 (ja) * 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
US7514699B2 (en) 2002-12-19 2009-04-07 Koninklijke Philips Electronics N.V. Method and device for irradiating spots on a layer
DE10261775A1 (de) 2002-12-20 2004-07-01 Carl Zeiss Smt Ag Vorrichtung zur optischen Vermessung eines Abbildungssystems
KR101381538B1 (ko) 2003-02-26 2014-04-04 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
WO2004086470A1 (ja) 2003-03-25 2004-10-07 Nikon Corporation 露光装置及びデバイス製造方法
WO2004090956A1 (ja) 2003-04-07 2004-10-21 Nikon Corporation 露光装置及びデバイス製造方法
KR20110104084A (ko) * 2003-04-09 2011-09-21 가부시키가이샤 니콘 액침 리소그래피 유체 제어 시스템
EP2950148B1 (en) 2003-04-10 2016-09-21 Nikon Corporation Environmental system including vaccum scavenge for an immersion lithography apparatus
KR101431938B1 (ko) * 2003-04-10 2014-08-19 가부시키가이샤 니콘 액침 리소그래피 장치용 운반 영역을 포함하는 환경 시스템
KR101129213B1 (ko) * 2003-04-10 2012-03-27 가부시키가이샤 니콘 액침 리소그래피 장치용 액체를 수집하는 런-오프 경로
WO2004090633A2 (en) * 2003-04-10 2004-10-21 Nikon Corporation An electro-osmotic element for an immersion lithography apparatus
WO2004092830A2 (en) 2003-04-11 2004-10-28 Nikon Corporation Liquid jet and recovery system for immersion lithography
SG2013077797A (en) 2003-04-11 2017-02-27 Nippon Kogaku Kk Cleanup method for optics in immersion lithography
SG139736A1 (en) 2003-04-11 2008-02-29 Nikon Corp Apparatus having an immersion fluid system configured to maintain immersion fluid in a gap adjacent an optical assembly
EP1614000B1 (en) 2003-04-17 2012-01-18 Nikon Corporation Immersion lithographic apparatus
TWI295414B (en) * 2003-05-13 2008-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR20060009356A (ko) * 2003-05-15 2006-01-31 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
TWI503865B (zh) 2003-05-23 2015-10-11 尼康股份有限公司 A method of manufacturing an exposure apparatus and an element
TWI463533B (zh) 2003-05-23 2014-12-01 尼康股份有限公司 An exposure method, an exposure apparatus, and an element manufacturing method
KR20110110320A (ko) * 2003-05-28 2011-10-06 가부시키가이샤 니콘 노광 방법, 노광 장치, 및 디바이스 제조 방법
DE10324477A1 (de) * 2003-05-30 2004-12-30 Carl Zeiss Smt Ag Mikrolithographische Projektionsbelichtungsanlage
TWI347741B (en) * 2003-05-30 2011-08-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7213963B2 (en) 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1486827B1 (en) 2003-06-11 2011-11-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317504B2 (en) * 2004-04-08 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP3104396B1 (en) 2003-06-13 2018-03-21 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
KR101265450B1 (ko) * 2003-06-19 2013-05-16 가부시키가이샤 니콘 노광 장치 및 디바이스 제조방법
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
US6809794B1 (en) * 2003-06-27 2004-10-26 Asml Holding N.V. Immersion photolithography system and method using inverted wafer-projection optics interface
JP3862678B2 (ja) * 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
DE60321779D1 (de) * 2003-06-30 2008-08-07 Asml Netherlands Bv Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7236232B2 (en) * 2003-07-01 2007-06-26 Nikon Corporation Using isotopically specified fluids as optical elements
JP4697138B2 (ja) * 2003-07-08 2011-06-08 株式会社ニコン 液浸リソグラフィ装置、液浸リソグラフィ方法、デバイス製造方法
EP2264531B1 (en) 2003-07-09 2013-01-16 Nikon Corporation Exposure apparatus and device manufacturing method
CN102944981A (zh) * 2003-07-09 2013-02-27 株式会社尼康 曝光装置、器件制造方法
KR101296501B1 (ko) * 2003-07-09 2013-08-13 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US7738074B2 (en) 2003-07-16 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1500982A1 (en) 2003-07-24 2005-01-26 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4524669B2 (ja) * 2003-07-25 2010-08-18 株式会社ニコン 投影光学系の検査方法および検査装置
US7326522B2 (en) 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
EP1503244A1 (en) 2003-07-28 2005-02-02 ASML Netherlands B.V. Lithographic projection apparatus and device manufacturing method
CN102323724B (zh) * 2003-07-28 2014-08-13 株式会社尼康 液浸曝光装置及其制造方法、曝光装置、器件制造方法
US7779781B2 (en) 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7370659B2 (en) * 2003-08-06 2008-05-13 Micron Technology, Inc. Photolithographic stepper and/or scanner machines including cleaning devices and methods of cleaning photolithographic stepper and/or scanner machines
WO2005020299A1 (ja) * 2003-08-21 2005-03-03 Nikon Corporation 露光装置、露光方法及びデバイス製造方法
US8149381B2 (en) 2003-08-26 2012-04-03 Nikon Corporation Optical element and exposure apparatus
EP2284615B1 (en) * 2003-08-26 2013-01-16 Nikon Corporation Exposure apparatus
CN101303536B (zh) * 2003-08-29 2011-02-09 株式会社尼康 曝光装置和器件加工方法
EP2261740B1 (en) 2003-08-29 2014-07-09 ASML Netherlands BV Lithographic apparatus
TWI263859B (en) 2003-08-29 2006-10-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR101345020B1 (ko) * 2003-08-29 2013-12-26 가부시키가이샤 니콘 액체회수장치, 노광장치, 노광방법 및 디바이스 제조방법
TWI245163B (en) 2003-08-29 2005-12-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN101430508B (zh) * 2003-09-03 2011-08-10 株式会社尼康 为浸没光刻提供流体的装置和方法
WO2005029559A1 (ja) * 2003-09-19 2005-03-31 Nikon Corporation 露光装置及びデバイス製造方法
DE60302897T2 (de) * 2003-09-29 2006-08-03 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
US7158211B2 (en) * 2003-09-29 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101335736B1 (ko) 2003-09-29 2013-12-02 가부시키가이샤 니콘 노광장치, 노광방법 및 디바이스 제조방법
US7369217B2 (en) * 2003-10-03 2008-05-06 Micronic Laser Systems Ab Method and device for immersion lithography
KR101361892B1 (ko) 2003-10-08 2014-02-12 가부시키가이샤 자오 니콘 기판 반송 장치 및 기판 반송 방법, 노광 장치 및 노광 방법, 디바이스 제조 방법
JP2005136364A (ja) * 2003-10-08 2005-05-26 Zao Nikon Co Ltd 基板搬送装置、露光装置、並びにデバイス製造方法
JP4335213B2 (ja) 2003-10-08 2009-09-30 株式会社蔵王ニコン 基板搬送装置、露光装置、デバイス製造方法
TWI553701B (zh) 2003-10-09 2016-10-11 尼康股份有限公司 Exposure apparatus and exposure method, component manufacturing method
EP1524557A1 (en) * 2003-10-15 2005-04-20 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1524558A1 (en) * 2003-10-15 2005-04-20 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7352433B2 (en) 2003-10-28 2008-04-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7411653B2 (en) 2003-10-28 2008-08-12 Asml Netherlands B.V. Lithographic apparatus
EP1679738A4 (en) * 2003-10-28 2008-08-06 Nikon Corp EXPOSURE DEVICE, EXPOSURE METHOD AND COMPONENT MANUFACTURING METHOD
EP3392713A1 (en) 2003-10-31 2018-10-24 Nikon Corporation Immersion exposure apparatus and method
US7528929B2 (en) 2003-11-14 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7545481B2 (en) 2003-11-24 2009-06-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP3139214B1 (en) 2003-12-03 2019-01-30 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
KR101281397B1 (ko) 2003-12-15 2013-07-02 가부시키가이샤 니콘 스테이지 장치, 노광 장치, 및 노광 방법
KR101111363B1 (ko) * 2003-12-15 2012-04-12 가부시키가이샤 니콘 투영노광장치 및 스테이지 장치, 그리고 노광방법
US20070081133A1 (en) * 2004-12-14 2007-04-12 Niikon Corporation Projection exposure apparatus and stage unit, and exposure method
US7394521B2 (en) * 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7589818B2 (en) * 2003-12-23 2009-09-15 Asml Netherlands B.V. Lithographic apparatus, alignment apparatus, device manufacturing method, and a method of converting an apparatus
JP4954444B2 (ja) * 2003-12-26 2012-06-13 株式会社ニコン 流路形成部材、露光装置及びデバイス製造方法
JP2005191393A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光方法及び装置
JP2005191394A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光方法及び装置
ATE467902T1 (de) 2004-01-05 2010-05-15 Nikon Corp Belichtungsvorrichtung, belichtungsverfahren und bauelementeherstellungsverfahren
EP1706793B1 (en) 2004-01-20 2010-03-03 Carl Zeiss SMT AG Exposure apparatus and measuring device for a projection lens
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
KR101276392B1 (ko) 2004-02-03 2013-06-19 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US20070058146A1 (en) * 2004-02-04 2007-03-15 Nikon Corporation Exposure apparatus, exposure method, position control method, and method for producing device
EP3267469B1 (en) 2004-02-04 2018-08-15 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7050146B2 (en) 2004-02-09 2006-05-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080151200A1 (en) * 2004-02-19 2008-06-26 Nikon Corporation Exposure Apparatus and Device Manufacturing Method
DE102004013886A1 (de) 2004-03-16 2005-10-06 Carl Zeiss Smt Ag Verfahren zur Mehrfachbelichtung, Mikrolithografie-Projektionsbelichtungsanlage und Projektionssystem
TWI628697B (zh) 2004-03-25 2018-07-01 尼康股份有限公司 曝光裝置、及元件製造方法
US7034917B2 (en) * 2004-04-01 2006-04-25 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby
US7898642B2 (en) 2004-04-14 2011-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101330370B1 (ko) * 2004-04-19 2013-11-15 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US7379159B2 (en) 2004-05-03 2008-05-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005111722A2 (en) 2004-05-04 2005-11-24 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
JP3981368B2 (ja) * 2004-05-17 2007-09-26 松下電器産業株式会社 パターン形成方法
US7616383B2 (en) * 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7486381B2 (en) * 2004-05-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101257960B1 (ko) 2004-06-04 2013-04-24 칼 짜이스 에스엠테 게엠베하 광학적 결상 시스템의 결상 품질을 측정하기 위한 시스템
KR101264936B1 (ko) * 2004-06-04 2013-05-15 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US20070103661A1 (en) * 2004-06-04 2007-05-10 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
KR101433496B1 (ko) * 2004-06-09 2014-08-22 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US8482716B2 (en) * 2004-06-10 2013-07-09 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8508713B2 (en) 2004-06-10 2013-08-13 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20070139628A1 (en) * 2004-06-10 2007-06-21 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
CN101685269B (zh) 2004-06-10 2011-09-14 尼康股份有限公司 曝光装置及元件制造方法
US20070222959A1 (en) * 2004-06-10 2007-09-27 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8373843B2 (en) 2004-06-10 2013-02-12 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
CN101639631B (zh) * 2004-06-10 2012-07-18 尼康股份有限公司 曝光装置、曝光方法及元件制造方法
US8717533B2 (en) 2004-06-10 2014-05-06 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7481867B2 (en) * 2004-06-16 2009-01-27 Edwards Limited Vacuum system for immersion photolithography
US7688421B2 (en) * 2004-06-17 2010-03-30 Nikon Corporation Fluid pressure compensation for immersion lithography lens
US8698998B2 (en) * 2004-06-21 2014-04-15 Nikon Corporation Exposure apparatus, method for cleaning member thereof, maintenance method for exposure apparatus, maintenance device, and method for producing device
JP2006024715A (ja) * 2004-07-07 2006-01-26 Toshiba Corp リソグラフィー装置およびパターン形成方法
US7463330B2 (en) 2004-07-07 2008-12-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101202230B1 (ko) 2004-07-12 2012-11-16 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US7161663B2 (en) * 2004-07-22 2007-01-09 Asml Netherlands B.V. Lithographic apparatus
ATE470235T1 (de) * 2004-08-03 2010-06-15 Nikon Corp Belichtungsgeräte, belichtungsverfahren und bauelemente-herstellungsverfahren
US7224427B2 (en) * 2004-08-03 2007-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Megasonic immersion lithography exposure apparatus and method
US7304715B2 (en) 2004-08-13 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006019124A1 (ja) * 2004-08-18 2006-02-23 Nikon Corporation 露光装置及びデバイス製造方法
US7701550B2 (en) * 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060044533A1 (en) * 2004-08-27 2006-03-02 Asmlholding N.V. System and method for reducing disturbances caused by movement in an immersion lithography system
JP2006080143A (ja) * 2004-09-07 2006-03-23 Matsushita Electric Ind Co Ltd 露光装置及びパターン形成方法
CN100539019C (zh) * 2004-09-17 2009-09-09 株式会社尼康 曝光装置、曝光方法以及器件制造方法
KR101506100B1 (ko) 2004-09-17 2015-03-26 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US7522261B2 (en) * 2004-09-24 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355674B2 (en) 2004-09-28 2008-04-08 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and computer program product
US7894040B2 (en) * 2004-10-05 2011-02-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7209213B2 (en) * 2004-10-07 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4625673B2 (ja) * 2004-10-15 2011-02-02 株式会社東芝 露光方法及び露光装置
US7379155B2 (en) 2004-10-18 2008-05-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7196768B2 (en) 2004-10-26 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG157357A1 (en) * 2004-11-01 2009-12-29 Nikon Corp Exposure apparatus and device fabricating method
US7583357B2 (en) 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7423720B2 (en) 2004-11-12 2008-09-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7414699B2 (en) * 2004-11-12 2008-08-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251013B2 (en) 2004-11-12 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7411657B2 (en) * 2004-11-17 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7230681B2 (en) * 2004-11-18 2007-06-12 International Business Machines Corporation Method and apparatus for immersion lithography
US7362412B2 (en) * 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US7145630B2 (en) * 2004-11-23 2006-12-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7161654B2 (en) * 2004-12-02 2007-01-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7256121B2 (en) * 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US7446850B2 (en) * 2004-12-03 2008-11-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006062065A1 (ja) * 2004-12-06 2006-06-15 Nikon Corporation メンテナンス方法、メンテナンス機器、露光装置、及びデバイス製造方法
US7248334B2 (en) * 2004-12-07 2007-07-24 Asml Netherlands B.V. Sensor shield
US7196770B2 (en) * 2004-12-07 2007-03-27 Asml Netherlands B.V. Prewetting of substrate before immersion exposure
US7397533B2 (en) * 2004-12-07 2008-07-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7180571B2 (en) * 2004-12-08 2007-02-20 Asml Netherlands B.V. Lithographic projection apparatus and actuator
US7365827B2 (en) 2004-12-08 2008-04-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4752473B2 (ja) * 2004-12-09 2011-08-17 株式会社ニコン 露光装置、露光方法及びデバイス製造方法
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
GB0427104D0 (en) 2004-12-10 2005-01-12 Exitech Ltd Positioning device
US7403261B2 (en) * 2004-12-15 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7528931B2 (en) 2004-12-20 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7880860B2 (en) * 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4551758B2 (ja) * 2004-12-27 2010-09-29 株式会社東芝 液浸露光方法および半導体装置の製造方法
US7405805B2 (en) * 2004-12-28 2008-07-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7491661B2 (en) * 2004-12-28 2009-02-17 Asml Netherlands B.V. Device manufacturing method, top coat material and substrate
US20060147821A1 (en) 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7450217B2 (en) * 2005-01-12 2008-11-11 Asml Netherlands B.V. Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby
SG124359A1 (en) * 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG124351A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2006078292A1 (en) * 2005-01-21 2006-07-27 Nikon Corporation Offset partial ring seal in immersion lithographic system
JP2011258999A (ja) * 2005-01-31 2011-12-22 Nikon Corp 露光装置及びデバイス製造方法
WO2006080516A1 (ja) * 2005-01-31 2006-08-03 Nikon Corporation 露光装置及びデバイス製造方法
JP5005226B2 (ja) * 2005-01-31 2012-08-22 株式会社ニコン 露光装置及びデバイス製造方法、液体保持方法
US8692973B2 (en) 2005-01-31 2014-04-08 Nikon Corporation Exposure apparatus and method for producing device
KR101140755B1 (ko) 2005-02-10 2012-05-03 에이에스엠엘 네델란즈 비.브이. 침지 액체, 노광 장치, 및 노광 프로세스
JP4807086B2 (ja) * 2005-02-21 2011-11-02 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
JP5343958B2 (ja) * 2005-02-21 2013-11-13 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
US7224431B2 (en) * 2005-02-22 2007-05-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8018573B2 (en) 2005-02-22 2011-09-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7378025B2 (en) 2005-02-22 2008-05-27 Asml Netherlands B.V. Fluid filtration method, fluid filtered thereby, lithographic apparatus and device manufacturing method
US7428038B2 (en) 2005-02-28 2008-09-23 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and apparatus for de-gassing a liquid
US7282701B2 (en) * 2005-02-28 2007-10-16 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
JP4262252B2 (ja) * 2005-03-02 2009-05-13 キヤノン株式会社 露光装置
US7324185B2 (en) 2005-03-04 2008-01-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7684010B2 (en) * 2005-03-09 2010-03-23 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, seal structure, method of removing an object and a method of sealing
WO2006101120A1 (ja) * 2005-03-23 2006-09-28 Nikon Corporation 露光装置及び露光方法、並びにデバイス製造方法
US7330238B2 (en) * 2005-03-28 2008-02-12 Asml Netherlands, B.V. Lithographic apparatus, immersion projection apparatus and device manufacturing method
WO2006106832A1 (ja) 2005-03-30 2006-10-12 Nikon Corporation 露光条件の決定方法、露光方法及び露光装置、並びにデバイス製造方法
JP2007019463A (ja) * 2005-03-31 2007-01-25 Nikon Corp 露光装置、露光方法、及びデバイス製造方法
WO2006106851A1 (ja) * 2005-03-31 2006-10-12 Nikon Corporation 露光装置、露光方法及びデバイス製造方法
US20070132976A1 (en) * 2005-03-31 2007-06-14 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7411654B2 (en) 2005-04-05 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
US7291850B2 (en) * 2005-04-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1873816A4 (en) * 2005-04-18 2010-11-24 Nikon Corp EXPOSURE DEVICE, EXPOSURE METHOD AND COMPONENTS MANUFACTURING METHOD
US20060232753A1 (en) * 2005-04-19 2006-10-19 Asml Holding N.V. Liquid immersion lithography system with tilted liquid flow
KR101396620B1 (ko) 2005-04-25 2014-05-16 가부시키가이샤 니콘 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR20070122445A (ko) 2005-04-28 2007-12-31 가부시키가이샤 니콘 노광 방법 및 노광 장치, 그리고 디바이스 제조 방법
US7433016B2 (en) 2005-05-03 2008-10-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317507B2 (en) * 2005-05-03 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8248577B2 (en) 2005-05-03 2012-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7315033B1 (en) 2005-05-04 2008-01-01 Advanced Micro Devices, Inc. Method and apparatus for reducing biological contamination in an immersion lithography system
CN100445872C (zh) * 2005-05-09 2008-12-24 浙江大学 浸没式光刻系统中的液体传送及气密封装置
JP2006339448A (ja) 2005-06-02 2006-12-14 Canon Inc 受光ユニットを有する露光装置
JP2006344329A (ja) * 2005-06-10 2006-12-21 Sony Corp 光ディスク記録再生装置
US7751027B2 (en) * 2005-06-21 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070085989A1 (en) * 2005-06-21 2007-04-19 Nikon Corporation Exposure apparatus and exposure method, maintenance method, and device manufacturing method
JP4884708B2 (ja) * 2005-06-21 2012-02-29 株式会社ニコン 露光装置及びデバイス製造方法
JP4708876B2 (ja) * 2005-06-21 2011-06-22 キヤノン株式会社 液浸露光装置
US7652746B2 (en) * 2005-06-21 2010-01-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5045437B2 (ja) 2005-06-21 2012-10-10 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
US7924416B2 (en) 2005-06-22 2011-04-12 Nikon Corporation Measurement apparatus, exposure apparatus, and device manufacturing method
US7474379B2 (en) * 2005-06-28 2009-01-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7468779B2 (en) * 2005-06-28 2008-12-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7834974B2 (en) * 2005-06-28 2010-11-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7170583B2 (en) * 2005-06-29 2007-01-30 Asml Netherlands B.V. Lithographic apparatus immersion damage control
US8179517B2 (en) 2005-06-30 2012-05-15 Nikon Corporation Exposure apparatus and method, maintenance method for exposure apparatus, and device manufacturing method
US7583358B2 (en) * 2005-07-25 2009-09-01 Micron Technology, Inc. Systems and methods for retrieving residual liquid during immersion lens photolithography
US7535644B2 (en) * 2005-08-12 2009-05-19 Asml Netherlands B.V. Lens element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US8054445B2 (en) * 2005-08-16 2011-11-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2007023813A1 (ja) 2005-08-23 2007-03-01 Nikon Corporation 露光装置及び露光方法、並びにデバイス製造方法
US7580112B2 (en) * 2005-08-25 2009-08-25 Nikon Corporation Containment system for immersion fluid in an immersion lithography apparatus
US7456928B2 (en) * 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
TWI345685B (en) * 2005-09-06 2011-07-21 Asml Netherlands Bv Lithographic method
US7426011B2 (en) * 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of calibrating a lithographic apparatus and device manufacturing method
CN101258581B (zh) 2005-09-09 2011-05-11 株式会社尼康 曝光装置及曝光方法以及设备制造方法
JPWO2007034838A1 (ja) 2005-09-21 2009-03-26 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
US20070070323A1 (en) * 2005-09-21 2007-03-29 Nikon Corporation Exposure apparatus, exposure method, and device fabricating method
US7357768B2 (en) * 2005-09-22 2008-04-15 William Marshall Recliner exerciser
JP2007096050A (ja) 2005-09-29 2007-04-12 Canon Inc 露光装置
US7411658B2 (en) 2005-10-06 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1950794A4 (en) * 2005-10-07 2010-03-31 Nikon Corp METHOD FOR MEASURING AN OPTICAL CHARACTERISTIC, EXPOSURE METHOD, COMPONENT MANUFACTURING METHOD, INVESTIGATION DEVICE AND MEASURING METHOD
JP4125315B2 (ja) 2005-10-11 2008-07-30 キヤノン株式会社 露光装置及びデバイス製造方法
JP2007142366A (ja) 2005-10-18 2007-06-07 Canon Inc 露光装置及びデバイス製造方法
DE102005052757B4 (de) * 2005-11-04 2007-07-26 Vistec Semiconductor Systems Gmbh Vorrichtung zur Positionsmessung eines Objekts mit einem Laser-Interferometersystem
TW200719095A (en) * 2005-11-09 2007-05-16 Nikon Corp Exposure apparatus, exposure method and device manufacturing method
WO2007055199A1 (ja) 2005-11-09 2007-05-18 Nikon Corporation 露光装置及び方法、並びにデバイス製造方法
US20070127002A1 (en) * 2005-11-09 2007-06-07 Nikon Corporation Exposure apparatus and method, and device manufacturing method
CN100462845C (zh) * 2005-11-11 2009-02-18 台湾积体电路制造股份有限公司 具有晶圆密封机构的改良型浸润式微影系统及其方法
TWI397945B (zh) * 2005-11-14 2013-06-01 尼康股份有限公司 A liquid recovery member, an exposure apparatus, an exposure method, and an element manufacturing method
JPWO2007058188A1 (ja) 2005-11-15 2009-04-30 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
US7804577B2 (en) * 2005-11-16 2010-09-28 Asml Netherlands B.V. Lithographic apparatus
US7656501B2 (en) * 2005-11-16 2010-02-02 Asml Netherlands B.V. Lithographic apparatus
WO2007058240A1 (ja) 2005-11-16 2007-05-24 Nikon Corporation 基板処理方法、フォトマスクの製造方法及びフォトマスク、並びにデバイス製造方法
US7864292B2 (en) 2005-11-16 2011-01-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7633073B2 (en) * 2005-11-23 2009-12-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7773195B2 (en) * 2005-11-29 2010-08-10 Asml Holding N.V. System and method to increase surface tension and contact angle in immersion lithography
US20070124987A1 (en) * 2005-12-05 2007-06-07 Brown Jeffrey K Electronic pest control apparatus
TW200722935A (en) 2005-12-06 2007-06-16 Nikon Corp Exposure apparatus, exposure method, projection optical system and device manufacturing method
KR100768849B1 (ko) * 2005-12-06 2007-10-22 엘지전자 주식회사 계통 연계형 연료전지 시스템의 전원공급장치 및 방법
US7782442B2 (en) 2005-12-06 2010-08-24 Nikon Corporation Exposure apparatus, exposure method, projection optical system and device producing method
JP5194799B2 (ja) 2005-12-06 2013-05-08 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
US20070126999A1 (en) * 2005-12-07 2007-06-07 Nikon Corporation Apparatus and method for containing immersion liquid in immersion lithography
US7420194B2 (en) 2005-12-27 2008-09-02 Asml Netherlands B.V. Lithographic apparatus and substrate edge seal
EP1978546A4 (en) 2005-12-28 2010-08-04 Nikon Corp EXPOSURE DEVICE, EXPOSURE METHOD AND COMPONENT MANUFACTURING METHOD
US7839483B2 (en) * 2005-12-28 2010-11-23 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a control system
US8953148B2 (en) * 2005-12-28 2015-02-10 Nikon Corporation Exposure apparatus and making method thereof
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8472004B2 (en) * 2006-01-18 2013-06-25 Micron Technology, Inc. Immersion photolithography scanner
WO2007083758A1 (ja) 2006-01-19 2007-07-26 Nikon Corporation 移動体駆動方法及び移動体駆動システム、パターン形成方法及びパターン形成装置、露光方法及び露光装置、並びにデバイス製造方法
US7848516B2 (en) * 2006-01-20 2010-12-07 Chiou-Haun Lee Diffused symmetric encryption/decryption method with asymmetric keys
JP2007201252A (ja) * 2006-01-27 2007-08-09 Canon Inc 露光装置及びデバイス製造方法
KR20080102192A (ko) 2006-02-16 2008-11-24 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
WO2007094431A1 (ja) 2006-02-16 2007-08-23 Nikon Corporation 露光装置、露光方法及びデバイス製造方法
EP1986222A4 (en) 2006-02-16 2010-09-01 Nikon Corp EXPOSURE DEVICE, EXPOSURE METHOD AND COMPONENT MANUFACTURING METHOD
WO2007094470A1 (ja) 2006-02-16 2007-08-23 Nikon Corporation 露光装置、露光方法及びデバイス製造方法
EP2003681B1 (en) 2006-02-21 2014-11-12 Nikon Corporation Measuring apparatus, measuring method, pattern forming apparatus, pattern forming method, and device manufacturing method
JP5195417B2 (ja) 2006-02-21 2013-05-08 株式会社ニコン パターン形成装置、露光装置、露光方法及びデバイス製造方法
JP5115859B2 (ja) 2006-02-21 2013-01-09 株式会社ニコン パターン形成装置、露光装置及び露光方法、並びにデバイス製造方法
JP5077770B2 (ja) * 2006-03-07 2012-11-21 株式会社ニコン デバイス製造方法、デバイス製造システム及び測定検査装置
WO2007105645A1 (ja) 2006-03-13 2007-09-20 Nikon Corporation 露光装置、メンテナンス方法、露光方法及びデバイス製造方法
US8045134B2 (en) 2006-03-13 2011-10-25 Asml Netherlands B.V. Lithographic apparatus, control system and device manufacturing method
US7307687B2 (en) 2006-03-20 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and substrate
JP4889331B2 (ja) * 2006-03-22 2012-03-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US8027019B2 (en) * 2006-03-28 2011-09-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007266504A (ja) * 2006-03-29 2007-10-11 Canon Inc 露光装置
US20070238261A1 (en) * 2006-04-05 2007-10-11 Asml Netherlands B.V. Device, lithographic apparatus and device manufacturing method
EP1843206B1 (en) 2006-04-06 2012-09-05 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7903232B2 (en) * 2006-04-12 2011-03-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2007119821A1 (ja) * 2006-04-14 2007-10-25 Nikon Corporation 露光方法及び露光装置、並びにデバイス製造方法
US9477158B2 (en) * 2006-04-14 2016-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7701551B2 (en) * 2006-04-14 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7675604B2 (en) * 2006-05-04 2010-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hood for immersion lithography
DE102006021797A1 (de) 2006-05-09 2007-11-15 Carl Zeiss Smt Ag Optische Abbildungseinrichtung mit thermischer Dämpfung
JPWO2007132862A1 (ja) * 2006-05-16 2009-09-24 株式会社ニコン 投影光学系、露光方法、露光装置、及びデバイス製造方法
EP2037486A4 (en) 2006-05-18 2012-01-11 Nikon Corp EXPOSURE METHOD AND DEVICE, MAINTENANCE METHOD AND COMPONENT MANUFACTURING METHOD
US8144305B2 (en) * 2006-05-18 2012-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7969548B2 (en) 2006-05-22 2011-06-28 Asml Netherlands B.V. Lithographic apparatus and lithographic apparatus cleaning method
JP2008004928A (ja) * 2006-05-22 2008-01-10 Nikon Corp 露光方法及び装置、メンテナンス方法、並びにデバイス製造方法
CN102156389A (zh) * 2006-05-23 2011-08-17 株式会社尼康 维修方法、曝光方法及装置、以及组件制造方法
WO2007138834A1 (ja) 2006-05-31 2007-12-06 Nikon Corporation 露光装置及び露光方法
EP2037488A4 (en) 2006-06-09 2011-11-23 Nikon Corp METHOD AND DEVICE FOR FORMING PATTERNS, METHOD AND DEVICE FOR EXPOSING, AND METHOD FOR MANUFACTURING DEVICES
US7656502B2 (en) * 2006-06-22 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5245825B2 (ja) * 2006-06-30 2013-07-24 株式会社ニコン メンテナンス方法、露光方法及び装置、並びにデバイス製造方法
US7826030B2 (en) 2006-09-07 2010-11-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20090060270A (ko) * 2006-09-08 2009-06-11 가부시키가이샤 니콘 클리닝용 부재, 클리닝 방법, 그리고 디바이스 제조 방법
US7872730B2 (en) 2006-09-15 2011-01-18 Nikon Corporation Immersion exposure apparatus and immersion exposure method, and device manufacturing method
US8330936B2 (en) 2006-09-20 2012-12-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2008044612A1 (en) 2006-09-29 2008-04-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US20080100812A1 (en) * 2006-10-26 2008-05-01 Nikon Corporation Immersion lithography system and method having a wafer chuck made of a porous material
JP2008124194A (ja) 2006-11-10 2008-05-29 Canon Inc 液浸露光方法および液浸露光装置
US20080158531A1 (en) 2006-11-15 2008-07-03 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US8045135B2 (en) 2006-11-22 2011-10-25 Asml Netherlands B.V. Lithographic apparatus with a fluid combining unit and related device manufacturing method
US8634053B2 (en) 2006-12-07 2014-01-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4758977B2 (ja) * 2006-12-07 2011-08-31 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ投影装置、デバイス製造方法
US9632425B2 (en) 2006-12-07 2017-04-25 Asml Holding N.V. Lithographic apparatus, a dryer and a method of removing liquid from a surface
JP4902505B2 (ja) * 2006-12-07 2012-03-21 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7791709B2 (en) * 2006-12-08 2010-09-07 Asml Netherlands B.V. Substrate support and lithographic process
US8634052B2 (en) * 2006-12-13 2014-01-21 Asml Netherlands B.V. Lithographic apparatus and method involving a ring to cover a gap between a substrate and a substrate table
US8416383B2 (en) * 2006-12-13 2013-04-09 Asml Netherlands B.V. Lithographic apparatus and method
JP2008147577A (ja) * 2006-12-13 2008-06-26 Canon Inc 露光装置及びデバイス製造方法
US8654305B2 (en) 2007-02-15 2014-02-18 Asml Holding N.V. Systems and methods for insitu lens cleaning in immersion lithography
US8817226B2 (en) 2007-02-15 2014-08-26 Asml Holding N.V. Systems and methods for insitu lens cleaning using ozone in immersion lithography
US8237911B2 (en) 2007-03-15 2012-08-07 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
CN100462848C (zh) * 2007-03-15 2009-02-18 浙江大学 浸没式光刻系统中的液体供给及回收的密封控制装置
JPWO2008132799A1 (ja) 2007-04-12 2010-07-22 株式会社ニコン 計測方法、露光方法及びデバイス製造方法
US8947629B2 (en) * 2007-05-04 2015-02-03 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US8011377B2 (en) * 2007-05-04 2011-09-06 Asml Netherlands B.V. Cleaning device and a lithographic apparatus cleaning method
US7866330B2 (en) * 2007-05-04 2011-01-11 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US7900641B2 (en) * 2007-05-04 2011-03-08 Asml Netherlands B.V. Cleaning device and a lithographic apparatus cleaning method
US20090122282A1 (en) * 2007-05-21 2009-05-14 Nikon Corporation Exposure apparatus, liquid immersion system, exposing method, and device fabricating method
US8435593B2 (en) 2007-05-22 2013-05-07 Asml Netherlands B.V. Method of inspecting a substrate and method of preparing a substrate for lithography
KR20100031694A (ko) 2007-05-28 2010-03-24 가부시키가이샤 니콘 노광 장치, 디바이스 제조 방법, 세정 장치, 및 클리닝 방법 그리고 노광 방법
US8164736B2 (en) * 2007-05-29 2012-04-24 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US8098362B2 (en) 2007-05-30 2012-01-17 Nikon Corporation Detection device, movable body apparatus, pattern formation apparatus and pattern formation method, exposure apparatus and exposure method, and device manufacturing method
US8514365B2 (en) * 2007-06-01 2013-08-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8264662B2 (en) * 2007-06-18 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. In-line particle detection for immersion lithography
US7576833B2 (en) * 2007-06-28 2009-08-18 Nikon Corporation Gas curtain type immersion lithography tool using porous material for fluid removal
US20090002656A1 (en) * 2007-06-29 2009-01-01 Asml Netherlands B.V. Device and method for transmission image detection, lithographic apparatus and mask for use in a lithographic apparatus
US8194232B2 (en) 2007-07-24 2012-06-05 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, position control method and position control system, and device manufacturing method
US20090025753A1 (en) * 2007-07-24 2009-01-29 Asml Netherlands B.V. Lithographic Apparatus And Contamination Removal Or Prevention Method
US7916269B2 (en) 2007-07-24 2011-03-29 Asml Netherlands B.V. Lithographic apparatus and contamination removal or prevention method
JP5177449B2 (ja) 2007-07-24 2013-04-03 株式会社ニコン 移動体駆動方法及び移動体駆動システム、パターン形成方法及び装置、露光方法及び装置、並びにデバイス製造方法
US8547527B2 (en) 2007-07-24 2013-10-01 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and pattern formation apparatus, and device manufacturing method
NL1035757A1 (nl) * 2007-08-02 2009-02-03 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US8064151B2 (en) * 2007-08-14 2011-11-22 Asml Netherlands B.V. Lithographic apparatus and thermal optical manipulator control method
US7924404B2 (en) * 2007-08-16 2011-04-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8867022B2 (en) 2007-08-24 2014-10-21 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, and device manufacturing method
US20090051895A1 (en) * 2007-08-24 2009-02-26 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, device manufacturing method, and processing system
US9304412B2 (en) 2007-08-24 2016-04-05 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, device manufacturing method, and measuring method
US8237919B2 (en) 2007-08-24 2012-08-07 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method for continuous position measurement of movable body before and after switching between sensor heads
US8023106B2 (en) 2007-08-24 2011-09-20 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method
US8218129B2 (en) 2007-08-24 2012-07-10 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, device manufacturing method, measuring method, and position measurement system
JP2010539543A (ja) * 2007-09-13 2010-12-16 アプライド プレシジョン インコーポレイテッド 高分解能の画像形成およびリソグラフィのための試料基板上で浸液を供給する方法
US8681308B2 (en) 2007-09-13 2014-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4533416B2 (ja) * 2007-09-25 2010-09-01 キヤノン株式会社 露光装置およびデバイス製造方法
NL1035908A1 (nl) * 2007-09-25 2009-03-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL1035942A1 (nl) * 2007-09-27 2009-03-30 Asml Netherlands Bv Lithographic Apparatus and Method of Cleaning a Lithographic Apparatus.
SG151198A1 (en) 2007-09-27 2009-04-30 Asml Netherlands Bv Methods relating to immersion lithography and an immersion lithographic apparatus
JP2009094145A (ja) * 2007-10-04 2009-04-30 Canon Inc 露光装置、露光方法およびデバイス製造方法
NL1036009A1 (nl) * 2007-10-05 2009-04-07 Asml Netherlands Bv An Immersion Lithography Apparatus.
US8279399B2 (en) 2007-10-22 2012-10-02 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
JP5145524B2 (ja) * 2007-10-25 2013-02-20 株式会社ブイ・テクノロジー 露光装置
NL1036069A1 (nl) * 2007-10-30 2009-05-07 Asml Netherlands Bv An Immersion Lithography Apparatus.
JP5017232B2 (ja) * 2007-10-31 2012-09-05 エーエスエムエル ネザーランズ ビー.ブイ. クリーニング装置および液浸リソグラフィ装置
US9013681B2 (en) * 2007-11-06 2015-04-21 Nikon Corporation Movable body apparatus, pattern formation apparatus and exposure apparatus, and device manufacturing method
JP2009117832A (ja) * 2007-11-06 2009-05-28 Asml Netherlands Bv リソグラフィの基板を準備する方法、基板、デバイス製造方法、密封コーティングアプリケータ及び密封コーティング測定装置
CN101675500B (zh) * 2007-11-07 2011-05-18 株式会社尼康 曝光装置、曝光方法以及元件制造方法
US9256140B2 (en) * 2007-11-07 2016-02-09 Nikon Corporation Movable body apparatus, pattern formation apparatus and exposure apparatus, and device manufacturing method with measurement device to measure movable body in Z direction
US8665455B2 (en) * 2007-11-08 2014-03-04 Nikon Corporation Movable body apparatus, pattern formation apparatus and exposure apparatus, and device manufacturing method
US8422015B2 (en) 2007-11-09 2013-04-16 Nikon Corporation Movable body apparatus, pattern formation apparatus and exposure apparatus, and device manufacturing method
NL1036186A1 (nl) * 2007-12-03 2009-06-04 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL1036194A1 (nl) * 2007-12-03 2009-06-04 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL1036187A1 (nl) * 2007-12-03 2009-06-04 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL1036211A1 (nl) * 2007-12-03 2009-06-04 Asml Netherlands Bv Lithographic Apparatus and Device Manufacturing Method.
NL1036253A1 (nl) * 2007-12-10 2009-06-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
CN101680746B (zh) 2007-12-11 2013-11-20 株式会社尼康 移动体装置、曝光装置及图案形成装置、以及器件制造方法
NL1036279A1 (nl) * 2007-12-13 2009-06-16 Asml Netherlands Bv A device for transmission image detection for use in a lithographic projection apparatus and a method for determining third order distortions of a patterning device and/or a projection system of such a lithographic apparatus.
US8711327B2 (en) * 2007-12-14 2014-04-29 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
NL1036273A1 (nl) * 2007-12-18 2009-06-19 Asml Netherlands Bv Lithographic apparatus and method of cleaning a surface of an immersion lithographic apparatus.
NL1036306A1 (nl) 2007-12-20 2009-06-23 Asml Netherlands Bv Lithographic apparatus and in-line cleaning apparatus.
US8953141B2 (en) * 2007-12-21 2015-02-10 Asml Netherlands B.V. Immersion lithographic apparatus and device manufacturing method with asymmetric acceleration profile of substrate table to maintain meniscus of immersion liquid
US8269945B2 (en) 2007-12-28 2012-09-18 Nikon Corporation Movable body drive method and apparatus, exposure method and apparatus, pattern formation method and apparatus, and device manufacturing method
TWI602033B (zh) 2007-12-28 2017-10-11 Nippon Kogaku Kk Exposure apparatus, moving body driving system, pattern forming apparatus, exposure method, and device manufacturing method
NL1036333A1 (nl) * 2008-01-02 2009-07-07 Asml Netherlands Bv Immersion lithography.
US8629970B2 (en) * 2008-01-23 2014-01-14 Asml Netherlands B.V. Immersion lithographic apparatus with immersion fluid re-circulating system
US8339572B2 (en) 2008-01-25 2012-12-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20090190106A1 (en) * 2008-01-29 2009-07-30 Asml Holding Nv Immersion lithography apparatus
CN101796614B (zh) 2008-02-08 2012-01-18 株式会社尼康 位置测量系统及位置测量方法、移动体装置、移动体驱动方法、曝光装置及曝光方法、图案形成装置、以及组件制造方法
JP4922322B2 (ja) 2008-02-14 2012-04-25 エーエスエムエル ネザーランズ ビー.ブイ. コーティング
NL1036596A1 (nl) * 2008-02-21 2009-08-24 Asml Holding Nv Re-flow and buffer system for immersion lithography.
NL1036571A1 (nl) * 2008-03-07 2009-09-08 Asml Netherlands Bv Lithographic Apparatus and Methods.
NL1036631A1 (nl) * 2008-03-24 2009-09-25 Asml Netherlands Bv Immersion Lithographic Apparatus and Device Manufacturing Method.
KR101448152B1 (ko) * 2008-03-26 2014-10-07 삼성전자주식회사 수직 포토게이트를 구비한 거리측정 센서 및 그를 구비한입체 컬러 이미지 센서
NL1036715A1 (nl) 2008-04-16 2009-10-19 Asml Netherlands Bv Lithographic apparatus.
NL1036709A1 (nl) * 2008-04-24 2009-10-27 Asml Netherlands Bv Lithographic apparatus and a method of operating the apparatus.
NL1036766A1 (nl) * 2008-04-25 2009-10-27 Asml Netherlands Bv Methods relating to immersion lithography and an immersion lithographic apparatus.
EP2131241B1 (en) * 2008-05-08 2019-07-31 ASML Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
EP2249205B1 (en) 2008-05-08 2012-03-07 ASML Netherlands BV Immersion lithographic apparatus, drying device, immersion metrology apparatus and device manufacturing method
NL1036835A1 (nl) * 2008-05-08 2009-11-11 Asml Netherlands Bv Lithographic Apparatus and Method.
US8421993B2 (en) * 2008-05-08 2013-04-16 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
JP5097166B2 (ja) 2008-05-28 2012-12-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び装置の動作方法
NL1036924A1 (nl) * 2008-06-02 2009-12-03 Asml Netherlands Bv Substrate table, lithographic apparatus and device manufacturing method.
EP2131242A1 (en) 2008-06-02 2009-12-09 ASML Netherlands B.V. Substrate table, lithographic apparatus and device manufacturing method
NL2002964A1 (nl) * 2008-06-16 2009-12-17 Asml Netherlands Bv Lithographic Apparatus, a Metrology Apparatus and a Method of Using the Apparatus.
EP2136250A1 (en) * 2008-06-18 2009-12-23 ASML Netherlands B.V. Lithographic apparatus and method
NL2002983A1 (nl) * 2008-06-26 2009-12-29 Asml Netherlands Bv A lithographic apparatus and a method of operating the lithographic apparatus.
JP4922359B2 (ja) * 2008-07-25 2012-04-25 エーエスエムエル ネザーランズ ビー.ブイ. 流体ハンドリング構造、リソグラフィ装置及びデバイス製造方法
NL2003226A (en) 2008-08-19 2010-03-09 Asml Netherlands Bv Lithographic apparatus, drying device, metrology apparatus and device manufacturing method.
NL2003341A (en) * 2008-08-22 2010-03-10 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
SG159467A1 (en) * 2008-09-02 2010-03-30 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method
NL2003363A (en) 2008-09-10 2010-03-15 Asml Netherlands Bv Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method.
TWI457714B (zh) * 2008-09-17 2014-10-21 Asml Netherlands Bv 微影裝置及其操作方法
NL2003392A (en) 2008-09-17 2010-03-18 Asml Netherlands Bv Lithographic apparatus and a method of operating the apparatus.
US8384875B2 (en) 2008-09-29 2013-02-26 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
NL2003362A (en) * 2008-10-16 2010-04-19 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2003421A (en) * 2008-10-21 2010-04-22 Asml Netherlands Bv Lithographic apparatus and a method of removing contamination.
US8634055B2 (en) * 2008-10-22 2014-01-21 Nikon Corporation Apparatus and method to control vacuum at porous material using multiple porous materials
US8477284B2 (en) * 2008-10-22 2013-07-02 Nikon Corporation Apparatus and method to control vacuum at porous material using multiple porous materials
NL2003333A (en) * 2008-10-23 2010-04-26 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method.
NL2003575A (en) 2008-10-29 2010-05-03 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2003638A (en) 2008-12-03 2010-06-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2003758A (en) * 2008-12-04 2010-06-07 Asml Netherlands Bv A member with a cleaning surface and a method of removing contamination.
TWI438577B (zh) 2008-12-08 2014-05-21 Asml Netherlands Bv 微影裝置及器件製造方法
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
JP5001343B2 (ja) * 2008-12-11 2012-08-15 エーエスエムエル ネザーランズ ビー.ブイ. 流体抽出システム、液浸リソグラフィ装置、及び液浸リソグラフィ装置で使用される液浸液の圧力変動を低減する方法
JP2010147471A (ja) * 2008-12-18 2010-07-01 Asml Netherlands Bv リソグラフィ装置及び少なくとも2つのターゲット部分を照射する方法
NL2003820A (en) * 2008-12-22 2010-06-23 Asml Netherlands Bv Fluid handling structure, table, lithographic apparatus, immersion lithographic apparatus, and device manufacturing methods.
US8896806B2 (en) 2008-12-29 2014-11-25 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
NL2004162A (en) * 2009-02-17 2010-08-18 Asml Netherlands Bv A fluid supply system, a lithographic apparatus, a method of varying fluid flow rate and a device manufacturing method.
EP2221669A3 (en) 2009-02-19 2011-02-09 ASML Netherlands B.V. A lithographic apparatus, a method of controlling the apparatus and a device manufacturing method
NL2004102A (en) * 2009-02-25 2010-08-26 Asml Holding Nv A fluid handling device, an immersion lithographic apparatus and a device manufacturing method.
NL2004305A (en) 2009-03-13 2010-09-14 Asml Netherlands Bv Substrate table, immersion lithographic apparatus and device manufacturing method.
NL2004362A (en) * 2009-04-10 2010-10-12 Asml Netherlands Bv A fluid handling device, an immersion lithographic apparatus and a device manufacturing method.
JP2010251745A (ja) * 2009-04-10 2010-11-04 Asml Netherlands Bv 液浸リソグラフィ装置及びデバイス製造方法
NL2004363A (en) * 2009-04-22 2010-10-26 Asml Netherlands Bv Lithographic apparatus and a method of operating the apparatus.
WO2010125813A1 (ja) * 2009-04-30 2010-11-04 株式会社ニコン 露光方法及びデバイス製造方法、並びに重ね合わせ誤差計測方法
NL2004497A (en) * 2009-05-01 2010-11-02 Asml Netherlands Bv Lithographic apparatus and a method of operating the apparatus.
NL2004523A (en) * 2009-05-08 2010-11-09 Asml Netherlands Bv Immersion lithographic apparatus and device manufacturing method.
NL2004547A (en) * 2009-05-14 2010-11-18 Asml Netherlands Bv An immersion lithographic apparatus and a device manufacturing method.
NL2004540A (en) * 2009-05-14 2010-11-18 Asml Netherlands Bv Lithographic apparatus and a method of operating the apparatus.
SG166747A1 (en) * 2009-05-26 2010-12-29 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method
JP5016705B2 (ja) * 2009-06-09 2012-09-05 エーエスエムエル ネザーランズ ビー.ブイ. 流体ハンドリング構造
EP2264529A3 (en) * 2009-06-16 2011-02-09 ASML Netherlands B.V. A lithographic apparatus, a method of controlling the apparatus and a method of manufacturing a device using a lithographic apparatus
NL2004716A (en) * 2009-06-17 2010-12-20 Asml Netherlands Bv Lithographic method and arrangement.
JP5058305B2 (ja) 2009-06-19 2012-10-24 エーエスエムエル ネザーランズ ビー.ブイ. 液浸リソグラフィ装置、液体閉じ込め構造体、液浸リソグラフィ装置用の投影システムの最終エレメント、および基板テーブル
EP2264528A1 (en) 2009-06-19 2010-12-22 ASML Netherlands B.V. Sensor and lithographic apparatus
NL2004807A (en) * 2009-06-30 2011-01-04 Asml Netherlands Bv Substrate table for a lithographic apparatus, litographic apparatus, method of using a substrate table and device manufacturing method.
NL2004820A (en) * 2009-06-30 2011-01-04 Asml Netherlands Bv Lithographic apparatus and a method of measuring flow rate in a two phase flow.
NL2004808A (en) * 2009-06-30 2011-01-12 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method.
NL2004980A (en) * 2009-07-13 2011-01-17 Asml Netherlands Bv Heat transfers assembly, lithographic apparatus and manufacturing method.
NL2005009A (en) * 2009-07-27 2011-01-31 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
KR20140108348A (ko) * 2009-08-07 2014-09-05 가부시키가이샤 니콘 이동체 장치, 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법
KR101499285B1 (ko) 2009-08-07 2015-03-05 가부시키가이샤 니콘 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법
NL2005322A (en) 2009-09-11 2011-03-14 Asml Netherlands Bv A shutter member, a lithographic apparatus and device manufacturing method.
NL2005126A (en) * 2009-09-21 2011-03-22 Asml Netherlands Bv Lithographic apparatus, coverplate and device manufacturing method.
NL2005120A (en) * 2009-09-21 2011-03-22 Asml Netherlands Bv Lithographic apparatus, coverplate and device manufacturing method.
NL2005089A (nl) 2009-09-23 2011-03-28 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method.
NL2005208A (en) * 2009-09-28 2011-03-29 Asml Netherlands Bv Heat pipe, lithographic apparatus and device manufacturing method.
NL2005207A (en) * 2009-09-28 2011-03-29 Asml Netherlands Bv Heat pipe, lithographic apparatus and device manufacturing method.
NL2005167A (en) * 2009-10-02 2011-04-05 Asml Netherlands Bv Lithographic apparatus and a method of operating the apparatus.
NL2005478A (en) * 2009-11-17 2011-05-18 Asml Netherlands Bv Lithographic apparatus, removable member and device manufacturing method.
NL2005479A (en) * 2009-11-17 2011-05-18 Asml Netherlands Bv Lithographic apparatus, removable member and device manufacturing method.
NL2005528A (en) * 2009-12-02 2011-06-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2005610A (en) 2009-12-02 2011-06-06 Asml Netherlands Bv Lithographic apparatus and surface cleaning method.
NL2005657A (en) * 2009-12-03 2011-06-06 Asml Netherlands Bv A lithographic apparatus and a method of forming a lyophobic coating on a surface.
US20110134400A1 (en) * 2009-12-04 2011-06-09 Nikon Corporation Exposure apparatus, liquid immersion member, and device manufacturing method
NL2005655A (en) 2009-12-09 2011-06-14 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
NL2005717A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
NL2005666A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
NL2005874A (en) 2010-01-22 2011-07-25 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
NL2005951A (en) * 2010-02-02 2011-08-03 Asml Netherlands Bv Lithographic apparatus and a device manufacturing method.
NL2006054A (en) 2010-02-09 2011-08-10 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method.
NL2005974A (en) 2010-02-12 2011-08-15 Asml Netherlands Bv Lithographic apparatus and a device manufacturing method.
US8841065B2 (en) 2010-02-12 2014-09-23 Nikon Corporation Manufacturing method of exposure apparatus and device manufacturing method
NL2006127A (en) * 2010-02-17 2011-08-18 Asml Netherlands Bv A substrate table, a lithographic apparatus and a method for manufacturing a device using a lithographic apparatus.
NL2006076A (en) 2010-03-04 2011-09-06 Asml Netherlands Bv A lithographic apparatus and a method of manufacturing a device using a lithographic apparatus.
DE112011100812T5 (de) * 2010-03-05 2013-03-07 TeraDiode, Inc. System und Verfahren zur Wellenlängenstrahlkombination
EP2365390A3 (en) * 2010-03-12 2017-10-04 ASML Netherlands B.V. Lithographic apparatus and method
NL2006203A (en) * 2010-03-16 2011-09-19 Asml Netherlands Bv Cover for a substrate table, substrate table for a lithographic apparatus, lithographic apparatus, and device manufacturing method.
NL2006244A (en) 2010-03-16 2011-09-19 Asml Netherlands Bv Lithographic apparatus, cover for use in a lithographic apparatus and method for designing a cover for use in a lithographic apparatus.
NL2006243A (en) * 2010-03-19 2011-09-20 Asml Netherlands Bv A lithographic apparatus, an illumination system, a projection system and a method of manufacturing a device using a lithographic apparatus.
NL2006389A (en) 2010-04-15 2011-10-18 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and a device manufacturing method.
EP2381310B1 (en) 2010-04-22 2015-05-06 ASML Netherlands BV Fluid handling structure and lithographic apparatus
NL2006272A (en) 2010-05-04 2011-11-07 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2006615A (en) 2010-05-11 2011-11-14 Asml Netherlands Bv Fluid handling structure, lithographic apparatus and device manufacturing method.
JP5313293B2 (ja) 2010-05-19 2013-10-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、リソグラフィ装置で使用する流体ハンドリング構造およびデバイス製造方法
NL2006648A (en) 2010-06-01 2011-12-06 Asml Netherlands Bv A fluid supply system, a lithographic apparatus, a method of varying fluid flow rate and a device manufacturing method.
JP2012004465A (ja) 2010-06-19 2012-01-05 Nikon Corp 照明光学系、露光装置、およびデバイス製造方法
NL2006818A (en) 2010-07-02 2012-01-03 Asml Netherlands Bv A method of adjusting speed and/or routing of a table movement plan and a lithographic apparatus.
NL2006913A (en) 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
EP2423749B1 (en) 2010-08-24 2013-09-11 ASML Netherlands BV A lithographic apparatus and device manufacturing method
NL2007453A (en) 2010-10-18 2012-04-19 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2007477A (en) 2010-10-22 2012-04-24 Asml Netherlands Bv Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus.
NL2007633A (en) 2010-11-22 2012-05-23 Asml Netherlands Bv A positioning system, a lithographic apparatus and a method for positional control.
TWI542952B (zh) * 2010-12-02 2016-07-21 Asml控股公司 圖案化裝置支撐件
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2007818A (en) 2010-12-20 2012-06-21 Asml Netherlands Bv Method of updating calibration data and a device manufacturing method.
NL2007802A (en) 2010-12-21 2012-06-25 Asml Netherlands Bv A substrate table, a lithographic apparatus and a device manufacturing method.
NL2007498A (en) 2010-12-23 2012-06-27 Asml Netherlands Bv Lithographic apparatus and method of modifying a beam of radiation within a lithographic apparatus.
EP2490073B1 (en) 2011-02-18 2015-09-23 ASML Netherlands BV Substrate holder, lithographic apparatus, and method of manufacturing a substrate holder
NL2008183A (en) 2011-02-25 2012-08-28 Asml Netherlands Bv A lithographic apparatus, a method of controlling the apparatus and a device manufacturing method.
NL2008199A (en) 2011-02-28 2012-08-29 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2008285A (en) 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
US8945407B2 (en) * 2011-12-27 2015-02-03 Intermolecular, Inc. Touchless site isolation using gas bearing
NL2008335A (en) 2011-04-07 2012-10-09 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and method of correcting a mask.
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2008701A (en) 2011-05-31 2012-12-03 Asml Netherlands Bv Method of optimizing a die size, method of designing a pattern device manufacturing method, and computer program product.
NL2008751A (en) 2011-06-06 2012-12-10 Asml Netherlands Bv Temperature sensing probe, burl plate, lithographic apparatus and method.
TWI587002B (zh) 2011-06-13 2017-06-11 尼康股份有限公司 照明方法
NL2008833A (en) 2011-06-21 2012-12-28 Asml Netherlands Bv Lithographic apparatus, method of deforming a substrate table and device manufacturing method.
NL2008979A (en) 2011-07-11 2013-01-14 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2008980A (en) * 2011-07-11 2013-01-14 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
JP5232901B2 (ja) * 2011-07-22 2013-07-10 株式会社ニコン 露光装置及びデバイス製造方法
NL2009139A (en) 2011-08-05 2013-02-06 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
JP5778093B2 (ja) 2011-08-10 2015-09-16 エーエスエムエル ネザーランズ ビー.ブイ. 基板テーブルアセンブリ、液浸リソグラフィ装置及びデバイス製造方法
NL2009189A (en) 2011-08-17 2013-02-19 Asml Netherlands Bv Support table for a lithographic apparatus, lithographic apparatus and device manufacturing method.
SG188036A1 (en) 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
US8937707B2 (en) 2011-08-23 2015-01-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and method of calibrating a displacement measuring system
NL2009272A (en) 2011-08-31 2013-03-04 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2009271A (en) 2011-09-15 2013-03-18 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009472A (en) 2011-10-24 2013-04-25 Asml Netherlands Bv A fluid handling structure, a lithographic apparatus and a device manufacturing method.
NL2009692A (en) 2011-12-07 2013-06-10 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
NL2009899A (en) 2011-12-20 2013-06-24 Asml Netherlands Bv A pump system, a carbon dioxide supply system, an extraction system, a lithographic apparatus and a device manufacturing method.
NL2009858A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
JP5957540B2 (ja) 2012-02-03 2016-07-27 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ製造方法
EP2839342A1 (en) 2012-04-19 2015-02-25 ASML Netherlands B.V. Substrate holder, lithographic apparatus, and device manufacturing method
WO2013160082A1 (en) 2012-04-27 2013-10-31 Asml Netherlands B.V. Lithographic apparatus
US9891541B2 (en) 2012-05-17 2018-02-13 Asml Netherlands B.V. Thermal conditioning unit, lithographic apparatus and device manufacturing method
CN106873312B (zh) 2012-05-22 2019-09-06 Asml荷兰有限公司 传感器、光刻设备以及器件制造方法
KR102054322B1 (ko) 2012-05-29 2019-12-10 에이에스엠엘 네델란즈 비.브이. 대상물 홀더 및 리소그래피 장치
EP2856262B1 (en) 2012-05-29 2019-09-25 ASML Netherlands B.V. Support apparatus, lithographic apparatus and device manufacturing method
NL2010934A (en) 2012-06-11 2013-12-12 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US9519230B2 (en) 2012-07-18 2016-12-13 Asml Netherlands B.V. Magnetic device and lithographic apparatus
NL2011261A (en) 2012-08-20 2014-02-24 Asml Netherlands Bv Method of preparing a pattern, method of forming a mask set, device manufacturing method and computer program.
US10242903B2 (en) 2012-11-30 2019-03-26 Nikon Corporation Suction device, carry-in method, carrier system and exposure apparatus, and device manufacturing method
WO2014096299A1 (en) 2012-12-20 2014-06-26 Asml Netherlands B.V. Lithographic apparatus and table for use in such an apparatus
US9017934B2 (en) 2013-03-08 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist defect reduction system and method
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9175173B2 (en) 2013-03-12 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Unlocking layer and method
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9354521B2 (en) 2013-03-12 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9110376B2 (en) 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9117881B2 (en) 2013-03-15 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive line system and process
NL2010527A (en) 2013-03-27 2014-09-30 Asml Netherlands Bv Object holder, lithographic apparatus, device manufacturing method, and method of manufacturing an object holder.
US9341945B2 (en) 2013-08-22 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of formation and use
US10216095B2 (en) 2013-08-30 2019-02-26 Asml Netherlands B.V. Immersion lithographic apparatus
EP3049869B1 (en) 2013-09-27 2017-11-08 ASML Netherlands B.V. Support table for a lithographic apparatus, lithographic apparatus and device manufacturing method
EP4145226A3 (en) 2013-10-30 2023-06-21 Nikon Corporation Substrate holding device, exposure apparatus, and device manufacturing method
US10036953B2 (en) 2013-11-08 2018-07-31 Taiwan Semiconductor Manufacturing Company Photoresist system and method
US10095113B2 (en) 2013-12-06 2018-10-09 Taiwan Semiconductor Manufacturing Company Photoresist and method
US9761449B2 (en) 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
EP3096346A4 (en) 2014-01-16 2017-09-27 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
CN105934715B (zh) 2014-01-20 2019-01-01 Asml荷兰有限公司 衬底保持件、用于光刻设备的支撑台、光刻设备和器件制造方法
US9599896B2 (en) 2014-03-14 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
EP3137945B1 (en) 2014-04-30 2020-05-06 ASML Netherlands B.V. Support table for a lithographic apparatus, lithographic apparatus and device manufacturing method
US9581908B2 (en) 2014-05-16 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method
NL2014773A (en) 2014-06-10 2016-03-31 Asml Netherlands Bv A Lithographic Device and a Method of Manufacturing a Lithographic Device.
CN106507684B (zh) 2014-06-16 2020-01-10 Asml荷兰有限公司 光刻设备、转移衬底的方法和器件制造方法
NL2014893A (en) 2014-07-04 2016-03-31 Asml Netherlands Bv Lithographic apparatus and a method of manufacturing a device using a lithographic apparatus.
WO2016012164A1 (en) 2014-07-24 2016-01-28 Asml Netherlands B.V. Fluid handling structure, immersion lithographic apparatus, and device manufacturing method
US10001712B2 (en) 2014-07-25 2018-06-19 Asml Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
USRE49142E1 (en) 2014-08-06 2022-07-19 Asml Netherlands B.V. Lithographic apparatus and an object positioning system
CN106716255B (zh) 2014-08-07 2019-06-14 Asml荷兰有限公司 光刻设备和制造器件的方法
JP6384252B2 (ja) * 2014-10-07 2018-09-05 株式会社ニコン パターン露光装置
US10527092B2 (en) 2014-10-23 2020-01-07 Asml Netherlands B.V. Support table for a lithographic apparatus, method of loading a substrate, lithographic apparatus and device manufacturing method
JP6445148B2 (ja) 2014-10-28 2018-12-26 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィツール用のコンポーネント、リソグラフィ装置、検査ツール、及びデバイス製造の方法
CN105739245B (zh) * 2014-12-12 2018-12-14 上海微电子装备(集团)股份有限公司 一种浸没光刻机浸没单元防碰撞装置及方法
CN110286567B (zh) 2014-12-19 2022-02-25 Asml荷兰有限公司 流体处理结构、光刻设备和器件制造方法
KR20230107706A (ko) 2015-02-23 2023-07-17 가부시키가이샤 니콘 계측 장치, 리소그래피 시스템 및 노광 장치, 그리고디바이스 제조 방법
EP4300194A3 (en) 2015-02-23 2024-04-10 Nikon Corporation Measurement device, lithography system and exposure apparatus, and control method, overlay measurement method and device manufacturing method
CN107278279B (zh) 2015-02-23 2020-07-03 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
JP6384372B2 (ja) * 2015-03-20 2018-09-05 株式会社ニコン 湿式処理装置
JP6774031B2 (ja) 2015-03-25 2020-10-21 株式会社ニコン レイアウト方法、マーク検出方法、露光方法、計測装置、露光装置、並びにデバイス製造方法
NL2016469A (en) 2015-04-29 2016-11-07 Asml Netherlands Bv A Support Apparatus, a Lithographic Apparatus and a Device Manufacturing Method.
NL2016811A (en) 2015-06-11 2016-12-12 Asml Netherlands Bv Lithographic apparatus and method for loading a substrate
NL2017014A (en) 2015-06-23 2016-12-29 Asml Netherlands Bv A Support Apparatus, a Lithographic Apparatus and a Device Manufacturing Method
CN107850854B (zh) 2015-07-16 2020-08-11 Asml荷兰有限公司 检查衬底和检查方法
NL2017128A (en) 2015-07-16 2017-01-23 Asml Netherlands Bv A lithographic apparatus, a projection system, a last lens element, a liquid control member and a device manufacturing method
WO2017036830A1 (en) 2015-08-31 2017-03-09 Asml Netherlands B.V. A gas leak detector and a method of detecting a leak of gas
CN108292101B (zh) 2015-10-01 2020-07-21 Asml荷兰有限公司 光刻设备及器件制造方法
NL2017837A (en) 2015-11-25 2017-06-02 Asml Netherlands Bv A Measurement Substrate and a Measurement Method
JP6751759B2 (ja) 2015-12-08 2020-09-09 エーエスエムエル ネザーランズ ビー.ブイ. 基板テーブル、リソグラフィ装置、及びリソグラフィ装置を操作する方法
NL2017698A (en) 2015-12-15 2017-06-26 Asml Netherlands Bv A Substrate Holder, a Lithographic Apparatus and Method of Manufacturing Devices
NL2017952A (en) 2016-01-13 2017-07-21 Asml Netherlands Bv A fluid handling structure and lithographic apparatus
US11664264B2 (en) 2016-02-08 2023-05-30 Asml Netherlands B.V. Lithographic apparatus, method for unloading a substrate and method for loading a substrate
JP6707964B2 (ja) * 2016-04-12 2020-06-10 日本精工株式会社 位置決め装置及び回転機構
US10705426B2 (en) 2016-05-12 2020-07-07 Asml Netherlands B.V. Extraction body for lithographic apparatus
CN109416516B (zh) 2016-07-04 2020-08-11 Asml荷兰有限公司 检查衬底和检查方法
US11099490B2 (en) * 2016-07-07 2021-08-24 Asml Netherlands B.V. Inspection substrate and an inspection method
CN109863457A (zh) 2016-08-24 2019-06-07 株式会社尼康 测量系统及基板处理系统、以及元件制造方法
KR102345558B1 (ko) 2016-09-12 2021-12-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치를 위한 유체 처리 구조물
WO2018054658A1 (en) 2016-09-20 2018-03-29 Asml Netherlands B.V. A lithography apparatus and a method of manufacturing a device
KR102556130B1 (ko) 2016-09-27 2023-07-14 가부시키가이샤 니콘 결정 방법 및 장치, 프로그램, 정보 기록 매체, 노광 장치, 레이아웃 정보 제공 방법, 레이아웃 방법, 마크 검출 방법, 노광 방법, 그리고 디바이스 제조 방법
CN113608413A (zh) 2016-09-30 2021-11-05 株式会社尼康 测量系统
KR102269453B1 (ko) 2016-10-20 2021-06-29 에이에스엠엘 네델란즈 비.브이. 압력 제어 밸브, 리소그래피 장치용 유체 핸들링 구조체 및 리소그래피 장치
WO2018077517A1 (en) 2016-10-28 2018-05-03 Asml Netherlands B.V. A measurement substrate, a measurement method and a measurement system
CN113960889A (zh) 2016-12-14 2022-01-21 Asml荷兰有限公司 光刻设备及器件制造方法
EP3796088A1 (en) 2019-09-23 2021-03-24 ASML Netherlands B.V. Method and apparatus for lithographic process performance determination
WO2018114229A1 (en) 2016-12-22 2018-06-28 Asml Netherlands B.V. A lithographic apparatus comprising an object with an upper layer having improved resistance to peeling off
JP6868109B2 (ja) 2017-01-26 2021-05-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイスを製造する方法
NL2020440A (en) 2017-03-15 2018-09-19 Asml Netherlands Bv A Sensor Mark and a Method of Manufacturing a Sensor Mark
EP3598236A4 (en) 2017-03-16 2021-01-20 Nikon Corporation CONTROL DEVICE AND CONTROL PROCESS, EXPOSURE DEVICE AND EXPOSURE PROCESS, DEVICE MANUFACTURING PROCESS, DATA PRODUCTION PROCESS AND PROGRAM
NL2020556A (en) 2017-04-20 2018-10-24 Asml Netherlands Bv A Method of Performance Testing Working Parameters of a Fluid Handling Structure and A Method of Detecting Loss of Immersion Liquid from a Fluid Handing Structure in an Immersion Lithographic Apparatus
KR102296942B1 (ko) 2017-05-05 2021-09-01 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 프로세스의 수율의 예측 방법
KR102450292B1 (ko) 2017-06-06 2022-10-04 에이에스엠엘 네델란즈 비.브이. 지지 테이블로부터 대상물을 언로딩하는 방법
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
CN110799903B (zh) 2017-06-20 2021-11-16 Asml荷兰有限公司 确定边缘粗糙度参数
EP3432071A1 (en) 2017-07-17 2019-01-23 ASML Netherlands B.V. Information determining apparatus and method
JP7265493B2 (ja) 2017-07-17 2023-04-26 エーエスエムエル ネザーランズ ビー.ブイ. 情報を測定する装置及び方法
KR102374949B1 (ko) 2017-07-25 2022-03-15 에이에스엠엘 네델란즈 비.브이. 파라미터 결정 방법 및 그 장치
EP3444675A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Optical detector
EP3447581A1 (en) 2017-08-23 2019-02-27 ASML Netherlands B.V. A clear-out tool, a lithographic apparatus and a device manufacturing method
CN111066096A (zh) 2017-09-01 2020-04-24 Asml荷兰有限公司 光学系统、量测装置及相关联的方法
EP3462239A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
IL273145B2 (en) 2017-09-11 2024-03-01 Asml Netherlands Bv Lithographic processes in meteorology
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
WO2019063193A1 (en) 2017-09-29 2019-04-04 Asml Netherlands B.V. SOURCE OF RADIATION
EP3467588A1 (en) 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
JP7124071B2 (ja) 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
JP7015910B2 (ja) 2017-10-12 2022-02-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置において使用される基板ホルダ
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
JP7279032B2 (ja) 2017-10-24 2023-05-22 エーエスエムエル ネザーランズ ビー.ブイ. マーク、オーバーレイターゲット、並びにアライメント及びオーバーレイの方法
EP3477389A1 (en) 2017-10-24 2019-05-01 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
IL273836B2 (en) 2017-10-31 2023-09-01 Asml Netherlands Bv A measuring device, a method for measuring a structure, a method for making a device
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
IL274148B2 (en) 2017-11-07 2024-01-01 Asml Netherlands Bv Metrology system and method for determining a characteristic of an area of interest
EP3499312A1 (en) 2017-12-15 2019-06-19 ASML Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
CN111406235A (zh) 2017-11-20 2020-07-10 Asml荷兰有限公司 衬底保持器、衬底支撑件和将衬底夹持至夹持系统的方法
US11244841B2 (en) * 2017-12-01 2022-02-08 Elemental Scientific, Inc. Systems for integrated decomposition and scanning of a semiconducting wafer
JP7164605B2 (ja) 2017-12-13 2022-11-01 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置で使用するための基板ホルダ
WO2019115196A1 (en) 2017-12-14 2019-06-20 Asml Netherlands B.V. Lithographic apparatus with improved patterning performance
EP3724722A1 (en) 2017-12-15 2020-10-21 ASML Netherlands B.V. Fluid handling structure, lithographic apparatus, and method of using a fluid handling structure
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
WO2019129456A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. Apparatus for and a method of removing contaminant particles from a component of an apparatus
EP3506011A1 (en) 2017-12-28 2019-07-03 ASML Netherlands B.V. Apparatus for and a method of removing contaminant particles from a component of a metrology apparatus
CN111542783A (zh) 2017-12-28 2020-08-14 Asml荷兰有限公司 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
US11927891B2 (en) 2018-01-26 2024-03-12 Asml Netherlands B.V. Apparatus and methods for determining the position of a target structure on a substrate
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3746946A1 (en) 2018-01-31 2020-12-09 ASML Netherlands B.V. Method to label substrates based on process parameters
EP3534211A1 (en) 2018-03-02 2019-09-04 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
KR102544707B1 (ko) 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
EP3531191A1 (en) 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
KR20200108070A (ko) 2018-02-27 2020-09-16 에이에스엠엘 네델란즈 비.브이. 투영 시스템에서의 수차를 예측하기 위한 측정 장치 및 방법
NL2022501A (en) 2018-02-28 2019-09-03 Asml Netherlands Bv Apodization measurement for lithographic apparatus
EP3759550A1 (en) 2018-03-02 2021-01-06 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
WO2019185298A1 (en) 2018-03-29 2019-10-03 Asml Netherlands B.V. Position measurement system, interferometer system and lithographic apparatus
EP3553602A1 (en) 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
NL2021848A (en) 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
NL2022732A (en) 2018-04-11 2019-10-16 Asml Netherlands Bv Level sensor and lithographic apparatus
CN111971623A (zh) 2018-04-16 2020-11-20 Asml荷兰有限公司 清洁装置和清洁方法
CN112041749A (zh) 2018-04-25 2020-12-04 Asml荷兰有限公司 气动支撑装置和具备气动支撑装置的光刻设备
CN112041747A (zh) 2018-04-25 2020-12-04 Asml荷兰有限公司 框架组件、光刻设备和器件制造方法
WO2019206531A1 (en) 2018-04-25 2019-10-31 Asml Netherlands B.V. Tubular linear actuator, patterning device masking device and lithographic apparatus
NL2022818A (en) 2018-04-26 2019-10-31 Asml Netherlands Bv Stage apparatus, lithographic apparatus, control unit and method
EP3385792A3 (en) 2018-04-26 2018-12-26 ASML Netherlands B.V. Stage apparatus for use in a lithographic apparatus
US11409206B2 (en) 2018-04-26 2022-08-09 Asml Netherlands B.V. Alignment method and apparatus
EP3594749A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Method to label substrates based on process parameters
WO2019206498A1 (en) 2018-04-27 2019-10-31 Asml Netherlands B.V. Method to label substrates based on process parameters
NL2022989A (en) 2018-05-08 2019-11-14 Asml Netherlands Bv Vibration isolation system and lithographic apparatus
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3570110A1 (en) 2018-05-16 2019-11-20 ASML Netherlands B.V. Estimating a parameter of a substrate
EP3572881A1 (en) 2018-05-24 2019-11-27 ASML Netherlands B.V. Bandwidth calculation system and method for determining a desired wavelength bandwidth for a measurement beam in a mark detection system
US11592756B2 (en) 2018-06-05 2023-02-28 Asml Netherlands B.V. Assembly comprising a cryostat and layer of superconducting coils and motor system provided with such an assembly
CN112236724B (zh) 2018-06-08 2023-05-23 Asml荷兰有限公司 确定衬底上的一个或更多个结构的特性的量测设备和方法
EP3579052A1 (en) 2018-06-08 2019-12-11 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3614207A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
CN112262345B (zh) 2018-06-13 2024-03-12 Asml荷兰有限公司 量测设备
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
EP3582007A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Determining significant relationships between parameters describing operation of an apparatus
JP7093426B2 (ja) 2018-06-19 2022-06-29 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ測定のためのセンサ装置
KR102539367B1 (ko) 2018-07-04 2023-06-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 측정을 위한 센서 장치 및 방법
US11333985B2 (en) 2018-07-06 2022-05-17 Asml Netherlands B.V. Position sensor
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
EP3605230A1 (en) 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2020035203A1 (en) 2018-08-16 2020-02-20 Asml Netherlands B.V. Apparatus and method for clearing and detecting marks
EP3611569A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Metrology apparatus and photonic crystal fiber
EP3611770A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Piezoelectric actuator, actuator system, substrate support and lithographic apparatus including the actuator
CN112639623A (zh) 2018-08-20 2021-04-09 Asml荷兰有限公司 用于测量对准标记的位置的设备和方法
EP3614813A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
US11300889B2 (en) 2018-08-22 2022-04-12 Asml Netherlands B.V. Metrology apparatus
NL2023606A (en) 2018-08-22 2020-02-27 Asml Netherlands Bv Pulse stretcher and method
CN112602021A (zh) 2018-08-23 2021-04-02 Asml荷兰有限公司 衬底支撑件、光刻设备、衬底检查设备、器件制造方法
KR102592792B1 (ko) 2018-08-23 2023-10-24 에이에스엠엘 네델란즈 비.브이. 대상물 로딩 프로세스를 캘리브레이션하는 스테이지 장치 및 방법
WO2020043401A1 (en) 2018-08-28 2020-03-05 Asml Netherlands B.V. Electromagnetic actuator, position control system and lithographic apparatus
EP3680714A1 (en) 2019-01-09 2020-07-15 ASML Netherlands B.V. Method and apparatus for configuring spatial dimensions of a beam during a scan
EP3617800A1 (en) 2018-09-03 2020-03-04 ASML Netherlands B.V. Method and apparatus for configuring spatial dimensions of a beam during a scan
WO2020048693A1 (en) 2018-09-03 2020-03-12 Asml Netherlands B.V. Method and apparatus for configuring spatial dimensions of a beam during a scan
CN112639622B (zh) 2018-09-04 2024-03-19 Asml荷兰有限公司 量测设备
EP3620857A1 (en) 2018-09-04 2020-03-11 ASML Netherlands B.V. Metrology apparatus
EP3623868A1 (en) 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
WO2020057924A1 (en) 2018-09-21 2020-03-26 Asml Netherlands B.V. Radiation system
WO2020064265A1 (en) 2018-09-24 2020-04-02 Asml Netherlands B.V. A process tool and an inspection method
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
US11650513B2 (en) 2018-09-27 2023-05-16 Asml Netherlands B.V. Apparatus and method for measuring a position of a mark
EP3629088A1 (en) 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
US11550234B2 (en) 2018-10-01 2023-01-10 Asml Netherlands B.V. Object in a lithographic apparatus
WO2020069822A1 (en) 2018-10-02 2020-04-09 Asml Netherlands B.V. Laser triangulation apparatus and calibration method
EP3637186A1 (en) 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
EP3870547A1 (en) 2018-10-24 2021-09-01 ASML Netherlands B.V. Optical fibers and production methods therefor
EP3647874A1 (en) 2018-11-05 2020-05-06 ASML Netherlands B.V. Optical fibers and production methods therefor
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
EP3647872A1 (en) 2018-11-01 2020-05-06 ASML Netherlands B.V. A method for controlling the dose profile adjustment of a lithographic apparatus
EP3647873A1 (en) 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
EP3650939A1 (en) 2018-11-07 2020-05-13 ASML Netherlands B.V. Predicting a value of a semiconductor manufacturing process parameter
WO2020094325A1 (en) 2018-11-07 2020-05-14 Asml Netherlands B.V. Determining a correction to a process
EP3650941A1 (en) 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
WO2020099050A1 (en) 2018-11-16 2020-05-22 Asml Netherlands B.V. Method for monitoring lithographic apparatus
EP3654104A1 (en) 2018-11-16 2020-05-20 ASML Netherlands B.V. Method for monitoring lithographic apparatus
US11796978B2 (en) 2018-11-26 2023-10-24 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
EP3705959A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
CN113168115A (zh) 2018-12-03 2021-07-23 Asml荷兰有限公司 制造器件的方法
CN113168111A (zh) 2018-12-03 2021-07-23 Asml荷兰有限公司 用于预测半导体制造过程的产率的方法
US10871715B2 (en) 2018-12-06 2020-12-22 Asml Netherlands B.V. Lithographic apparatus and a device manufacturing method
CN113168116B (zh) 2018-12-07 2024-04-16 Asml荷兰有限公司 用于确定影响半导体制造过程中的产率的根本原因的方法
EP3671347A1 (en) 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
WO2020126248A1 (en) 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
EP3699688A1 (en) 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
EP3903152A1 (en) 2018-12-28 2021-11-03 ASML Netherlands B.V. Substrate holder for use in a lithographic apparatus and a method of manufacturing a substrate holder
CN113348543A (zh) 2019-01-23 2021-09-03 Asml荷兰有限公司 用于光刻设备中的衬底保持器和器件制造方法
WO2020156769A1 (en) 2019-01-29 2020-08-06 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
EP3693795A1 (en) 2019-02-06 2020-08-12 ASML Netherlands B.V. Method for decision making in a semiconductor manufacturing process
US20220083834A1 (en) 2019-01-30 2022-03-17 Asml Netherlands B.V. Apparatus and method for property joint interpolation and prediction
EP3712817A1 (en) 2019-03-20 2020-09-23 ASML Netherlands B.V. Apparatus and method for property joint interpolation and prediction
EP3696606A1 (en) 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
US11774867B2 (en) 2019-02-25 2023-10-03 Asml Netherlands B.V. Radiation measurement system
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
JP7430194B2 (ja) 2019-02-26 2024-02-09 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置、リソグラフィ装置及び測定方法
WO2020173652A1 (en) 2019-02-28 2020-09-03 Asml Netherlands B.V. Stage system and lithographic apparatus
EP3702840A1 (en) 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
WO2020177971A1 (en) 2019-03-01 2020-09-10 Asml Netherlands B.V. Object holder comprising an electrostatic clamp
NL2024767A (en) 2019-03-01 2020-09-04 Asml Netherlands Bv Object positioner device, stage support, lithographic apparatus, object inspection apparatus, method for adapting an object positioner device, device manufacturing method
EP3705942A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3705945A1 (en) 2019-03-08 2020-09-09 ASML Netherlands B.V. Methods and apparatus for estimating substrate shape
WO2020182540A1 (en) 2019-03-14 2020-09-17 Asml Netherlands B.V. Providing substantially laminar fluid flow in a lithographic apparatus
WO2020187473A1 (en) 2019-03-20 2020-09-24 Asml Netherlands B.V. A substrate container, a lithographic apparatus and a method using a lithographic apparatus
EP3715944A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
KR102636261B1 (ko) 2019-03-25 2024-02-13 에이에스엠엘 네델란즈 비.브이. 주파수 확장 장치 및 방법
NL2024986A (en) 2019-03-27 2020-09-30 Asml Netherlands Bv Method of measuring an alignment mark or an alignment mark assembly, Alignment system, and Lithographic tool
EP3948373A1 (en) 2019-04-03 2022-02-09 ASML Netherlands B.V. Optical fiber
EP3719551A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Optical fiber
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
NL2025205A (en) 2019-04-08 2020-10-15 Asml Holding Nv Sensor apparatus and method for lithographic measurements
CN113661449A (zh) 2019-04-10 2021-11-16 Asml荷兰有限公司 确定套刻的方法和系统
EP3722457A1 (en) 2019-04-12 2020-10-14 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
WO2020207759A1 (en) 2019-04-12 2020-10-15 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
US11754931B2 (en) 2019-04-16 2023-09-12 Asml Netherlands B.V. Method for determining corrections for lithographic apparatus
US11774868B2 (en) 2019-04-16 2023-10-03 Asml Netherlands B.V. Image sensor for immersion lithography
WO2020216555A1 (en) 2019-04-23 2020-10-29 Asml Netherlands B.V. Object table, a stage apparatus, a lithographic apparatus and a method of loading an object onto an object table or stage apparatus
EP3731018A1 (en) 2019-04-23 2020-10-28 ASML Netherlands B.V. A method for re-imaging an image and associated metrology apparatus
WO2020221529A1 (en) 2019-05-01 2020-11-05 Asml Netherlands B.V. Object positioner, method for correcting the shape of an object, lithographiic apparatus, object inspection apparatus, device manufacturing method
NL2025260A (en) 2019-05-03 2020-11-18 Asml Netherlands Bv Method for determining an alignment model based on an oblique fitting technique
CN113875317A (zh) 2019-05-09 2021-12-31 Asml荷兰有限公司 引导装置
KR20210145287A (ko) 2019-05-13 2021-12-01 에이에스엠엘 네델란즈 비.브이. 대상물의 다수의 다양한 이미지의 동시 획득을 위한 검출 장치
EP3742230A1 (en) 2019-05-23 2020-11-25 ASML Netherlands B.V. Detection apparatus for simultaneous acquisition of multiple diverse images of an object
EP3739389A1 (en) 2019-05-17 2020-11-18 ASML Netherlands B.V. Metrology tools comprising aplanatic objective singlet
WO2020234045A1 (en) 2019-05-20 2020-11-26 Asml Netherlands B.V. Actuator assemblies comprising piezo actuators or electrostrictive actuators
WO2020244853A1 (en) 2019-06-03 2020-12-10 Asml Netherlands B.V. Causal inference using time series data
WO2020244854A1 (en) 2019-06-03 2020-12-10 Asml Netherlands B.V. Image formation apparatus
EP3751229A1 (en) 2019-06-11 2020-12-16 ASML Netherlands B.V. Interferometer system, method of determining a mode hop of a laser source of an interferometer system, method of determining a position of a movable object, and lithographic apparatus
EP3983750A1 (en) 2019-06-11 2022-04-20 ASML Netherlands B.V. Interferometer system, method of determining a mode hop of a laser source of an interferometer system, method of determining a position of a movable object, and lithographic apparatus
EP3751342A1 (en) 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
EP3754427A1 (en) 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
WO2020254041A1 (en) 2019-06-17 2020-12-24 Asml Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
EP3767347A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
KR20220008912A (ko) 2019-06-21 2022-01-21 에이에스엠엘 네델란즈 비.브이. 장착된 중공 코어 섬유 배열체
EP3758168A1 (en) 2019-06-25 2020-12-30 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
WO2020260000A1 (en) 2019-06-27 2020-12-30 Asml Netherlands B.V. Multilayer superconductive article, superconductive coil, actuator, motor, stage apparatus and lithographic apparatus
EP3767392A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Method and apparatus for determining feature contribution to performance
EP3994525B1 (en) 2019-07-04 2023-05-03 ASML Netherlands B.V. Method for determining feature contribution to performance
EP3786711A1 (en) 2019-08-28 2021-03-03 ASML Netherlands B.V. Non-correctable error in metrology
US20220365450A1 (en) 2019-07-04 2022-11-17 Asml Netherlands B.V. Non-correctable error in metrology
EP3761116A1 (en) 2019-07-05 2021-01-06 ASML Netherlands B.V. A mirror calibrating method, a position measuring method, a lithographic apparatus and a device manufacturing method
CN114080566A (zh) 2019-07-08 2022-02-22 Asml荷兰有限公司 光刻设备
US20220308468A1 (en) 2019-07-08 2022-09-29 Asml Netherlands B.V. Method for determining a center of a radiation spot, sensor and stage apparatus
EP3764165A1 (en) 2019-07-12 2021-01-13 ASML Netherlands B.V. Substrate shape measuring device
EP3767394A1 (en) 2019-07-18 2021-01-20 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
US11675281B2 (en) 2019-07-15 2023-06-13 Asml Netherlands B.V. Methods of alignment, overlay, configuration of marks, manufacturing of patterning devices and patterning the marks
US11803126B2 (en) 2019-07-23 2023-10-31 Cymer, Llc Method of compensating wavelength error induced by repetition rate deviation
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
EP3796080A1 (en) 2019-09-18 2021-03-24 ASML Netherlands B.V. Radiation source
EP3770677A1 (en) 2019-07-24 2021-01-27 ASML Netherlands B.V. Radiation source
CN114208018A (zh) 2019-07-29 2022-03-18 Asml荷兰有限公司 热机械致动器
JP7334324B2 (ja) 2019-07-30 2023-08-28 エーエスエムエル ネザーランズ ビー.ブイ. マーク測定シーケンスの判定方法、ステージ装置、及びリソグラフィ装置
JP7465334B2 (ja) 2019-08-05 2024-04-10 エーエスエムエル ネザーランズ ビー.ブイ. サポート、振動絶縁システム、リソグラフィ装置、オブジェクト測定装置、デバイス製造方法
EP3783436A1 (en) 2019-08-19 2021-02-24 ASML Netherlands B.V. Illumination and detection apparatus for a metrology apparatus
EP4018262B1 (en) 2019-08-20 2023-06-21 ASML Netherlands B.V. Substrate holder and lithographic apparatus
CN114207527A (zh) 2019-08-20 2022-03-18 Asml荷兰有限公司 用于控制半导体制造过程的方法
EP3848757A1 (en) 2020-01-13 2021-07-14 ASML Netherlands B.V. Method for controlling a lithographic apparatus
EP4018263B1 (en) 2019-08-22 2023-08-30 ASML Netherlands B.V. Method for controlling a lithographic apparatus
EP3783439A1 (en) 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
CN114286967A (zh) 2019-08-23 2022-04-05 Asml荷兰有限公司 控制第一物体相对于第二物体的位置的方法、控制单元、平台装置和光刻装置
EP3786713A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
EP3786702A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
KR20220044532A (ko) 2019-09-02 2022-04-08 에이에스엠엘 네델란즈 비.브이. 광결정 섬유 기반의 광대역 광원의 모드 제어
WO2021043516A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Assembly for collimating broadband radiation
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
US20220326152A1 (en) 2019-09-05 2022-10-13 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3796087A1 (en) 2019-09-20 2021-03-24 ASML Netherlands B.V. Determining lithographic matching performance
WO2021047841A1 (en) 2019-09-12 2021-03-18 Asml Netherlands B.V. Determining lithographic matching performance
CN114402263A (zh) 2019-09-13 2022-04-26 Asml荷兰有限公司 流体处置系统和光刻设备
WO2021052801A1 (en) 2019-09-18 2021-03-25 Asml Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
EP3805857A1 (en) 2019-10-09 2021-04-14 ASML Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
EP3796089A1 (en) 2019-09-18 2021-03-24 ASML Holding N.V. A method for filtering an image and associated metrology apparatus
WO2021055236A1 (en) 2019-09-19 2021-03-25 Cymer, Llc Gas control method and related uses
EP3800505A1 (en) 2019-10-03 2021-04-07 ASML Netherlands B.V. Measurement system and method for characterizing a patterning device
KR20220058956A (ko) 2019-10-11 2022-05-10 사이머 엘엘씨 방전 레이저용 도전성 부재
EP3809190A1 (en) 2019-10-14 2021-04-21 ASML Netherlands B.V. Method and apparatus for coherence scrambling in metrology applications
US20220382124A1 (en) 2019-10-17 2022-12-01 Asml Netherlands B.V. An illumination source and associated metrology apparatus
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3839586A1 (en) 2019-12-18 2021-06-23 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
WO2021078690A1 (en) 2019-10-24 2021-04-29 Asml Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
US20220404717A1 (en) 2019-10-30 2022-12-22 Cymer, Llc Radiation source testing
WO2021089319A1 (en) 2019-11-05 2021-05-14 Asml Netherlands B.V. Measuring method and measuring apparatus
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
CN114641459A (zh) 2019-11-07 2022-06-17 Asml荷兰有限公司 制造用于空芯光子晶体光纤的毛细管的方法
EP3819266A1 (en) 2019-11-07 2021-05-12 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
KR20220078669A (ko) 2019-11-08 2022-06-10 사이머 엘엘씨 방사선의 펄스의 버스트를 제어하기 위한 방사선 시스템
CN114731027A (zh) 2019-11-12 2022-07-08 Asml荷兰有限公司 可调谐激光设备、调谐激光束的方法、干涉仪系统和光刻装置
CN114730135A (zh) 2019-11-14 2022-07-08 Asml荷兰有限公司 衬底支撑装置、光刻设备、用于操纵电荷分布的方法以及用于制备衬底的方法
WO2021099047A1 (en) 2019-11-19 2021-05-27 Asml Netherlands B.V. A method of obtaining performance information about a lithography process
EP3839630A1 (en) 2019-12-19 2021-06-23 ASML Netherlands B.V. Methods and apparatus for configuring a lens model request
WO2021104718A1 (en) 2019-11-29 2021-06-03 Asml Netherlands B.V. Method and system for predicting process information with a parameterized model
WO2021104791A1 (en) 2019-11-29 2021-06-03 Asml Netherlands B.V. Lithography apparatus with improved stability
EP3828632A1 (en) 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
EP4073589A1 (en) 2019-12-09 2022-10-19 ASML Netherlands B.V. Method of manufacturing a substrate support for a ithographic apparatus, substrate table, lithographic apparatus, device manufacturing method, method of use
KR20220101695A (ko) 2019-12-17 2022-07-19 에이에스엠엘 네델란즈 비.브이. 암시야 디지털 홀로그래픽 현미경 및 관련된 계측 방법
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
KR20220103772A (ko) 2019-12-18 2022-07-22 에이에스엠엘 네델란즈 비.브이. 통합 회로 및 관련 장치의 제조 시에 측정값을 보정하기 위한 방법
WO2021122065A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Improved lithography methods
WO2021123135A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3839632A1 (en) 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
CN112729158B (zh) 2019-12-26 2022-12-27 南京力安半导体有限公司 晶圆几何参数的测量方法
EP3918421A4 (en) * 2019-12-26 2022-11-09 Nanjing Lian Semiconductor Limited TOOL ARCHITECTURE FOR MEASUREMENT OF WAFER GEOMETRY IN THE SEMICONDUCTOR INDUSTRY
KR20220114610A (ko) 2020-01-14 2022-08-17 에이에스엠엘 네델란즈 비.브이. 개선된 리소그래피 장치
IL293985A (en) 2020-01-15 2022-08-01 Asml Netherlands Bv Method, assembly and device for improved control of broadband radiation generation
EP3865931A1 (en) 2020-02-12 2021-08-18 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
EP3851916A1 (en) 2020-01-17 2021-07-21 ASML Netherlands B.V. Suction clamp, object handler, stage apparatus and lithographic apparatus
EP3859448A1 (en) 2020-01-28 2021-08-04 ASML Netherlands B.V. Positioning device and method to use a positioning device
CN115004113A (zh) 2020-01-29 2022-09-02 Asml荷兰有限公司 量测方法和用于测量衬底上的周期性结构的装置
EP3876037A1 (en) 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
KR20220124237A (ko) 2020-02-06 2022-09-13 에이에스엠엘 네델란즈 비.브이. 듀얼 스테이지 리소그래피 장치를 사용하는 방법 및 리소그래피 장치
CN115023654A (zh) 2020-02-07 2022-09-06 Asml荷兰有限公司 工作台系统、工作台系统操作方法、检查工具、光刻设备、校准方法和装置制造方法
EP4104017A1 (en) 2020-02-14 2022-12-21 ASML Netherlands B.V. Determining lithographic matching performance
EP3869272A1 (en) 2020-02-21 2021-08-25 ASML Netherlands B.V. Substrate table and method of handling a substrate
KR20220145343A (ko) 2020-02-24 2022-10-28 에이에스엠엘 네델란즈 비.브이. 기판 지지체 및 기판 테이블
EP3872444A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Interferometer system and lithographic apparatus
EP3875633A1 (en) 2020-03-03 2021-09-08 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Method and apparatus for forming a patterned layer of material
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3889681A1 (en) 2020-03-31 2021-10-06 ASML Netherlands B.V. An assembly including a non-linear element and a method of use thereof
CN115380251A (zh) 2020-03-31 2022-11-22 Asml荷兰有限公司 用于制备衬底的方法和光刻设备
EP3910417A1 (en) 2020-05-13 2021-11-17 ASML Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
US20230124106A1 (en) 2020-04-02 2023-04-20 Asml Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
US11740560B2 (en) 2020-04-02 2023-08-29 Asml Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
EP3901700A1 (en) 2020-04-20 2021-10-27 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
CN115398757A (zh) 2020-04-09 2022-11-25 Asml荷兰有限公司 针对辐射源的种子激光系统
NL2025372A (en) 2020-04-20 2020-05-07 Asml Netherlands Bv System, lithographic apparatus and method
CN115427894A (zh) 2020-04-20 2022-12-02 Asml荷兰有限公司 插补器模型的配置
EP3913435A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. Configuration of an imputer model
WO2021213750A1 (en) 2020-04-23 2021-10-28 Asml Netherlands B.V. Method for calibration of an optical measurement system and optical measurement system
US20230204352A1 (en) 2020-05-04 2023-06-29 Asml Netherlands B.V. System and method for generating level data for a surface of a substrate
US20230185202A1 (en) 2020-05-08 2023-06-15 Asml Netherlands B.V. Methods and apparatus for diagnosing unobserved operational parameters
US20230168594A1 (en) 2020-05-14 2023-06-01 Asml Netherlands B.V. Method of wafer alignment using at resolution metrology on product features
US11429176B2 (en) 2020-05-14 2022-08-30 Dell Products L.P. Intelligent and predictive optimization of power needs across virtualized environments
CN115668058A (zh) 2020-05-15 2023-01-31 Asml荷兰有限公司 衬底支撑系统、光刻设备和暴露衬底的方法
EP3913429A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
JP2023526837A (ja) 2020-05-20 2023-06-23 エーエスエムエル ネザーランズ ビー.ブイ. 磁石アセンブリ、コイルアセンブリ、平面モータ、位置決めデバイス及びリソグラフィ装置
US20230205102A1 (en) 2020-06-04 2023-06-29 Asml Netherlands B.V. A fluid purging system, projection system, illumination system, lithographic apparatus, and method
EP3923075A1 (en) 2020-06-08 2021-12-15 ASML Netherlands B.V. Apparatus for use in a metrology process or lithographic process
EP3923076A1 (en) 2020-06-09 2021-12-15 ASML Netherlands B.V. Fluid purging system
EP3923078A1 (en) 2020-06-10 2021-12-15 ASML Netherlands B.V. Heigth measurement method and height measurement system
KR20230023787A (ko) 2020-06-16 2023-02-17 에이에스엠엘 네델란즈 비.브이. 기판 영역에 걸친 측정 데이터를 모델링하기 위한 방법 및 연관된 장치
US20230314962A1 (en) 2020-06-23 2023-10-05 Asml Holding N.V. Sub micron particle detection on burl tops by applying a variable voltage to an oxidized wafer
CN115769068A (zh) 2020-06-24 2023-03-07 Asml荷兰有限公司 单片颗粒检查设备
JP2023530864A (ja) 2020-06-24 2023-07-20 エーエスエムエル ホールディング エヌ.ブイ. 自己参照集積アライメントセンサ
WO2022002497A1 (en) 2020-06-29 2022-01-06 Asml Netherlands B.V. A signal parameter determination method, a heterodyne interferometer system, a lithographic apparatus and a device manufacturing method
KR20230031885A (ko) 2020-07-01 2023-03-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 생산 공정에서의 사용을 위한 열 민감성 요소 및 디바이스의 열-기계적 제어를 위한 방법
US20230269858A1 (en) 2020-07-06 2023-08-24 Asml Netherlands B.V. Systems and methods for laser-to-droplet alignment
EP3936936A1 (en) 2020-07-08 2022-01-12 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator with extended fiber lifetime
KR20230019967A (ko) 2020-07-08 2023-02-09 에이에스엠엘 네델란즈 비.브이. 연장된 섬유 수명을 갖는 중공-코어 섬유 기반 광대역 방사선 생성기
EP3944020A1 (en) 2020-07-20 2022-01-26 ASML Netherlands B.V. Method for adjusting a patterning process
JP2023533491A (ja) 2020-07-09 2023-08-03 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスの調整方法
US20230315027A1 (en) 2020-07-09 2023-10-05 Asml Netherlands B.V. Motion control using an artificial neural network
US20230251583A1 (en) 2020-07-10 2023-08-10 Asml Netherlands B.V. System and method for conditioning optical apparatuses
US20230259037A1 (en) 2020-07-14 2023-08-17 Asml Netherlands B.V. A fluid handling system, method and lithographic apparatus
US20230260855A1 (en) 2020-07-15 2023-08-17 Asml Netherlands B. V. Method of determining a correction strategy in a semiconductor manufacturing process and associated apparatuses
EP3945548A1 (en) 2020-07-30 2022-02-02 ASML Netherlands B.V. Method for classifying semiconductor wafers
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
WO2022017687A1 (en) 2020-07-21 2022-01-27 Asml Netherlands B.V. An illumination source and associated metrology apparatus
KR20230044214A (ko) 2020-07-30 2023-04-03 에이에스엠엘 홀딩 엔.브이. 입자 검사 시스템의 처리량 개선을 위한 이중 스캐닝 광학 기계식 구성체
WO2022028778A1 (en) 2020-08-05 2022-02-10 Asml Netherlands B.V. A fabrication process deviation determination method, calibration method, inspection tool, fabrication system and a sample
US20230252347A1 (en) 2020-08-06 2023-08-10 Asml Netherlands B.V. Method and apparatus for concept drift mitigation
DE112021004238T5 (de) 2020-08-11 2023-06-01 Asml Netherlands B.V. Verfahren und vorrichtung zum identifizieren von verunreinigungen in einer halbleiterfabrik
EP3961303A1 (en) 2020-08-27 2022-03-02 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
US20240011762A1 (en) 2020-08-27 2024-01-11 Asml Netherlands B.V. Compact dual pass interferometer for a plane mirror interferometer
EP3961304A1 (en) 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
EP3988996A1 (en) 2020-10-20 2022-04-27 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP3964888A1 (en) 2020-09-03 2022-03-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
KR20230067616A (ko) 2020-09-16 2023-05-16 에이에스엠엘 네델란즈 비.브이. 베이스 플레이트 및 기판 조립체
EP3971647A1 (en) 2020-09-16 2022-03-23 ASML Netherlands B.V. Base plate and substrate assembly
EP3971648A1 (en) 2020-09-17 2022-03-23 ASML Netherlands B.V. Mark to be projected on an object durign a lithograhpic process and method for designing a mark
EP3978964A1 (en) 2020-10-01 2022-04-06 ASML Netherlands B.V. Achromatic optical relay arrangement
JP2023544266A (ja) 2020-10-08 2023-10-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ、基板ホルダを備えた搬送システム、及びリソグラフィ装置
CN116368346A (zh) 2020-10-12 2023-06-30 Asml荷兰有限公司 干涉仪系统和光刻设备
CN116325113A (zh) 2020-10-16 2023-06-23 Asml荷兰有限公司 载物台、台式设备、保持方法和光刻设备
CN116324626A (zh) 2020-10-20 2023-06-23 Asml荷兰有限公司 光刻设备中的衬底水平感测
CN116457728A (zh) 2020-11-11 2023-07-18 Asml荷兰有限公司 用于配置采样方案生成模型的方法和计算机程序
US20230417628A1 (en) 2020-11-13 2023-12-28 Asml Netherlands B.V. Measurement system and method of use
US20230393487A1 (en) 2020-11-16 2023-12-07 Asml Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
WO2022106157A1 (en) 2020-11-18 2022-05-27 Asml Netherlands B.V. Method of forming a patterned layer of material
EP4001455A1 (en) 2020-11-18 2022-05-25 ASML Netherlands B.V. Method of forming a patterned layer of material
EP4251947A1 (en) 2020-11-24 2023-10-04 ASML Netherlands B.V. A positioning system, a lithographic apparatus, an absolute position determination method, and a device manufacturing method
KR20230107799A (ko) 2020-11-25 2023-07-18 에이에스엠엘 네델란즈 비.브이. 유체 취급 시스템, 방법 및 리소그래피 장치
CN116472436A (zh) 2020-11-26 2023-07-21 Asml荷兰有限公司 镜斑位置校准方法、光刻设备和器件制造方法
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
KR20230110738A (ko) 2020-11-30 2023-07-25 에이에스엠엘 네델란즈 비.브이. 고차 고조파 생성에 기반한 계측 장치 및 관련 방법
EP4009107A1 (en) 2020-12-01 2022-06-08 ASML Netherlands B.V. Method and apparatus for imaging nonstationary object
EP4012494A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4012492A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4016144A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology target simulation
CN116670461A (zh) 2020-12-21 2023-08-29 Asml荷兰有限公司 具有方向灵敏度的干涉仪头
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
US20230333480A1 (en) 2020-12-23 2023-10-19 Asml Netherlands B.V. A fluid handling system, method and lithographic apparatus
EP4030230A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. Methods and apparatus for providing a broadband light source
WO2022135823A1 (en) 2020-12-23 2022-06-30 Asml Netherlands B.V. Methods and apparatus for providing a broadband light source
JP2024500021A (ja) 2020-12-24 2024-01-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ方法
EP4050416A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Lithographic method
EP4020086A1 (en) 2020-12-28 2022-06-29 ASML Netherlands B.V. A metrology apparatus and a metrology method
US20230384694A1 (en) 2020-12-29 2023-11-30 Asml Netherlands B.V. Vacuum sheet bond fixturing and flexible burl applications for substrate tables
WO2022144205A1 (en) 2020-12-30 2022-07-07 Asml Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075341A1 (en) 2021-04-18 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075340A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
JP2024502527A (ja) 2021-01-11 2024-01-22 エーエスエムエル ネザーランズ ビー.ブイ. グリッパおよびグリッパを備えるリソグラフィ装置
EP4278146A1 (en) 2021-01-14 2023-11-22 ASML Netherlands B.V. An interferometer system, positioning system, a lithographic apparatus, a jitter determination method, and a device manufacturing method
EP4036619A1 (en) 2021-01-27 2022-08-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber
WO2022161703A1 (en) 2021-01-27 2022-08-04 Asml Netherlands B.V. Hollow-core photonic crystal fiber
WO2022161736A1 (en) 2021-01-27 2022-08-04 Asml Netherlands B.V. Multi-channel light source for projection optics heating
WO2022161795A1 (en) 2021-01-28 2022-08-04 Asml Holding N.V. Fast uniformity drift correction
EP4067968A1 (en) 2021-03-29 2022-10-05 ASML Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
WO2022167179A1 (en) 2021-02-04 2022-08-11 Asml Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230146536A (ko) 2021-02-17 2023-10-19 에이에스엠엘 네델란즈 비.브이. 원거리 필드에서 방사선을 분리하기 위한 어셈블리
EP4050328A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
WO2022184479A1 (en) 2021-03-04 2022-09-09 Asml Netherlands B.V. Data filter for scanning metrology
EP4057069A1 (en) 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
EP4086698A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4060403A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Hollow-core photonic crystal fiber based multiple wavelength light source device
EP4060408A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Method and system for predicting process information with a parameterized model
IL305428A (en) 2021-03-16 2023-10-01 Asml Netherlands Bv A radiation source based on hollow-core optical fibers
TW202243107A (zh) 2021-03-18 2022-11-01 荷蘭商Asml荷蘭公司 用於經改良疊對之夾具電極修改
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
WO2022200014A1 (en) 2021-03-22 2022-09-29 Asml Netherlands B.V. Digital holographic microscope and associated metrology method
CN117157586A (zh) 2021-03-29 2023-12-01 Asml荷兰有限公司 用于晶片对准的不对称性扩展栅格模型
JP2024513761A (ja) 2021-04-01 2024-03-27 エーエスエムエル ネザーランズ ビー.ブイ. レーザシステム
EP4320483A1 (en) 2021-04-08 2024-02-14 ASML Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
EP4071554A1 (en) 2021-04-08 2022-10-12 ASML Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
KR20230169991A (ko) 2021-04-15 2023-12-18 에이에스엠엘 네델란즈 비.브이. 유체 핸들링 시스템, 방법 및 리소그래피 장치
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
IL306078A (en) 2021-04-19 2023-11-01 Asml Netherlands Bv Method of calibrating a metrology tool and a combined metrology tool
WO2022223277A1 (en) 2021-04-21 2022-10-27 Asml Netherlands B.V. Surface treatment device and method
EP4080285A1 (en) 2021-04-21 2022-10-26 ASML Netherlands B.V. Surface treatment device
EP4102297A1 (en) 2021-06-10 2022-12-14 ASML Netherlands B.V. Temperature conditioning system, a lithographic apparatus and a method of temperature conditioning an object
JP2024515487A (ja) 2021-04-21 2024-04-10 エーエスエムエル ネザーランズ ビー.ブイ. 温度調節システム、リソグラフィ装置及びオブジェクトを温度調節するための方法
IL307270A (en) 2021-04-26 2023-11-01 Asml Netherlands Bv Method for cleaning and accompanying metrological device for a light source
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4105696A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Optical element for generation of broadband radiation
WO2022233547A1 (en) 2021-05-03 2022-11-10 Asml Netherlands B.V. Optical element for generation of broadband radiation
CN117296011A (zh) 2021-05-06 2023-12-26 Asml荷兰有限公司 定位系统、光刻装置、驱动力衰减方法和器件制造方法
EP4105719A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Causal convolution network for process control
WO2022233562A1 (en) 2021-05-06 2022-11-10 Asml Netherlands B.V. Causal convolution network for process control
EP4089484A1 (en) 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
IL308338A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrology method and integrated metrology tool
IL308370A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrological measurement method and device
CN117413225A (zh) 2021-06-07 2024-01-16 Asml荷兰有限公司 用于确定热致变形的方法和装置
CN117425859A (zh) 2021-06-08 2024-01-19 Asml荷兰有限公司 过填充双向标记的强度不平衡校准
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
KR20240021180A (ko) 2021-06-14 2024-02-16 에이에스엠엘 네델란즈 비.브이. 레티클용 냉각 후드
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
EP4124909A1 (en) 2021-07-28 2023-02-01 ASML Netherlands B.V. Metrology method and device
IL308972A (en) 2021-06-18 2024-01-01 Asml Netherlands Bv Metrology method and instrument
WO2022268438A1 (en) 2021-06-24 2022-12-29 Asml Netherlands B.V. Structures for use on a substrate holder, substrate holder, lithographic apparatus and method
WO2022268419A1 (en) 2021-06-25 2022-12-29 Asml Netherlands B.V. An inspection tool, method and lithographic apparatus
EP4112572A1 (en) 2021-06-28 2023-01-04 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4116888A1 (en) 2021-07-07 2023-01-11 ASML Netherlands B.V. Computer implemented method for diagnosing a system comprising a plurality of modules
NL2032345A (en) 2021-07-07 2023-01-16 Asml Netherlands Bv A position measurement system, a positioning system, a lithographic apparatus, and a device manufacturing method
EP4116772A1 (en) 2021-07-09 2023-01-11 ASML Netherlands B.V. Electromagnetic motor system, postion control system, stage apparatus, lithographic apparatus, method of determining a motor-dependent commutation model for an electromagnetic motor
EP4120019A1 (en) 2021-07-12 2023-01-18 ASML Netherlands B.V. Method of determining a correction for at least one control parameter in a semiconductor manufacturing process
KR20240035804A (ko) 2021-07-20 2024-03-18 에이에스엠엘 네델란즈 비.브이. 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램
EP4130880A1 (en) 2021-08-03 2023-02-08 ASML Netherlands B.V. Methods of data mapping for low dimensional data analysis
EP4124911A1 (en) 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
IL310095A (en) 2021-07-23 2024-03-01 Asml Netherlands Bv Metrology method and metrology device
EP4134744A1 (en) 2021-08-09 2023-02-15 ASML Netherlands B.V. A sensor positioning method, a positioning system, a lithographic apparatus, a metrology apparatus, and a device manufacturing method
EP4134745A1 (en) 2021-08-12 2023-02-15 ASML Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
EP4134746A1 (en) 2021-08-12 2023-02-15 ASML Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
CN117882013A (zh) 2021-08-12 2024-04-12 Asml荷兰有限公司 使用离轴照射的强度测量
CN117795429A (zh) 2021-08-13 2024-03-29 Asml荷兰有限公司 提高照射器透射率的光刻方法
CN117897661A (zh) 2021-08-18 2024-04-16 Asml荷兰有限公司 量测目标优化
CN117859101A (zh) 2021-08-24 2024-04-09 Asml荷兰有限公司 物体夹持器、保持物体的方法以及光刻设备
EP4141531A1 (en) 2021-08-25 2023-03-01 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4163715A1 (en) 2021-10-05 2023-04-12 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4194952A1 (en) 2021-12-13 2023-06-14 ASML Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
WO2023025506A1 (en) 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
WO2023030832A1 (en) 2021-08-30 2023-03-09 Asml Netherlands B.V. Metrology system, lithographic apparatus, and method
WO2023036530A1 (en) 2021-09-13 2023-03-16 Asml Netherlands B.V. Sensor system
IL310977A (en) 2021-09-14 2024-04-01 Asml Netherlands Bv Metrology method and instrument
EP4184426A1 (en) 2021-11-22 2023-05-24 ASML Netherlands B.V. Metrology method and device
WO2023041251A1 (en) 2021-09-16 2023-03-23 Asml Netherlands B.V. Thermal conditioning unit, substrate handling device and lithographic apparatus
EP4155821A1 (en) 2021-09-27 2023-03-29 ASML Netherlands B.V. Method for focus metrology and associated apparatuses
EP4160314A1 (en) 2021-10-04 2023-04-05 ASML Netherlands B.V. Method for measuring at least one target on a substrate
EP4167029A1 (en) 2021-10-14 2023-04-19 ASML Netherlands B.V. A fluid extraction system, method and lithographic apparatus
EP4170430A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4174568A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174567A1 (en) 2021-11-02 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
WO2023078619A1 (en) 2021-11-02 2023-05-11 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
WO2023078788A1 (en) 2021-11-03 2023-05-11 Asml Netherlands B.V. Lithographic apparatus stage coupling
EP4181018A1 (en) 2021-11-12 2023-05-17 ASML Netherlands B.V. Latent space synchronization of machine learning models for in-device metrology inference
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
EP4194951A1 (en) 2021-12-13 2023-06-14 ASML Netherlands B.V. Identifying deviating modules from a reference population for machine diagnostics
WO2023110318A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Machine learning model for asymmetry-induced overlay error correction
WO2023110907A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Overlay metrology based on template matching with adaptive weighting
EP4202508A1 (en) 2021-12-22 2023-06-28 ASML Netherlands B.V. Waveguides and manufacturing methods thereof
WO2023117265A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. An assembly for a laser-operated light source and method of use
EP4206823A1 (en) 2021-12-30 2023-07-05 ASML Netherlands B.V. Method of patterning a target layer, apparatus for patterning a target layer
EP4209846A1 (en) 2022-01-10 2023-07-12 ASML Netherlands B.V. Hierarchical anomaly detection and data representation method to identify system level degradation
EP4212961A1 (en) 2022-01-14 2023-07-19 ASML Netherlands B.V. Lithographic performance qualification and associated apparatuses
EP4216106A1 (en) 2022-01-19 2023-07-26 ASML Netherlands B.V. Method for controlling a production system and method for thermally controlling at least part of an environment
WO2023143909A1 (en) 2022-01-31 2023-08-03 Asml Netherlands B.V. Substrate table, lithographic apparatus, sticker, cover ring and method of operating a lithographic apparatus
WO2023148326A1 (en) 2022-02-04 2023-08-10 Asml Netherlands B.V. Lithographic apparatus controller system
WO2023151973A1 (en) 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
EP4231090A1 (en) 2022-02-17 2023-08-23 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
WO2023160924A1 (en) 2022-02-22 2023-08-31 Asml Netherlands B.V. Method and apparatus for reflecting pulsed radiation
WO2023160972A1 (en) 2022-02-28 2023-08-31 Asml Netherlands B.V. Height measurement sensor
WO2023165824A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Image analysis based on adaptive weighting of template contours
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
WO2023186441A1 (en) 2022-03-29 2023-10-05 Asml Netherlands B.V. Data retrieval
EP4280076A1 (en) 2022-05-17 2023-11-22 ASML Netherlands B.V. Data retrieval
EP4254266A1 (en) 2022-03-29 2023-10-04 ASML Netherlands B.V. Methods related to an autoencoder model or similar for manufacturing process parameter estimation
WO2023186508A1 (en) 2022-03-31 2023-10-05 Asml Netherlands B.V. End-effector and method for handling a substrate
WO2023186569A1 (en) 2022-03-31 2023-10-05 Asml Netherlands B.V. Substrate warpage determination system
WO2023194036A1 (en) 2022-04-05 2023-10-12 Asml Netherlands B.V. Imaging method and metrology device
EP4296780A1 (en) 2022-06-24 2023-12-27 ASML Netherlands B.V. Imaging method and metrology device
WO2023194049A1 (en) 2022-04-08 2023-10-12 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
EP4273622A1 (en) 2022-05-02 2023-11-08 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4261618A1 (en) 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
WO2023208475A1 (en) 2022-04-26 2023-11-02 Asml Netherlands B.V. Thermally actuated cooling system
WO2023213527A1 (en) 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool
EP4276537A1 (en) 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023217460A1 (en) 2022-05-09 2023-11-16 Asml Netherlands B.V. Mechatronic system control method, lithographic apparatus control method and lithographic apparatus
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222349A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
WO2023222342A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4289798A1 (en) 2022-06-07 2023-12-13 ASML Netherlands B.V. Method of producing photonic crystal fibers
WO2023241893A1 (en) 2022-06-15 2023-12-21 Asml Netherlands B.V. Substrate support and lithographic apparatus
WO2023241867A1 (en) 2022-06-16 2023-12-21 Asml Netherlands B.V. Calibration method and apparatus
WO2023247125A1 (en) 2022-06-23 2023-12-28 Asml Netherlands B.V. Method and apparatus for determining a physical quantity
EP4300183A1 (en) 2022-06-30 2024-01-03 ASML Netherlands B.V. Apparatus for broadband radiation generation
WO2024008359A1 (en) 2022-07-07 2024-01-11 Asml Netherlands B.V. Substrate holding system and lithographic apparatus
WO2024008367A1 (en) 2022-07-07 2024-01-11 Asml Netherlands B.V. A fluid handling system, method and lithographic apparatus
WO2024012768A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Substrate holder, lithographic apparatus, computer program and method
EP4312005A1 (en) 2022-07-29 2024-01-31 Stichting VU Method and apparatuses for fourier transform spectrometry
EP4312079A1 (en) 2022-07-29 2024-01-31 ASML Netherlands B.V. Methods of mitigating crosstalk in metrology images
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
EP4318133A1 (en) 2022-08-05 2024-02-07 ASML Netherlands B.V. System, apparatus and method for selective surface treatment
WO2024033036A1 (en) 2022-08-08 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024033005A1 (en) 2022-08-09 2024-02-15 Asml Netherlands B.V. Inference model training
WO2024033035A1 (en) 2022-08-10 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024037797A1 (en) 2022-08-16 2024-02-22 Asml Netherlands B.V. Classifying product units
EP4343472A1 (en) 2022-09-20 2024-03-27 ASML Netherlands B.V. Classifying product units
WO2024037799A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Method to stabilize a wavelength of a tunable laser device, tunable laser device, and position measurement system provided with the tunable laser device
NL2035465A (en) 2022-08-18 2024-02-27 Asml Netherlands Bv Superconductive magnet assembly, planar motor and lithographic apparatus
WO2024037801A1 (en) 2022-08-19 2024-02-22 Asml Netherlands B.V. A conditioning system, arrangement and method
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
EP4336251A1 (en) 2022-09-12 2024-03-13 ASML Netherlands B.V. A multi-pass radiation device
WO2024056296A1 (en) 2022-09-13 2024-03-21 Asml Netherlands B.V. Metrology method and associated metrology device
EP4343020A1 (en) 2022-09-21 2024-03-27 ASML Netherlands B.V. Method of forming a patterned layer of material, apparatus for forming a patterned layer of material
WO2024074255A1 (en) 2022-10-06 2024-04-11 Asml Netherlands B.V. Method and apparatus for controlling a lithographic apparatus, and a lithographic apparatus
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
EP4354200A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. An aberration correction optical system

Family Cites Families (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE221563C (ja)
DE206607C (ja)
DE242880C (ja)
DE224448C (ja)
GB1242527A (en) 1967-10-20 1971-08-11 Kodak Ltd Optical instruments
US3573975A (en) 1968-07-10 1971-04-06 Ibm Photochemical fabrication process
US4280054A (en) 1979-04-30 1981-07-21 Varian Associates, Inc. X-Y Work table
ATE1462T1 (de) 1979-07-27 1982-08-15 Werner W. Dr. Tabarelli Optisches lithographieverfahren und einrichtung zum kopieren eines musters auf eine halbleiterscheibe.
FR2474708B1 (fr) 1980-01-24 1987-02-20 Dme Procede de microphotolithographie a haute resolution de traits
JPS5754317A (en) 1980-09-19 1982-03-31 Hitachi Ltd Method and device for forming pattern
US4509852A (en) 1980-10-06 1985-04-09 Werner Tabarelli Apparatus for the photolithographic manufacture of integrated circuit elements
US4346164A (en) 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
US4390273A (en) 1981-02-17 1983-06-28 Censor Patent-Und Versuchsanstalt Projection mask as well as a method and apparatus for the embedding thereof and projection printing system
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
JPS58202448A (ja) 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
DD206607A1 (de) 1982-06-16 1984-02-01 Mikroelektronik Zt Forsch Tech Verfahren und vorrichtung zur beseitigung von interferenzeffekten
JPS5919912A (ja) * 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
US4441808A (en) * 1982-11-15 1984-04-10 Tre Semiconductor Equipment Corp. Focusing device for photo-exposure system
DD242880A1 (de) 1983-01-31 1987-02-11 Kuch Karl Heinz Einrichtung zur fotolithografischen strukturuebertragung
DD221563A1 (de) 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
JPS62121417A (ja) 1985-11-22 1987-06-02 Hitachi Ltd 液浸対物レンズ装置
JPS62150828A (ja) 1985-12-25 1987-07-04 Mitsubishi Electric Corp ウエハ乾燥装置
US4980896A (en) 1986-04-15 1990-12-25 Hampshire Instruments, Inc. X-ray lithography system
JPS6349893A (ja) 1986-08-19 1988-03-02 Matsushita Electric Ind Co Ltd バ−コ−ド読み取り装置
JPS63157419A (ja) 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4801352A (en) * 1986-12-30 1989-01-31 Image Micro Systems, Inc. Flowing gas seal enclosure for processing workpiece surface with controlled gas environment and intense laser irradiation
US4778995A (en) 1987-05-12 1988-10-18 Eastman Kodak Company Stimulable phosphor imaging apparatus
US5040020A (en) 1988-03-31 1991-08-13 Cornell Research Foundation, Inc. Self-aligned, high resolution resonant dielectric lithography
JPH0228312A (ja) * 1988-07-18 1990-01-30 Nikon Corp 露光装置
US5103102A (en) * 1989-02-24 1992-04-07 Micrion Corporation Localized vacuum apparatus and method
GB8911336D0 (en) 1989-05-17 1989-07-05 Heinz Co H J Removing surface liquid from articles
JPH03209479A (ja) 1989-09-06 1991-09-12 Sanee Giken Kk 露光方法
US5121256A (en) 1991-03-14 1992-06-09 The Board Of Trustees Of The Leland Stanford Junior University Lithography system employing a solid immersion lens
JPH04305917A (ja) 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305915A (ja) 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JP3218478B2 (ja) 1992-09-04 2001-10-15 株式会社ニコン 投影露光装置及び方法
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) * 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
JP2520833B2 (ja) * 1992-12-21 1996-07-31 東京エレクトロン株式会社 浸漬式の液処理装置
JP3747958B2 (ja) 1995-04-07 2006-02-22 株式会社ニコン 反射屈折光学系
JP3212199B2 (ja) * 1993-10-04 2001-09-25 旭硝子株式会社 平板型陰極線管
JPH07220990A (ja) 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US5517344A (en) * 1994-05-20 1996-05-14 Prime View Hk Limited System for protection of drive circuits formed on a substrate of a liquid crystal display
JP3116297B2 (ja) * 1994-08-03 2000-12-11 東京エレクトロン株式会社 処理方法及び処理装置
JPH08136475A (ja) 1994-11-14 1996-05-31 Kawasaki Steel Corp 板状材の表面観察装置
JP3387075B2 (ja) 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
JPH08171054A (ja) 1994-12-16 1996-07-02 Nikon Corp 反射屈折光学系
JPH08316125A (ja) 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316124A (ja) 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
US5883704A (en) 1995-08-07 1999-03-16 Nikon Corporation Projection exposure apparatus wherein focusing of the apparatus is changed by controlling the temperature of a lens element of the projection optical system
US5795390A (en) * 1995-08-24 1998-08-18 Camelot Systems, Inc. Liquid dispensing system with multiple cartridges
JPH103039A (ja) 1996-06-14 1998-01-06 Nikon Corp 反射屈折光学系
JPH1020195A (ja) * 1996-06-28 1998-01-23 Nikon Corp 反射屈折光学系
US6104687A (en) 1996-08-26 2000-08-15 Digital Papyrus Corporation Method and apparatus for coupling an optical lens to a disk through a coupling medium having a relatively high index of refraction
US5825043A (en) 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
JPH1133506A (ja) * 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JP3612920B2 (ja) 1997-02-14 2005-01-26 ソニー株式会社 光学記録媒体の原盤作製用露光装置
JPH10255319A (ja) 1997-03-12 1998-09-25 Hitachi Maxell Ltd 原盤露光装置及び方法
JP3747566B2 (ja) 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
US5900354A (en) 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
JP3495891B2 (ja) 1997-10-22 2004-02-09 株式会社湯山製作所 薬剤分割包装装置
JPH11176727A (ja) 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
AU1505699A (en) 1997-12-12 1999-07-05 Nikon Corporation Projection exposure method and projection aligner
US6126169A (en) 1998-01-23 2000-10-03 Nikon Corporation Air bearing operable in a vacuum region
JPH11239758A (ja) 1998-02-26 1999-09-07 Dainippon Screen Mfg Co Ltd 基板処理装置
AU2747999A (en) * 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000076707A (ja) * 1998-08-31 2000-03-14 Sony Corp 光学記録媒体作製用原盤記録装置
US6333775B1 (en) 1999-01-13 2001-12-25 Euv Llc Extreme-UV lithography vacuum chamber zone seal
TWI242111B (en) 1999-04-19 2005-10-21 Asml Netherlands Bv Gas bearings for use in vacuum chambers and their application in lithographic projection apparatus
TW552480B (en) * 1999-04-19 2003-09-11 Asml Netherlands Bv Moveable support in a vacuum chamber and its application in lithographic projection apparatus
US6809802B1 (en) 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
JP4504479B2 (ja) 1999-09-21 2010-07-14 オリンパス株式会社 顕微鏡用液浸対物レンズ
JP2001118773A (ja) 1999-10-18 2001-04-27 Nikon Corp ステージ装置及び露光装置
EP1174912A4 (en) 1999-12-24 2009-11-25 Ebara Corp SEMICONDUCTOR DISC GENERATING APPARATUS AND MANUFACTURING METHOD
US6995930B2 (en) * 1999-12-29 2006-02-07 Carl Zeiss Smt Ag Catadioptric projection objective with geometric beam splitting
US7187503B2 (en) * 1999-12-29 2007-03-06 Carl Zeiss Smt Ag Refractive projection objective for immersion lithography
JP2001272604A (ja) 2000-03-27 2001-10-05 Olympus Optical Co Ltd 液浸対物レンズおよびそれを用いた光学装置
KR100833275B1 (ko) 2000-05-03 2008-05-28 에이에스엠엘 유에스, 인크. 정화 가스를 이용한 비접촉식 시일
JP2001358056A (ja) 2000-06-15 2001-12-26 Canon Inc 露光装置
ATE452419T1 (de) * 2000-06-27 2010-01-15 Imec Verfahren und vorrichtung zum reinigen und trocknen eines substrats
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP2002033267A (ja) 2000-07-18 2002-01-31 Nikon Corp 排気方法及び排気装置、露光方法及び露光装置
TW591653B (en) 2000-08-08 2004-06-11 Koninkl Philips Electronics Nv Method of manufacturing an optically scannable information carrier
TW497013B (en) * 2000-09-07 2002-08-01 Asm Lithography Bv Method for calibrating a lithographic projection apparatus and apparatus capable of applying such a method
JP2002170754A (ja) 2000-11-30 2002-06-14 Nikon Corp 露光装置、光学特性検出方法及び露光方法
KR100866818B1 (ko) 2000-12-11 2008-11-04 가부시키가이샤 니콘 투영광학계 및 이 투영광학계를 구비한 노광장치
EP1231514A1 (en) * 2001-02-13 2002-08-14 Asm Lithography B.V. Measurement of wavefront aberrations in a lithographic projection apparatus
WO2002091078A1 (en) 2001-05-07 2002-11-14 Massachusetts Institute Of Technology Methods and apparatus employing an index matching medium
JP2002334862A (ja) * 2001-05-10 2002-11-22 Mitsubishi Electric Corp 半導体装置の製造方法およびその製造方法に用いる半導体基板の洗浄装置
GB2376873A (en) 2001-05-31 2002-12-31 Ian Robert Fothergill Analysis or disposal of surface adherents
US6600547B2 (en) 2001-09-24 2003-07-29 Nikon Corporation Sliding seal
US6801301B2 (en) * 2001-10-12 2004-10-05 Canon Kabushiki Kaisha Exposure apparatus
US6897941B2 (en) 2001-11-07 2005-05-24 Applied Materials, Inc. Optical spot grid array printer
JP4003441B2 (ja) 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
US6842256B2 (en) 2001-11-15 2005-01-11 Zygo Corporation Compensating for effects of variations in gas refractivity in interferometers
JP2003158173A (ja) * 2001-11-20 2003-05-30 Oki Electric Ind Co Ltd ウェハホルダ
US7092069B2 (en) * 2002-03-08 2006-08-15 Carl Zeiss Smt Ag Projection exposure method and projection exposure system
DE10210899A1 (de) 2002-03-08 2003-09-18 Zeiss Carl Smt Ag Refraktives Projektionsobjektiv für Immersions-Lithographie
DE10229818A1 (de) 2002-06-28 2004-01-15 Carl Zeiss Smt Ag Verfahren zur Fokusdetektion und Abbildungssystem mit Fokusdetektionssystem
DE10211817A1 (de) * 2002-03-16 2003-09-25 Schlafhorst & Co W Spinnvorrichtung
JPWO2003085708A1 (ja) 2002-04-09 2005-08-18 株式会社ニコン 露光方法及び露光装置、並びにデバイス製造方法
TWI242691B (en) 2002-08-23 2005-11-01 Nikon Corp Projection optical system and method for photolithography and exposure apparatus and method using same
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US6788477B2 (en) 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
CN101349876B (zh) * 2002-11-12 2010-12-01 Asml荷兰有限公司 光刻装置和器件制造方法
KR100585476B1 (ko) * 2002-11-12 2006-06-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
US7110081B2 (en) 2002-11-12 2006-09-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100568101C (zh) 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE60335595D1 (de) * 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG131766A1 (en) * 2002-11-18 2007-05-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE10253679A1 (de) * 2002-11-18 2004-06-03 Infineon Technologies Ag Optische Einrichtung zur Verwendung bei einem Lithographie-Verfahren, insbesondere zur Herstellung eines Halbleiter-Bauelements, sowie optisches Lithographieverfahren
TWI255971B (en) * 2002-11-29 2006-06-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE10258718A1 (de) 2002-12-09 2004-06-24 Carl Zeiss Smt Ag Projektionsobjektiv, insbesondere für die Mikrolithographie, sowie Verfahren zur Abstimmung eines Projektionsobjektives
SG150388A1 (en) 2002-12-10 2009-03-30 Nikon Corp Exposure apparatus and method for producing device
JP4529433B2 (ja) 2002-12-10 2010-08-25 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
EP1429190B1 (en) 2002-12-10 2012-05-09 Canon Kabushiki Kaisha Exposure apparatus and method
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
AU2003302830A1 (en) 2002-12-10 2004-06-30 Nikon Corporation Exposure apparatus and method for manufacturing device
SG171468A1 (en) 2002-12-10 2011-06-29 Nikon Corp Exposure apparatus and method for producing device
JP4232449B2 (ja) 2002-12-10 2009-03-04 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
AU2003289272A1 (en) 2002-12-10 2004-06-30 Nikon Corporation Surface position detection apparatus, exposure method, and device porducing method
WO2004053951A1 (ja) 2002-12-10 2004-06-24 Nikon Corporation 露光方法及び露光装置並びにデバイス製造方法
EP1571696A4 (en) 2002-12-10 2008-03-26 Nikon Corp EXPOSURE DEVICE AND METHOD OF MANUFACTURE
CN1717776A (zh) 2002-12-10 2006-01-04 株式会社尼康 光学元件及使用该光学元件的投影曝光装置
JP4352874B2 (ja) 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
AU2003289271A1 (en) 2002-12-10 2004-06-30 Nikon Corporation Exposure apparatus, exposure method and method for manufacturing device
DE10257766A1 (de) 2002-12-10 2004-07-15 Carl Zeiss Smt Ag Verfahren zur Einstellung einer gewünschten optischen Eigenschaft eines Projektionsobjektivs sowie mikrolithografische Projektionsbelichtungsanlage
WO2004053955A1 (ja) 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
DE60326384D1 (de) 2002-12-13 2009-04-09 Koninkl Philips Electronics Nv Flüssigkeitsentfernung in einem verfahren und einer einrichtung zum bestrahlen von flecken auf einer schicht
US7514699B2 (en) 2002-12-19 2009-04-07 Koninklijke Philips Electronics N.V. Method and device for irradiating spots on a layer
WO2004057590A1 (en) 2002-12-19 2004-07-08 Koninklijke Philips Electronics N.V. Method and device for irradiating spots on a layer
US7010958B2 (en) * 2002-12-19 2006-03-14 Asml Holding N.V. High-resolution gas gauge proximity sensor
US6781670B2 (en) 2002-12-30 2004-08-24 Intel Corporation Immersion lithography
TWI247339B (en) * 2003-02-21 2006-01-11 Asml Holding Nv Lithographic printing with polarized light
US7206059B2 (en) * 2003-02-27 2007-04-17 Asml Netherlands B.V. Stationary and dynamic radial transverse electric polarizer for high numerical aperture systems
US6943941B2 (en) * 2003-02-27 2005-09-13 Asml Netherlands B.V. Stationary and dynamic radial transverse electric polarizer for high numerical aperture systems
US7029832B2 (en) 2003-03-11 2006-04-18 Samsung Electronics Co., Ltd. Immersion lithography methods using carbon dioxide
US20050164522A1 (en) 2003-03-24 2005-07-28 Kunz Roderick R. Optical fluids, and systems and methods of making and using the same
WO2004086470A1 (ja) * 2003-03-25 2004-10-07 Nikon Corporation 露光装置及びデバイス製造方法
KR20110104084A (ko) * 2003-04-09 2011-09-21 가부시키가이샤 니콘 액침 리소그래피 유체 제어 시스템
KR101431938B1 (ko) * 2003-04-10 2014-08-19 가부시키가이샤 니콘 액침 리소그래피 장치용 운반 영역을 포함하는 환경 시스템
EP2950148B1 (en) * 2003-04-10 2016-09-21 Nikon Corporation Environmental system including vaccum scavenge for an immersion lithography apparatus
WO2004090633A2 (en) 2003-04-10 2004-10-21 Nikon Corporation An electro-osmotic element for an immersion lithography apparatus
KR101129213B1 (ko) 2003-04-10 2012-03-27 가부시키가이샤 니콘 액침 리소그래피 장치용 액체를 수집하는 런-오프 경로
WO2004092830A2 (en) 2003-04-11 2004-10-28 Nikon Corporation Liquid jet and recovery system for immersion lithography
SG2013077797A (en) 2003-04-11 2017-02-27 Nippon Kogaku Kk Cleanup method for optics in immersion lithography
SG139736A1 (en) 2003-04-11 2008-02-29 Nikon Corp Apparatus having an immersion fluid system configured to maintain immersion fluid in a gap adjacent an optical assembly
EP1614000B1 (en) 2003-04-17 2012-01-18 Nikon Corporation Immersion lithographic apparatus
JP4025683B2 (ja) * 2003-05-09 2007-12-26 松下電器産業株式会社 パターン形成方法及び露光装置
JP4146755B2 (ja) * 2003-05-09 2008-09-10 松下電器産業株式会社 パターン形成方法
TWI295414B (en) * 2003-05-13 2008-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1477856A1 (en) 2003-05-13 2004-11-17 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005277363A (ja) 2003-05-23 2005-10-06 Nikon Corp 露光装置及びデバイス製造方法
TWI503865B (zh) 2003-05-23 2015-10-11 尼康股份有限公司 A method of manufacturing an exposure apparatus and an element
US7274472B2 (en) 2003-05-28 2007-09-25 Timbre Technologies, Inc. Resolution enhanced optical metrology
JP5143331B2 (ja) 2003-05-28 2013-02-13 株式会社ニコン 露光方法及び露光装置、並びにデバイス製造方法
DE10324477A1 (de) * 2003-05-30 2004-12-30 Carl Zeiss Smt Ag Mikrolithographische Projektionsbelichtungsanlage
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1486827B1 (en) * 2003-06-11 2011-11-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4054285B2 (ja) * 2003-06-12 2008-02-27 松下電器産業株式会社 パターン形成方法
JP4084710B2 (ja) * 2003-06-12 2008-04-30 松下電器産業株式会社 パターン形成方法
KR101265450B1 (ko) * 2003-06-19 2013-05-16 가부시키가이샤 니콘 노광 장치 및 디바이스 제조방법
US6867844B2 (en) * 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
JP4084712B2 (ja) * 2003-06-23 2008-04-30 松下電器産業株式会社 パターン形成方法
JP4029064B2 (ja) * 2003-06-23 2008-01-09 松下電器産業株式会社 パターン形成方法
JP4343597B2 (ja) * 2003-06-25 2009-10-14 キヤノン株式会社 露光装置及びデバイス製造方法
JP2005019616A (ja) * 2003-06-25 2005-01-20 Canon Inc 液浸式露光装置
JP3862678B2 (ja) * 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
US6809794B1 (en) * 2003-06-27 2004-10-26 Asml Holding N.V. Immersion photolithography system and method using inverted wafer-projection optics interface
EP1498778A1 (en) * 2003-06-27 2005-01-19 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7236232B2 (en) 2003-07-01 2007-06-26 Nikon Corporation Using isotopically specified fluids as optical elements
JP4697138B2 (ja) 2003-07-08 2011-06-08 株式会社ニコン 液浸リソグラフィ装置、液浸リソグラフィ方法、デバイス製造方法
US7738074B2 (en) * 2003-07-16 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7384149B2 (en) 2003-07-21 2008-06-10 Asml Netherlands B.V. Lithographic projection apparatus, gas purging method and device manufacturing method and purge gas supply system
US7006209B2 (en) 2003-07-25 2006-02-28 Advanced Micro Devices, Inc. Method and apparatus for monitoring and controlling imaging in immersion lithography systems
US7175968B2 (en) * 2003-07-28 2007-02-13 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US7326522B2 (en) * 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
US7061578B2 (en) * 2003-08-11 2006-06-13 Advanced Micro Devices, Inc. Method and apparatus for monitoring and controlling imaging in immersion lithography systems
US7579135B2 (en) * 2003-08-11 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography apparatus for manufacture of integrated circuits
US7700267B2 (en) * 2003-08-11 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion fluid for immersion lithography, and method of performing immersion lithography
US7085075B2 (en) 2003-08-12 2006-08-01 Carl Zeiss Smt Ag Projection objectives including a plurality of mirrors with lenses ahead of mirror M3
US6844206B1 (en) 2003-08-21 2005-01-18 Advanced Micro Devices, Llp Refractive index system monitor and control for immersion lithography
US7070915B2 (en) 2003-08-29 2006-07-04 Tokyo Electron Limited Method and system for drying a substrate
US6954256B2 (en) * 2003-08-29 2005-10-11 Asml Netherlands B.V. Gradient immersion lithography
US7014966B2 (en) 2003-09-02 2006-03-21 Advanced Micro Devices, Inc. Method and apparatus for elimination of bubbles in immersion medium in immersion lithography systems
CN101430508B (zh) 2003-09-03 2011-08-10 株式会社尼康 为浸没光刻提供流体的装置和方法
JP4378136B2 (ja) 2003-09-04 2009-12-02 キヤノン株式会社 露光装置及びデバイス製造方法
JP3870182B2 (ja) * 2003-09-09 2007-01-17 キヤノン株式会社 露光装置及びデバイス製造方法
US6961186B2 (en) * 2003-09-26 2005-11-01 Takumi Technology Corp. Contact printing using a magnified mask image
US7369217B2 (en) 2003-10-03 2008-05-06 Micronic Laser Systems Ab Method and device for immersion lithography
US7678527B2 (en) * 2003-10-16 2010-03-16 Intel Corporation Methods and compositions for providing photoresist with improved properties for contacting liquids
JP2005159322A (ja) * 2003-10-31 2005-06-16 Nikon Corp 定盤、ステージ装置及び露光装置並びに露光方法
WO2005050324A2 (en) 2003-11-05 2005-06-02 Dsm Ip Assets B.V. A method and apparatus for producing microchips
US7924397B2 (en) * 2003-11-06 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-corrosion layer on objective lens for liquid immersion lithography applications
US7545481B2 (en) * 2003-11-24 2009-06-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005054953A2 (en) 2003-11-24 2005-06-16 Carl-Zeiss Smt Ag Holding device for an optical element in an objective
US7125652B2 (en) 2003-12-03 2006-10-24 Advanced Micro Devices, Inc. Immersion lithographic process using a conforming immersion medium
JP2005175016A (ja) * 2003-12-08 2005-06-30 Canon Inc 基板保持装置およびそれを用いた露光装置ならびにデバイス製造方法
JP2005175034A (ja) * 2003-12-09 2005-06-30 Canon Inc 露光装置
US7385764B2 (en) 2003-12-15 2008-06-10 Carl Zeiss Smt Ag Objectives as a microlithography projection objective with at least one liquid lens
EP1697798A2 (en) 2003-12-15 2006-09-06 Carl Zeiss SMT AG Projection objective having a high aperture and a planar end surface
WO2005059645A2 (en) 2003-12-19 2005-06-30 Carl Zeiss Smt Ag Microlithography projection objective with crystal elements
US20050185269A1 (en) * 2003-12-19 2005-08-25 Carl Zeiss Smt Ag Catadioptric projection objective with geometric beam splitting
US7460206B2 (en) * 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7394521B2 (en) * 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7589818B2 (en) * 2003-12-23 2009-09-15 Asml Netherlands B.V. Lithographic apparatus, alignment apparatus, device manufacturing method, and a method of converting an apparatus
US7119884B2 (en) 2003-12-24 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005191381A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光方法及び装置
JP2005191393A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光方法及び装置
US20050147920A1 (en) * 2003-12-30 2005-07-07 Chia-Hui Lin Method and system for immersion lithography
US7088422B2 (en) * 2003-12-31 2006-08-08 International Business Machines Corporation Moving lens for immersion optical lithography
JP4371822B2 (ja) * 2004-01-06 2009-11-25 キヤノン株式会社 露光装置
JP4429023B2 (ja) * 2004-01-07 2010-03-10 キヤノン株式会社 露光装置及びデバイス製造方法
US20050153424A1 (en) * 2004-01-08 2005-07-14 Derek Coon Fluid barrier with transparent areas for immersion lithography
KR101407204B1 (ko) * 2004-01-14 2014-06-13 칼 짜이스 에스엠티 게엠베하 투영 대물렌즈
KR101165862B1 (ko) 2004-01-16 2012-07-17 칼 짜이스 에스엠티 게엠베하 편광변조 광학소자
WO2005069078A1 (en) 2004-01-19 2005-07-28 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus with immersion projection lens
EP1706793B1 (en) 2004-01-20 2010-03-03 Carl Zeiss SMT AG Exposure apparatus and measuring device for a projection lens
US7026259B2 (en) * 2004-01-21 2006-04-11 International Business Machines Corporation Liquid-filled balloons for immersion lithography
US7391501B2 (en) * 2004-01-22 2008-06-24 Intel Corporation Immersion liquids with siloxane polymer for immersion lithography
US8852850B2 (en) * 2004-02-03 2014-10-07 Rochester Institute Of Technology Method of photolithography using a fluid and a system thereof
WO2005076084A1 (en) 2004-02-09 2005-08-18 Carl Zeiss Smt Ag Projection objective for a microlithographic projection exposure apparatus
JP4018647B2 (ja) 2004-02-09 2007-12-05 キヤノン株式会社 投影露光装置およびデバイス製造方法
US7050146B2 (en) * 2004-02-09 2006-05-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007522508A (ja) 2004-02-13 2007-08-09 カール・ツアイス・エスエムテイ・アーゲー マイクロリソグラフィック投影露光装置のための投影対物レンズ
CN1922528A (zh) 2004-02-18 2007-02-28 康宁股份有限公司 用于具有深紫外光的高数值孔径成象的反折射成象系统
US20050205108A1 (en) * 2004-03-16 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for immersion lithography lens cleaning
US7027125B2 (en) * 2004-03-25 2006-04-11 International Business Machines Corporation System and apparatus for photolithography
JP4510494B2 (ja) 2004-03-29 2010-07-21 キヤノン株式会社 露光装置
US7084960B2 (en) * 2004-03-29 2006-08-01 Intel Corporation Lithography using controlled polarization
JP2005286068A (ja) 2004-03-29 2005-10-13 Canon Inc 露光装置及び方法
SG10201710046XA (en) 2004-06-09 2018-01-30 Nippon Kogaku Kk Substrate holding device, exposure apparatus having same, exposure method, method for producing device, and liquid repellent plate
US7057702B2 (en) * 2004-06-23 2006-06-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7304715B2 (en) * 2004-08-13 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7701550B2 (en) * 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7522261B2 (en) * 2004-09-24 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
US7411657B2 (en) * 2004-11-17 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG124359A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP4262252B2 (ja) 2005-03-02 2009-05-13 キヤノン株式会社 露光装置
US7411654B2 (en) * 2005-04-05 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7834974B2 (en) * 2005-06-28 2010-11-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474379B2 (en) 2005-06-28 2009-01-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7760324B2 (en) 2006-03-20 2010-07-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL1036835A1 (nl) * 2008-05-08 2009-11-11 Asml Netherlands Bv Lithographic Apparatus and Method.

Also Published As

Publication number Publication date
US20090002652A1 (en) 2009-01-01
KR100585476B1 (ko) 2006-06-07
JP2007142460A (ja) 2007-06-07
JP3977324B2 (ja) 2007-09-19
JP2004289126A (ja) 2004-10-14
US9091940B2 (en) 2015-07-28
US20060023189A1 (en) 2006-02-02
JP5017403B2 (ja) 2012-09-05
TW200426521A (en) 2004-12-01
US8208120B2 (en) 2012-06-26
CN100470367C (zh) 2009-03-18
US7388648B2 (en) 2008-06-17
US10222706B2 (en) 2019-03-05
US20080218726A1 (en) 2008-09-11
CN1501173A (zh) 2004-06-02
US20110228241A1 (en) 2011-09-22
JP2010135857A (ja) 2010-06-17
JP4567013B2 (ja) 2010-10-20
SG2010050110A (en) 2014-06-27
US8797503B2 (en) 2014-08-05
US20040207824A1 (en) 2004-10-21
US20150362844A1 (en) 2015-12-17
KR20040044119A (ko) 2004-05-27
US6952253B2 (en) 2005-10-04
US10620545B2 (en) 2020-04-14
US20190265596A1 (en) 2019-08-29
SG121818A1 (en) 2006-05-26
JP2012064979A (ja) 2012-03-29
SG135052A1 (en) 2007-09-28
TWI232357B (en) 2005-05-11
US20130301017A1 (en) 2013-11-14
US7982850B2 (en) 2011-07-19

Similar Documents

Publication Publication Date Title
JP5480880B2 (ja) リソグラフィ投影装置
US11789369B2 (en) Lithographic apparatus and device manufacturing method
US10768536B2 (en) Lithographic apparatus and device manufacturing method
US7372541B2 (en) Lithographic apparatus and device manufacturing method
EP2495613B1 (en) Lithographic apparatus
TWI394011B (zh) 微影裝置及器件製造方法
US20080057440A1 (en) Lithographic apparatus and device manufacturing method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111222

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130821

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140214

R150 Certificate of patent or registration of utility model

Ref document number: 5480880

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees