KR20240035804A - 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램 - Google Patents

저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램 Download PDF

Info

Publication number
KR20240035804A
KR20240035804A KR1020247002202A KR20247002202A KR20240035804A KR 20240035804 A KR20240035804 A KR 20240035804A KR 1020247002202 A KR1020247002202 A KR 1020247002202A KR 20247002202 A KR20247002202 A KR 20247002202A KR 20240035804 A KR20240035804 A KR 20240035804A
Authority
KR
South Korea
Prior art keywords
data
dimensional
dimensional data
dimensional representation
substrate
Prior art date
Application number
KR1020247002202A
Other languages
English (en)
Inventor
케디르 모하메드 아달
레자 사라이안
다이크 레온 파울 반
하렌 리차드 요하네스 프란시스퀴스 반
아부 니얌 엠디 무쉬피쿨 하크
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP21189299.7A external-priority patent/EP4130880A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240035804A publication Critical patent/KR20240035804A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/16Matrix or vector computation, e.g. matrix-matrix or matrix-vector multiplication, matrix factorization
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/213Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods
    • G06F18/2134Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods based on separation criteria, e.g. independent component analysis
    • G06F18/21347Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods based on separation criteria, e.g. independent component analysis using domain transformations

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Mathematical Optimization (AREA)
  • Computational Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Evolutionary Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Algebra (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

리소그래피 장치, 에칭 툴, 계측 툴 또는 검사 툴에 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하기 위한 방법, 시스템 및 장치가 개시된다. 장치와 관련된 고차원 데이터가 획득된다. 고차원 데이터는 제1의 차원 N을 가지며, N은 2보다 크다. 비선형 매개변수 모델이 획득되며, 이 모델은 고차원 데이터의 트레이닝 세트를 더 낮은 차원 표현에 매핑시키도록 트레이닝된 것이다. 더 낮은 차원 표현은 제2의 차원 M을 가지며, 여기서 M은 N보다 작다. 모델은 매핑이 고차원 데이터의 트레이닝 세트에서 국소 유사성을 보존하게 하도록 구성된 비용 함수를 사용하여 트레이닝되었다. 모델을 이용하여, 획득된 고차원 데이터는 대응하는 더 낮은 차원 표현으로 매핑된다.

Description

저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램
관련 출원에 대한 상호 참조
본 출원은 2021년 7월 21일에 출원된 EP 출원 제21186555.5호 및 2021년 8월 3일에 출원된 EP 출원 제21189299.7호의 우선권을 주장하며, 이들은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은 반도체 제조 공정에 사용되는 장치와 관련된 고차원 데이터를 더 낮은 차원 표현으로 매핑하기 위한 컴퓨터 구현 방법 및 컴퓨터 프로그램, 그리고 결과적인 맵의 사용에 관한 것이다. 특히 본 발명은 데이터의 국소 유사성을 보존하면서 비선형 매개변수 모델을 사용하여 매핑하는 것에 관한 것이다.
리소그래피 장치는 원하는 패턴을 원하는 패턴을 기판 상으로 적용시키도록 구성된 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 리소그래피 장치는, 예를 들어 패터닝 디바이스 (예를 들어, 마스크)에 있는 패턴 (또한, 흔히 "디자인 레이아웃" 또는 "디자인"으로 지칭됨)을 기판 (예를 들어, 웨이퍼) 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로 투영시킬 수 있다.
패턴을 기판 상에 투영하기 위하여, 리소그래피 장치는 전자기 방사선을 이용할 수 있다. 이 방사선의 파장은 기판 상에 형성될 수 있는 피처의 최소 크기를 결정한다. 현재 사용되고 있는 전형적인 파장은 365㎚ (i-라인), 248㎚, 193㎚ 및 13.5㎚이다. 4 내지 20㎚ 범위 내의, 예를 들어 6.7㎚ 또는 13.5㎚의 파장을 갖는 극자외(EUV) 방사선을 이용하는 리소그래피 장치가, 예를 들어 193㎚의 파장을 갖는 방사선을 이용하는 리소그래피 장치보다 기판 상에 더 작은 피처를 형성하기 위해 사용될 수 있다.
저(low)-k1 리소그래피는 리소그래피 장치의 전형적인 분해능 한계보다 작은 치수를 갖는 피처를 처리하기 위해 사용될 수 있다. 이러한 공정에서, 분해능 공식은
Figure pct00001
로 표현될 수 있으며, 여기서 λ는 사용되는 방사선의 파장, NA는 리소그래피 장치 내의 투영 광학계의 개구수, CD는 "임계 치수" (일반적으로, 프린트되는 가장 작은 피처 크기이나, 이 경우에서는 반분-피치), 그리고 k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정 전기적 기능 및 성능을 달성하기 위하여 회로 디자이너에 의하여 계획된 형상 및 치수와 유사한 패턴을 기판 상에 재현하는 것이 더 어려워진다. 이 어려움을 극복하기 위하여 정교한 미세 조정(fine-tuning) 단계가 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용될 수 있다. 이는, 예를 들어 NA의 최적화, 맞춤 조명 스킴(customized illumination schemes), 위상 시프팅 패터닝 디바이스의 사용, 디자인 레이아웃에서의 광학 근접 보정(OPC, 때로는 "광학 및 공정 보정"으로도 지칭됨)과 같은 디자인 레이아웃의 다양한 최적화, 또는 일반적으로 "분해능 향상 기술"(RET)로서 규정된 다른 방법을 포함하지만, 이에 제한되지는 않는다. 대안적으로, 리소그래피 장치 또는 기판의 패터닝에 사용되는 (에칭 툴과 같은) 다른 장치의 안정성을 제어하기 위한 엄격한 제어 루프가 사용되어 저 k1에서 패턴의 재현을 개선할 수 있다.
반도체 제조 공정은 복잡하며 대량의 계측 데이터의 생성을 초래한다. 리소그래피 공정에 관련된 복잡한 특성과 수많은 변수로 인하여, 이 공정을 이해하고 개선하기 위하여 리소그래피 공정을 분석하는데 많은 과제가 존재한다. 이 과제들 중 일부는 충분한 데이터를 얻는 방법, 대량의 데이터를 신속하게 처리하고 및/또는 연산 부하를 줄이는 방법을 포함한다.
본 발명의 제1 양태에 따르면, 반도체 제조 공정에 사용되는 하나 이상의 장치와 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하기 위한 컴퓨터 구현 방법이 제공되며, 여기서 하나 이상의 장치는 리소그래피 장치, 에칭 툴, 계측 장치, 또는 검사 장치 중 하나 이상이다. 본 방법은 하나 이상의 장치와 관련된 고차원 데이터를 획득하는 것을 포함하며, 고차원 데이터는 제1의 차원 N을 갖고, N은 2보다 크다. 비선형 매개변수 모델이 획득되며, 이 모델은 고차원 데이터의 트레이닝 세트를 더 낮은 차원 표현에 매핑시키도록 트레이닝된 것이다. 더 낮은 차원 표현은 제2의 차원 M을 가지며, 여기서 M은 N보다 작다. 모델은 매핑이 고차원 데이터의 트레이닝 세트에서 국소 유사성을 보존하게 하도록 구성된 비용 함수를 사용하여 트레이닝된 것이다. 모델을 이용하여, 획득된 고차원 데이터는 대응하는 더 낮은 차원 표현으로 매핑된다.
선택적으로, 비선형 매개변수 모델은 신경망일 수 있다.
선택적으로, 매핑하는 것은, 고차원 데이터의 각 데이터 포인트에 대해, 더 낮은 차원 표현의 대응하는 데이터 포인트에 매핑하는 것을 포함할 수 있다.
선택적으로, 국소 유사성을 보존하는 것은 고차원 데이터의 데이터 포인트들 및 더 낮은 차원 표현의 대응 데이터 포인트들 사이의 쌍별 유사성 차이를 최소화하는 것을 포함할 수 있다.
선택적으로, 비용 함수는 대칭적 쌍별 유사성 메트릭을 기반으로 할 수 있다.
선택적으로, 비용 함수(C)는
일 수 있으며, 여기서 KL은 쿨백-라이블러 발산(Kullback-Leibler divergence)이고, S는 고차원 공간에서 쌍별 유사성(sij)으로 구성된 유사 매트릭스이며, Q는 더 낮은 차원 표현 공간에서 쌍별 유사성(qij)의 유사 매트릭스이다.
선택적으로, 획득된 고차원 데이터는 정렬 데이터를 포함할 수 있다.
선택적으로, 획득된 고차원 데이터는 오버레이 데이터를 포함할 수 있다.
선택적으로, 획득된 고차원 데이터는 레벨링 데이터를 포함할 수 있다.
선택적으로, 본 방법은 대응하는 더 낮은 차원 표현에서 클러스터링을 식별하는 것, 및 클러스터링과 관련된 하나 이상의 제1의 차원을 결정하는 것을 더 포함할 수 있다. 클러스터링은 고차원 데이터의 국소 유사성과 관련될 수 있다.
선택적으로, 본 방법은 더 낮은 차원 표현을 기반으로, 하나 이상의 장치의 유지 관리를 수행할 것을 결정하는 것을 더 포함할 수 있다.
선택적으로, 본 방법은 유지 관리가 수행되게 하도록 경보를 출력하는 것을 더 포함할 수 있다.
선택적으로, 본 방법은 더 낮은 차원 표현을 기반으로, 하나 이상의 장치의 설정에 대한 조정을 결정하는 것을 더 포함할 수 있다.
선택적으로, 본 방법은 조정이 이루어지게 하도록 하나 이상의 장치를 제어하는 것을 더 포함할 수 있다.
선택적으로, 본 방법은 더 낮은 차원 표현을 기반으로, 리소그래피 노광 레시피의 조정을 결정하는 것을 더 포함할 수 있다.
선택적으로, 본 방법은 더 낮은 차원 표현을 기반으로, 에칭 레시피의 조정을 결정하는 것을 더 포함할 수 있다.
선택적으로, 본 방법은 리소그래피 노광 레시피의 조정을 야기하기 위하여 리소그래피 장치의 설정에 대한 하나 이상의 변경을 구현하는 것을 더 포함할 수 있다.
본 발명의 또 다른 양태에 따르면, 위에서 설명된 바와 같은 방법을 수행하도록 구성된 컴퓨터 프로그램이 제공된다.
본 발명의 또 다른 양태에 따르면, 프로세서, 및 프로세서에 의해 실행될 때 프로세서가 위에 설명된 바와 같은 방법을 수행하게 하는 명령어를 포함하는 메모리를 포함하는 장치가 제공된다.
본 발명의 또 다른 양태에 따르면, 위의 단락에서 설명된 바와 같은 장치를 포함하는 리소그래피 장치가 제공된다.
본 발명의 또 다른 양태에 따르면, 위의 단락에서 설명된 바와 같은 장치를 포함하는 에칭 툴이 제공된다.
본 발명의 또 다른 양태에 따르면, 위의 단락에서 설명된 바와 같은 장치를 포함하는 리소 셀이 제공된다.
이제 본 발명의 실시예가 첨부 도면을 참조하여 단지 예로서 설명될 것이며, 도면에서:
도 1은 리소그래피 장치의 개략적인 개요를 도시하고 있다.
도 2는 리소그래피 셀의 개략적인 개요를 도시하고 있다.
도 3은 반도체 제조를 최적화하기 위한 세 가지 핵심 기술 간의 협력을 나타내는, 홀리스틱 리소그래피의 개략적인 표현을 도시하고 있다.
도 4는 스캐터로미터의 개략적인 표현을 도시하고 있다.
도 5는 레벨 센서의 개략적인 표현을 도시한다.
도 6은 정렬 센서의 개략적인 표현을 도시한다.
도 7은 장치와 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하기 위한 방법의 흐름도를 도시하고 있다.
도 8a 내지 도 8d는 고차원 데이터의 예시적인 그래픽 저차원 표현을 도시하고 있다.
도 9는 고차원 데이터의 예시적인 그래픽 저차원 표현을 도시하고 있다.
도 10은 리소그래피 제조 적용에서의 사용을 위한 비선형 매개변수 모델의 개략적인 개요를 도시하고 있다.
본 명세서에서, 용어 "방사선" 및 "빔"은 (예를 들어, 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외 방사선 및 EUV (예를 들어, 약 5 내지 100㎚의 범위 내의 파장을 갖는 극자외 방사선)을 포함하는 모든 유형의 전자기 방사선을 포함시키기 위하여 사용될 수 있다.
본 명세서에서 사용된 바와 같은 용어 "레티클", "마스크" 또는 "패터닝 디바이스"는, 입사 방사선 빔에 기판의 타겟 부분 상에 생성될 패턴에 대응하는, 패터닝된 횡단면을 부여하기 위해 사용될 수 있는 포괄적인 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. 용어 "광 밸브(light valve)" 또한 이와 관련하여 사용될 수 있다. 전형적인 마스크 (투과식 또는 반사식, 이진, 위상-시프팅, 하이브리드 등) 외에도, 다른 이러한 다른 패터닝 디바이스의 예는 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 어레이를 포함한다.
도 1 은 리소그래피 장치(LA)를 개략적으로 도시하고 있다. 리소그래피 장치(LA)는 방사선 빔(B) (예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 조절하도록 구성된 조명 시스템 (또한 일루미네이터(illuminator)로 지칭됨) (IL), 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되며 특정 매개변수에 따라서 패터닝 디바이스(MA)를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 마스크 지지체 (예를 들어, 마스크 테이블)(T), 기판 (예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지시키도록 구성되며 특정 매개변수에 따라서 기판 지지체를 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 지지체 (예를 들어, 웨이퍼 테이블)(WT), 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영하도록 구성된 투영 시스템 (예를 들어, 굴절식 투영 렌즈 시스템)(PS)을 포함한다.
작동 시, 조명 시스템(IL)은 방사선 소스(SO)로부터 방사선 빔을, 예를 들어 빔 전달 시스템(BD)을 통해 받아들인다. 조명 시스템(IL)은 방사선을 지향시키고, 성형(shaping)하고 및/또는 제어하기 위하여, 굴절식, 반사식, 자기식, 전자기식, 정전식 및/또는 다른 유형의 광학 구성 요소 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다. 일루미네이터(IL)는 패터닝 디바이스(MA)의 평면에서 횡단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 조정하기 위하여 사용될 수 있다.
본 명세서에서 사용되는 용어 "투영 시스템"(PS)은 이용되고 있는 노광 방사선에 대하여 및/또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 요인에 대하여 적합한 것으로서, 굴절식, 반사식, 반사 굴절식(catadioptric), 애너모픽(anamorphic), 자기식, 전자기식, 및/또는 정전식 광학 시스템, 및/또는 이들의 임의의 조합을 포함하는 다양한 유형의 투영 시스템을 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서의 용어 "투영 렌즈"의 임의의 사용은 더 일반적인 용어 "투영 시스템"(PS)과 같은 의미인 것으로 간주될 수 있다.
리소그래피 장치(LA)는, 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 덮일 수 있는 유형일 수 있으며- 이는 또한 침지 리소그래피로 지칭된다. 침지 기술에 대한 더 많은 정보가 미국특허 제6,952,253호에 제공되며, 이는 원용에 의해 본 명세서 내에 포함된다.
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT) ("이중 스테이지" 로도 불림)를 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계에서, 기판 지지체(WT)들은 동시에 사용될 수 있으며, 및/또는 기판(W)의 후속 노광의 준비 단계가 기판 지지체(WT)들 중 하나에 위치된 기판(W) 상에서 수행될 수 있는 반면에, 다른 기판 지지체(WT) 상의 또 다른 기판(W)은 다른 기판(W) 상의 패턴을 노광시키기 위해 이용되고 있다.
기판 지지체(WT)에 더하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지시키도록 배열되어 있다. 센서는 투영 시스템(PS)의 특성 또는 방사선 빔(B)의 특성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 유지시킬 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 침지 액체를 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)에서 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
작동 시, 방사선 빔(B)은 마스크 지지체(MT) 상에서 유지되는 패터닝 디바이스, 예를 들어 마스크(MA) 상에 입사되며, 패터닝 디바이스(MA) 상에 존재하는 패턴 (디자인 레이아웃)에 의해 패터닝된다. 마스크(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속시킨다. 제2 포지셔너(PW)와 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는, 예를 들어 방사선 빔(B)의 경로 내의 상이한 타겟 부분(C)들을 집속 및 정렬된 위치에 위치시키기 위하여 정확하게 이동될 수 있다. 마찬가지로, 제1 포지셔너(PM) 및 가능하게는 (도 1에는 명확히 도시되지 않은) 또 다른 위치 센서가 사용되어 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시킬 수 있다. 패터닝 디바이스(MA)와 기판(W)은 마스크 정렬 마크(M1, M2)와 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용 타겟 부분을 점유하고 있지만, 이들은 타겟 부분들 사이의 공간 내에 위치될 수 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟 부분(C)들 사이에 위치될 때 스크라이브-레인 정렬 마크로 알려져 있다.
도 2에서 보여지는 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(LC)의 일부를 형성할 수 있으며, 이는 때때로 리소셀 또는 (리소) 클러스터로도 지칭되고, 흔히 기판(W) 상에서 노광 전 및 노광 후 공정을 수행하기 위한 장치도 포함한다. 통상적으로, 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 예를 들어, 기판(W)의 온도를 조절하기 위한, 예를 들어 레지스트 층 내의 용매를 조절하기 위한 냉각 플레이트(CH) 및 베이크 플레이트(BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하고, 기판을 상이한 공정 장치들 간에 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(LB)에 전달한다. 흔히 통칭적으로 트랙으로도 지칭되는, 리소셀 내의 디바이스는 전형적으로, 자체가 감독 제어 시스템(SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있으며, 감독 제어 시스템은 또한 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록 하기 위하여, 기판을 검사하여 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은, 패터닝된 구조체의 특성을 측정하는 것이 바람직하다. 이 목적을 위하여, 검사 툴 (보이지 않음)이 리소셀(LC) 내에 포함될 수 있다. 오차가 검출된다면, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있으며, 특히 동일 배치(batch) 또는 로트(lot)의 다른 기판(W)이 여전히 노광 또는 처리되기 전에 검사가 이루어지는 경우에 그렇다.
계측 장치로도 지칭될 수 있는 검사 장치는 기판(W)의 특성을 결정하기 위해, 그리고 특히 상이한 기판(W)들의 특성이 어떻게 달라지는지 또는 동일 기판(W)의 상이한 층들과 관련된 특성이 층마다 어떻게 달라지는지를 결정하기 위해 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 확인하도록 구성될 수 있으며, 또한 예를 들어 리소셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수 있다. 검사 장치는 잠상 (노광 후의 레지스트 층의 이미지)에 관한 또는 반-잠상 (노광 후 베이크(PEB) 단계 후의 레지스트 층의 이미지), 또는 (레지스트의 노광된 또는 노광되지 않은 부분이 제거된) 현상된 레지스트 이미지에 관한, 또는 심지어 (에칭과 같은 패턴 전사 단계 이후의) 에칭된 이미지에 관한 특성을 측정할 수 있다.
전형적으로, 리소그래피 장치(LA)에서의 패터닝 공정은 기판(W) 상의 구조체의 치수 설정 및 배치의 높은 정확도를 필요로 하는 처리에 있어서 가장 중요한 단계들 중 하나이다. 이 높은 정확도를 보장하기 위해, 도 3에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "홀리스틱(holistic)" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 계측 툴(MT) (제2 시스템)에 그리고 컴퓨터 시스템(CL)(제3 시스템)에 (가상적으로) 연결된 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 핵심은 이 3개의 시스템 간의 협력을 최적화하여 전체적인 공정 윈도우를 향상시키는 것, 및 리소그래피 장치(LA)에 의해 수행되는 패터닝이 공정 윈도우 내에 유지되는 것을 보장하기 위해 엄격한 제어 루프를 제공하는 것이다. 공정 윈도우는 공정 매개변수 (예를 들어, 선량, 초점, 오버레이)의 범위를 규정하며, 특정 제조 공정은 이 공정 매개변수 내에서 규정된 결과 (예를 들어, 기능 반도체 디바이스)를 생성한다-전형적으로 리소그래피 공정 또는 패터닝 공정의 공정 매개변수는 이 범위 내에서 달라지도록 허용된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 사용하여 어느 분해능 향상 기법을 사용할지 예측할 수 있으며 그리고 어느 마스크 레이아웃 및 리소그래피 장치 설정(setting)이 (도 3에서 제1 스케일(SC1) 내의 이중 화살표로 도시된) 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정하기 위해 컴퓨터 리소그래피 시뮬레이션과 계산을 수행할 수 있다. 전형적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 마련된다. 컴퓨터 시스템(CL)은 또한 (예를 들어, 계측 툴(MT)로부터의 입력을 사용하여) 공정 윈도우 내의 어느 곳에서 리소그래피 장치(LA)가 현재 작동하고 있는지를 검출하기 위해 사용되어, 예를 들어 (도 3에서 제2 스케일(SC2) 내의 "0"을 가리키는 화살표로 도시된) 차선의 처리로 인하여 결함이 존재할 수 있는지 여부를 예측할 수 있다.
계측 툴(MT)은 컴퓨터 시스템(CL)에 입력을 제공하여 정확한 시뮬레이션 및 예측을 가능하게 할 수 있으며, 또한 리소그래피 장치(LA)에 피드백을 제공하여 예를 들어 (도 3에서 제3 스케일(SC3) 내의 다수의 화살표로 도시된) 리소그래피 장치(LA)의 교정 상태에서의 가능한 드리프트를 확인할 수 있다.
리소그래피 공정에서, 예를 들어 공정 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 이러한 측정을 수행하는 툴은 전형적으로 계측 툴(MT)로 불린다. 주사 전자 현미경 또는 다양한 형태의 스캐터로미터 계측 툴(MT)을 포함하는, 이러한 측정을 수행하기 위한 상이한 다양한 유형의 계측 툴(MT)이 알려져 있다. 스캐터로미터는, 스캐터로미터의 대물렌즈(objective)의 퓨필(pupil) 또는 퓨필과 공액인 평면에 센서를 가짐으로써 (이 측정은 통상적으로 퓨필 기반 측정으로서 지칭됨), 또는 이미지 평면 또는 이미지 평면과 공액인 평면에 센서를 가짐으로써 (이 경우 측정은 통상적으로 이미지 또는 필드 기반 측정으로서 지칭된다) 리소그래피 공정의 매개변수의 측정을 허용하는 다목적 기구이다. 이러한 스캐터로미터 및 관련된 측정 기술은 특허 출원 US2010/0328655, US2011/102753A1, US2012/0044470A, US2011/0249244, US2011/0026032 또는 EP1,628,164A에서 추가로 설명되어 있으며, 이들은 전체적으로 원용에 의해 본 명세서에 포함된다. 앞서 언급된 스캐터로미터는 연질 x-선 및 가시광선에서 근적외선 파장 범위의 광을 사용하여 격자를 측정할 수 있다.
제1 실시예에서, 스캐터로미터(MT)는 각도 분해 스캐터로미터이다. 이러한 스캐터로미터에서, 재구성 방법이 측정된 신호에 적용되어 격자의 특성을 재구성 또는 계산할 수 있다. 이러한 재구성은, 예를 들어 타겟 구조체의 수학적 모델과의의 산란 방사선의 상호작용을 시뮬레이션하는 것과 시뮬레이션 결과를 측정의 결과와 비교하는 것으로부터 기인할 수 있다. 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 수학적 모델의 매개변수는 조정된다.
제2 실시예에서, 스캐터로미터(MT)는 분광 스캐터로미터(MT)이다. 이러한 분광 스캐터로미터(MT)에서, 방사선 소스에 의하여 방출되는 방사선은 타겟 상으로 지향되고, 타겟으로부터의 반사 또는 산란 방사선은 분광 검출기로 지향되며, 이 분광 검출기는 정반사 방사선의 스펙트럼을 측정한다 (즉, 파장의 함수로서 세기의 측정). 이 데이터로부터, 검출된 스펙트럼을 발생시키는 타겟의 구조체 또는 프로파일이, 예를 들어 엄밀한 결합 파동 분석(Rigorous Coupled Wave Analysis)과 비선형 회귀에 의하여 또는 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의하여 재구성될 수 있다.
제3 실시예에서, 스캐터로미터(MT)는 엘립소메트릭(ellipsometric) 스캐터로미터이다. 엘립소메트릭 스캐터로미터는 각 편광 상태에 대해 산란 방사선을 측정함으로써 리소그래피 공정의 매개변수를 결정하는 것을 허용한다. 이러한 계측 장치는, 예를 들어 계측 장치의 조명 부분 내에 적절한 편광 필터를 사용하는 것에 의하여 (선형, 원형 또는 타원형과 같은) 편광을 방출한다. 계측 장치에 적합한 소스는 편광된 방사선도 제공할 수 있다. 기존의 엘립소메트릭 스캐터로미터의 다양한 실시예가, 원용에 의하여 본 명세서에서 전체적으로 포함되는 미국 특허 출원 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410에 설명되어 있다.
공지된 스캐터로미터의 예는 종종 언더필(underfilled) 타겟 (측정 빔이 격자보다 작은 점을 생성할 만큼 충분히 큰, 단순한 격자 또는 상이한 층들의 중첩 격자 형태의 타겟) 또는 오버필(overfilled) 타겟 (이에 의하여 조명 스폿은 부분적으로 또는 완전하게 타겟을 포함한다)과 같은, 전용 계측 타겟의 제공에 의존한다. 또한, 격자와 같은 계측 툴, 예를 들어 언더필 타겟을 조명하는 각도 분해 스캐터로미터의 사용은, 타겟 구조체의 수학적 모델과의 산란 방사선의 상호작용을 시뮬레이션하고 시뮬레이션 결과를 측정 결과와 비교함으로써 격자의 특성이 계산될 수 있는 소위 재구성 방법의 사용을 허용한다. 모델의 매개변수는 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
스캐터로미터(MT)의 한 실시예에서, 스캐터로미터(MT)는 반사된 스펙트럼 및/또는 검출 구성 내의 비대칭성을 측정함으로써 2개의 오정렬된 격자 또는 주기적 구조체의 오버레이를 측정하도록 맞추어지며, 비대칭성은 오버레이의 규모(extent)와 관련되어 있다. 2개의 (전형적으로 중첩하는) 격자 구조체는 (반드시 연속적인 층이 아닌) 2개의 상이한 층에 적용될 수 있으며, 또한 실질적으로 웨이퍼 상의 동일한 위치에 형성될 수 있다. 스캐터로미터는, 예를 들어 공동 소유의 특허 출원 EP1,628,164A에서 설명된 바와 같이 대칭적인 검출 구성을 가질 수 있으며, 따라서 임의의 비대칭성은 명확하게 식별 가능하다. 이는 격자 내의 오정렬을 측정하기 위한 간단한 방법을 제공한다. 타겟이 주기적 구조체의 비대칭성을 통해 측정됨에 따라 주기적 구조체를 포함하는 2개 층 간의 오버레이 오차를 측정하기 위한 추가 예는 PCT 특허 출원 공개 WO2011/012624 또는 미국 특허 출원 공개 US2016/0161863에서 찾을 수 있으며, 이들은 원용에 의해 전체적으로 본 명세서에 포함된다.
다른 관심 대상 매개변수는 초점 및 선량일 수 있다. 초점과 선량은, 원용에 의해 전체적으로 본 명세서에 포함되는 미국 특허 출원 US2011-0249244에서 설명된 바와 같이 스캐터로메트리에 의하여 (또는 대안적으로 주사 전자 현미경에 의하여) 동시에 결정될 수 있다. 초점 에너지 매트릭스(focus energy matrix)(FEM-초점 노광 매트릭스로도 지칭됨)의 각 지점에 대한 임계 치수와 측벽 각도 측정의 고유 조합을 갖는 단일 구조체가 사용될 수 있다. 임계 치수와 측벽 각도의 이 고유한 조합이 사용 가능한 경우, 초점 및 선량 값은 이러한 측정으로부터 고유하게 결정될 수 있다.
계측 타겟은 리소그래피 공정에 의하여, 주로 레지스트 내에, 그러나 예를 들어 에칭 공정 후에 형성된 복합 격자들의 앙상블(ensemble)일 수 있다. 전형적으로, 격자들 내의 구조체들의 피치 및 선-폭은 계측 타겟으로부터 나오는 회절 차수를 캡처할 수 있도록 측정 광학계 (특히, 광학계의 NA)에 크게 의존한다. 앞서 나타난 바와 같이, 회절 신호는 2개의 층 간의 시프트(shift) (또한 "오버레이"로 지칭됨)를 결정하기 위해 사용될 수 있거나, 리소그래피 공정에 의하여 생성된 바와 같은 원래 격자의 적어도 일부를 재구성하기 위해 사용될 수 있다. 이 재구성은 리소그래피 공정의 품질의 지침을 제공하기 위해 이용될 수 있으며 또한 리소그래피 공정의 적어도 일부를 제어하기 위해 이용될 수 있다. 타겟은 더 작은 서브-세그먼테이션(sub-segmentation)을 가질 수 있으며, 이는 타겟 내의 디자인 레이아웃의 기능적 부분의 치수를 모방하도록 구성된다. 이 서브-세그먼테이션으로 인하여, 전체 공정 매개변수 측정이 디자인 레이아웃의 기능적 부분과 더 잘 유사하도록 타겟은 디자인 레이아웃의 기능적 부분과 더 유사하게 거동할 것이다. 타겟은 언더필 모드(under-filled mode)에서 또는 오버필 모드(overfilled mode)에서 측정될 수 있다. 언더필 모드에서, 측정 빔은 전체 타겟보다 작은 스폿을 생성한다. 오버필 모드에서는, 측정 빔은 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필 모드에서, 상이한 타겟들을 동시에 측정하는 것이 또한 가능할 수 있으며, 따라서 상이한 처리 매개변수들을 동시에 결정한다.
특정 타겟을 이용한 리소그래피 매개변수의 전체 측정 품질은 이 리소그래피 매개변수를 측정하기 위해 사용되는 측정 레시피에 의해 적어도 부분적으로 결정된다. 용어 "기판 측정 레시피"는 측정 자체의 하나 이상의 매개변수, 측정된 하나 이상의 패턴의 하나 이상의 매개변수, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용된 측정이 회절 기반 광학 측정이라면, 측정의 매개변수들 중 하나 이상은 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 배향 등을 포함할 수 있다. 측정 레시피를 선택하기 위한 기준들 중 하나는, 예를 들어 처리 변동에 대한 측정 매개변수들 중 하나의 감도일 수 있다. 더 많은 예가 원용에 의해 전체적으로 본 명세서 내에 포함된 미국 특허 출원 US2016-0161863 및 공개된 미국 특허 출원 US2016/0370717A에 설명되어 있다.
스캐터로미터(SM1)와 같은 계측 장치가 도 4에 도시되어 있다. 이 장치는 방사선(5)을 기판(W) 상으로 투영시키는 광대역 (백색광) 방사선 투영기(2)를 포함한다. 반사된 또는 산란된 방사선은 스캐터로미터 검출기(4)로 나아가며, 이 검출기는 정반사된 방사선의 스펙트럼(6)을 측정한다 (즉, 파장(λ)의 함수로서 세기(INT)의 측정). 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일(8)은 처리 유닛(PU)에 의해, 예를 들어 엄밀한 결합 파동 분석 및 비선형 회귀에 의하여 또는 도 4의 최하부에서 보여지는 바와 같은 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의하여 재구성될 수 있다. 일반적으로, 재구성을 위하여, 일반적인 형태의 구조체가 알려져 있으며, 구조체가 만들어진 공정의 정보(knowledge)로부터 일부 매개변수가 가정되어 스캐터로메트리 데이터로부터 결정될 구조체의 몇 가지 매개변수만을 남긴다. 이러한 스캐터로미터는 수직-입사 스캐터로미터 또는 경사-입사 스캐터로미터로서 구성될 수 있다.
리소그래피 장치에 통합될 수 있는 토포그래피 측정 시스템, 레벨 센서 또는 높이 센서는 기판 (또는 웨이퍼)의 최상부 표면의 토포그래피를 측정하도록 배열된다. 높이 맵으로도 지칭되는, 기판의 토포그래피의 맵은 기판 상의 위치의 함수로서 기판의 높이를 나타내는 이 측정으로부터 생성될 수 있다. 기판 상의 적절한 초점 위치에 패터닝 디바이스의 에어리얼 이미지를 제공하기 위하여, 이 높이 맵은 그 후 사용되어 기판 상의 패턴의 전사 동안 기판의 위치를 보정할 수 있다. 이 문맥에서 "높이"는 기판에 대하여 평면에서 넓게 벗어난 치수를 지칭하는 것 (또한 Z-축으로 지칭됨)으로 이해될 것이다. 전형적으로, 레벨 또는 높이 센서는 (그의 자체 광학 시스템에 대해) 고정된 위치에서 측정을 수행하며 기판과 레벨 또는 높이 센서의 광학 시스템 사이의 상대적인 이동은 기판을 가로지르는 위치들에서의 높이 측정의 결과로 이어진다.
본 기술 분야에서 공지된 바와 같은 레벨 또는 높이 센서(LS)의 예가 도 5에서 개략적으로 보여지며, 도 5는 작동 원리만을 도시하고 있다. 이 예에서, 레벨 센서는 광학 시스템을 포함하며, 이 광학 시스템은 투영 유닛(LSP) 및 검출 유닛(LSD)을 포함한다. 투영 유닛(LSP)은 투영 유닛(LSP)의 투영 격자(PGR)에 의해 부여되는 방사선의 빔(LSB)을 제공하는 방사선 소스(LSO)를 포함한다. 방사 소스(LSO)는, 예를 들어 초연속 광원과 같은 협대역 또는 광대역 광원, 편광 또는 비편광 레이저 빔과 같은 편광 또는 비편광, 펄스형 또는 연속 광원일 수 있다. 방사선 소스(LSO)는 복수의 LED와 같은, 상이한 색상 또는 파장 범위를 갖는 복수의 방사선 소스를 포함할 수 있다. 레벨 센서(LS)의 방사선 소스(LSO)는 가시 방사선에 제한되지 않으며, 부가적으로 또는 대안적으로 UV 및/또는 IR 방사선 및 기판의 표면으로부터 반사하기에 적합한 임의의 범위의 파장을 포함할 수 있다.
투영 격자(PGR)는 주기적으로 변하는 세기를 갖는 방사선의 빔(BE1)을 결과적으로 발생시키는 주기적 구조체를 포함하는 주기적 격자이다. 주기적으로 변하는 세기를 갖는 방사선의 빔(BE1)은 입사 기판 표면에 수직인 축(Z-축)에 대해 0도 내지 90도, 전형적으로 70도 내지 80도의 입사각(ANG)을 갖는 기판(W) 상의 측정 위치(MLO)로 향한다. 측정 위치(MLO)에서, 패터닝된 방사선의 빔(BE1)은 기판(W)에 의하여 반사되며 (화살표 BE2로 표시됨), 검출 유닛(LSD)으로 향한다.
측정 위치(MLO)에서의 높이 레벨을 결정하기 위하여, 레벨 센서는 검출 격자(DGR), 검출기(DET) 및 검출기(DET)의 출력 신호를 처리하기 위한 처리 유닛 (보이지 않음)을 포함하는 검출 시스템을 더 포함한다. 검출 격자(DGR)는 투영 격자(PGR)와 동일할 수 있다. 검출기(DET)는 받아들여진 광을 나타내는, 예를 들어 광검출기와 같이 받아들여진 광의 세기를 나타내는, 또는 카메라와 같이 받아들여진 세기의 공간 분포를 나타내는 검출기 출력 신호를 생성한다. 검출기(DET)는 하나 이상의 검출기 유형의 임의의 조합을 포함할 수 있다.
삼각 측량 기술에 의하여, 측정 위치(MLO)에서의 높이 레벨이 결정될 수 있다. 검출된 높이 레벨은 전형적으로 검출기(DET)에 의해 측정된 바와 같은 신호 강도와 관련되며, 신호 강도는 특히 투영 격자(PGR)의 디자인 및 (비스듬한) 입사 각도(ANG)에 의존하는 주기성을 갖는다.
투영 유닛(LSP) 및/또는 검출 유닛(LSD)은 투영 격자(PGR)와 검출 격자(DGR)(보이지 않음) 사이의 패터닝된 방사선의 빔의 경로를 따라, 렌즈 및/또는 미러와 같은 추가 광학 요소를 포함할 수 있다.
실시예에서, 검출 격자(DGR)는 생략될 수 있으며, 검출기(DET)는 검출 격자(DGR)가 위치된 위치에 배치될 수 있다. 이러한 구성은 투영 격자(PGR)의 이미지의 보다 직접적인 검출을 제공한다.
기판(W)의 표면을 효과적으로 덮기 위해, 레벨 센서(LS)는 기판(W)의 표면 상으로 측정 빔(BE1)의 어레이를 투영시키도록 구성될 수 있으며, 이에 의하여 더 넓은 측정 범위를 덮는 측정 영역(MLO) 또는 스폿의 어레이를 생성한다.
일반적인 유형의 다양한 높이 센서가, 예를 들어 US7,265,364 및 US7,646,471에 개시되어 있으며, 양 특허는 원용에 의해 본 명세서 내에 포함된다. 가시광선 또는 적외 방사선 대신에 UV 방사선을 사용하는 높이 센서가 원용에 의해 본 명세서에 포함되는 US2010/233600A1에 개시되어 있다. 원용에 의해 본 명세서에 포함되는 WO2016/102127A1에, 다중-요소 검출기를 사용하여, 검출 격자를 필요로 하지 않고 격자 이미지의 위치를 검출하고 인식하는 콤팩트한 높이 센서가 설명되어 있다.
복잡한 디바이스의 제조에서, 전형적으로 많은 리소그래피 패터닝 단계가 수행되며, 그에 의하여 기판 상의 연속적인 층에 기능적 피처를 형성한다. 따라서 리소그래피 장치의 성능의 중요한 측면은 (동일한 장치 또는 상이한 리소그래피 장치에 의해) 이전 층에 배치된 피처와 관련하여 적용된 패턴을 똑바로 그리고 정확하게 배치하는 능력이다. 이 목적을 위하여, 기판은 하나 이상의 세트의 마크를 구비한다. 각 마크는 그 위치가 위치 센서, 전형적으로 광학 위치 센서를 사용하여 나중에 측정될 수 있는 구조체이다. 위치 센서는 "정렬 센서"로서 지칭될 수 있으며, 마크는 "정렬 마크"로서 지칭될 수 있다.
리소그래피 장치는 하나 이상의 (예를 들어, 복수의) 정렬 센서를 포함할 수 있으며, 기판 상에 제공된 정렬 마크의 위치는 이 정렬 센서에 의하여 정확하게 측정될 수 있다. 기판 상에 형성된 정렬 마크로부터 위치 정보를 획득하기 위해 정렬 (또는 위치) 센서는 회절 및 간섭과 같은 광학 현상을 이용할 수 있다. 현재의 리소그래피 장치에서 사용되는 정렬 센서의 예는 US6,961,116에 설명된 바와 같은 자기 참조 간섭계(self-referencing interferometer)를 기반으로 한다. 예를 들어 US2015/261097A1에 개시된 바와 같이 위치 센서의 다양한 개선 및 변경이 개발되고 있다. 이 공보들 모두의 내용은 원용에 의해 본 명세서에 포함된다.
마크, 또는 정렬 마크는 기판 상에 제공된 또는 기판에 (직접적으로) 형성된 층 상에 또는 내에 형성된 일련의 바(bars)를 포함할 수 있다. 마크가 잘 알려진 공간 주기(피치)를 가지는 회절 격자로서 간주될 수 있도록 바들은 규칙적으로 이격될 수 있으며 격자 라인으로서의 역할을 할 수 있다. 이 격자 라인들의 배향에 따라, 마크는 X 축을 따른, 또는 (X 축에 실질적으로 수직으로 배향된) Y 축을 따른 위치의 측정을 허용하도록 설계될 수 있다. X-축 및 Y-축 모두에 대해 +45도 및/또는 -45도로 배열된 바들을 포함하는 마크는, 원용에 의해 본 명세서에 포함되는 US2009/195768A에 기술된 바와 같은 기법을 사용한 조합된 X- 및 Y-측정을 허용한다.
정렬 센서는 방사선의 스폿으로 각 마크를 광학적으로 스캔하여, 사인파와 같은 주기적으로 변동하는 신호를 획득한다. 정렬 센서에 대한 마크의, 그리고 따라서 기판의 위치를 결정하기 위하여 이 신호의 위상이 분석되며, 이 정렬 센서는 결과적으로 리소그래피 장치의 기준 프레임에 대하여 고정된다. 상이한 (개략적인 그리고 세밀한) 마크 치수와 관련된 소위 개략적인 마크와 세밀한 마크가 제공될 수 있으며, 따라서 정렬 센서는 주기적 신호의 상이한 사이클들 및 한 사이클 내의 정확한 위치(위상)를 구별할 수 있다. 이 목적을 위하여 상이한 피치들을 갖는 마크들이 또한 이용될 수 있다.
마크의 위치를 측정하는 것은 또한 위에 마크가 예를 들어 웨이퍼 그리드의 형태로 제공된 기판의 변형에 대한 정보를 제공할 수 있다. 기판의 변형은, 예를 들어 기판 테이블에 대한 기판의 정전 클램핑에 의하여 및/또는 기판이 방사선에 노출될 때 기판의 가열에 의하여 발생할 수 있다.
도 6은, 예를 들어 원용에 의해 본 명세서에 포함된 US6,961,116에 설명된 바와 같은 공지된 정렬 센서(AS)의 실시예의 개략적인 블록도이다. 방사선 소스(RSO)는 하나 이상의 파장의 방사선의 빔(RB)을 제공하며, 이는 전환 광학계(diverting optics)에 의하여 조명 스폿(SP)으로서, 기판(W) 상에 위치된 마크(AM)와 같은 마크로 전환된다. 이 예에서, 전환 광학계는 스폿 미러(SM)와 대물렌즈(OL)를 포함한다. 조명 스폿(SP) -마크(AM)는 이 조명 스폿에 의해 조명됨-은 그 직경이 마크 자체의 폭보다 약간 작을 수 있다.
정렬 마크(AM)에 의해 회절된 방사선은 (이 예에서는 대물렌즈(OL)를 통해) 정보 전달 빔(IB)으로 시준된다. 용어 "회절된"은 (반사로서 지칭될 수 있는) 마크로부터의 0차 회절을 포함하도록 의도된다. 예를 들어 위에서 언급된 US6,961,116에 개시된 유형의 자기 참조 간섭계(self-referencing interferometer)(SRI)는 빔(IB)을 그 자체와 간섭시키며, 그 후 빔은 광검출기(PD)에 의해 받아들여진다. 방사선 소스(RSO)에 의해 하나보다 많은 파장이 생성되는 경우에 별도의 빔을 제공하기 위해 부가적인 광학계(보이지 않음)가 포함될 수 있다. 광검출기는 단일 요소일 수 있거나, 원하는 경우 다수의 픽셀을 포함할 수 있다. 광검출기는 센서 어레이를 포함할 수 있다.
이 예에서 스폿 미러(SM)를 포함하는 전환 광학계는 또한 마크에서 반사된 0차 방사선을 차단하는 역할을 할 수 있으며, 따라서 정보 전달 빔(IB)은 마크(AM)로부터의 더 높은 차수의 회절 방사선만을 포함한다 (이는 측정에 필수적인 것은 아니지만, 신호 대 노이즈비를 향상시킨다).
세기 신호(SI)는 처리 유닛(PU)에 공급된다. 블록(SRI)에서의 광학 처리와 유닛(PU)에서의 연산 처리의 조합에 의하여, 기준 프레임에 관한 기판 상의 X-위치 및 Y-위치에 대한 값이 출력된다.
도시된 유형의 단일 측정은 마크의 1 피치에 대응하는 특정 범위 내의 마크의 위치만을 고정시킨다. 이와 함께 보다 개략적인 측정 기술이 사용되어 사인 파형의 어느 주기가 마킹된 위치를 포함하는 주기인지를 식별한다. 마크가 만들어지는 재료 그리고 마크가 위에 및/또는 아래에 제공되는 재료와 관계없이, 증가된 정확도 및/또는 마크의 견실한 검출을 위하여 더 개략적인 및/또는 더 세밀한 레벨에서의 동일한 공정이 상이한 파장들에서 반복될 수 있다. 파장들은 동시에 처리되기 위하여 광학적으로 다중화(multiplexed) 및 역다중화(de-multiplexed)될 수 있으며, 및/또는 이들은 시분할 또는 주파수 분할에 의해 다중화될 수 있다.
이 예에서, 정렬 센서와 스폿(SP)은 고정 상태에 남아 있는 반면, 이동하는 것은 기판(W)이다. 따라서 정렬 센서는 기준 프레임에 견고하게 그리고 정확하게 장착될 수 있으면서, 기판(W)의 이동 방향과 반대 방향으로 마크(AM)를 효과적으로 스캔할 수 있다. 기판 지지체 상에서의 기판의 장착 그리고 기판 지지체의 이동을 제어하는 기판 위치 설정 시스템에 의하여 기판(W)은 이 이동 중 제어된다. 기판 지지체 위치 센서 (예를 들어, 간섭계)는 기판 지지체 (보이지 않음)의 위치를 측정한다. 실시예에서, 하나 이상의 (정렬) 마크가 기판 지지체 상에 제공된다. 기판 지지체 상에 제공된 마크의 위치의 측정은 위치 센서에 의해 결정된 바와 같은 기판 지지체의 위치가 (예를 들어, 정렬 시스템이 연결된 프레임에 대해) 교정되는 것을 허용한다. 기판 상에 제공된 정렬 마크의 위치의 측정은 기판 지지체에 대한 기판의 위치가 결정되는 것을 허용한다.
리소그래피 장치는 리소그래피 패터닝 공정 전, 공정 동안 및/또는 공정 후에 기판, 패턴 및 장치의 특성을 측정하기 위하여 계측 툴(MT)을 사용할 수 있다. 계측 툴(MT)은 스캐너 계측을 사용하여, 예를 들어 기판 (웨이퍼로도 지칭됨) 정렬, 레벨링 맵(levelling maps) 등을 측정할 수 있다. 정렬(AL) 및 레벨링(LVL) 측정 데이터는, 예를 들어 웨이퍼 테이블 상에서의, 예를 들어 웨이퍼 테이블 척 상에서의 기판의 정확한 위치 설정을 위하여 사용될 수 있다. 정렬(AL) 그리고 레벨링(LVL)과 같은 스캐너 계측 데이터는 리소그래피 장치에 의해 노광되는 각 기판에 대해 이용 가능할 수 있다. 스캐너 계측 데이터는 기판의 각 노광된 층에 대해 이용 가능할 수 있다. 그에 반하여, 일부 특성 (예를 들어, 오버레이)은 기판들의 그룹 (예를 들어, 25개 기판의 로트(lot)) 내의 기판 서브세트에서만 측정될 수 있다. 각 노광된 기판에 대해 이용 가능한 결과로서, 정렬 및/또는 레벨링 데이터는 기판 상의 패터닝된 층들 간의 오버레이 오차를 저감시키기 위해 사용될 수 있다. 가용성 때문에 스캐너 계측 데이터는 기판의 포괄적 분석에 유용할 수 있다. 분석은, 예를 들어 기판에 대한 숨겨진 지문 소스를 찾는 것을 목표로 할 수 있으며 및/또는 예상되는 결과로부터의 편위(excursion)를 입증하는 기판의 검사를 위한 것일 수 있다. 지문은 리소그래피 장치 및/또는 공정의 임의의 양태의 식별을 허용하는, 데이터 값의 고유한 특성 또는 고유한 특성 세트일 수 있다. 소위 "딥(deep)" 기계 학습 모델 (예를 들어, 하나보다 많은 숨겨진 층을 포함하는 모델)을 포함하는, 기계 학습 모델과 같은 모델은 숨겨진 지문 소스(fingerprint source)를 발견하고 식별하기 위한 수단을 제공할 수 있다. 유리하게도, 모델은 레이블이 지정되지 않은(unlabeled) 계측 데이터로부터 무감독 방식으로 이 발견 및 식별을 달성할 수 있다. 하나 이상의 숨겨진 지문 소스의 식별은 리소그래피 장치와 관련된 다양한 적용에 대한 특정 예측 모델 (예를 들어, 리소그래피에 대한 가상 오버레이 계측 예측) 및/또는 분류 모델 (예를 들어, 편위 검출)의 개발을 더 가능하게 할 수 있다. 이 적용들은, 예를 들어 예측적인 유지 관리, 레시피 설정을 업데이트하는 것 등을 포함할 수 있다.
리소그래피 장치에 더하여, 반도체 제조 공정에 사용되는 에칭 툴들 또한 에칭 단계를 거친 후 기판에서 측정된 오버레이와 같은 계측 데이터로부터의 입력을 사용하여 이들이 구성되었는지 여부를 분석할 수 있다. 예를 들어, 오버레이 데이터는 에칭 챔버 내에 분포하는 온도, 전압 바이어스, 플라즈마 에칭 방향을 지시하는 것과 관련된 전기장 특성 또는 에칭 공정 중에 사용되는 플라즈마 성분의 화학적 농도와 같은, 에칭 툴을 제어 또는 모니터링하는 데 사용되는 매개변수를 구성 또는 모니터링하기 위해 사용될 수 있다. 에칭 툴을 모니터링하고 구성하는 데 있어 계측 데이터의 사용에 관한 추가 정보는 국제 특허 출원 W02018/099690에 제공되며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다. 이와 같이, 에칭 툴 내의 내부 센서는 또한 오버레이, CD, 에지 배치 오차(EPE), 정렬 마크의 기하학적 구조 등과 같은 기판 특성에 뚜렷한 영향을 미치는 잠재적으로 관련된 계측 데이터로 간주될 수 있다. 이러한 에칭 툴 관련 계측 데이터의 예는 에칭 챔버 온도 측정, 전기장 특성, 플라즈마 농도 매개변수, 에천트(etchant) 또는 기타 물질의 (부분) 압력이다. 하나 이상의 숨겨진 지문 소스의 리소그래피 장치에 대한 아날로그 식별은 에칭 툴과 관련된 상이한 적용들에 대한 특정 예측 모델 (예를 들어, 에칭 단계에 대한 가상 오버레이 계측 예측) 및/또는 분류 모델 (예를 들어, 편위 검출)의 개발을 추가로 가능하게 할 수 있다. 예를 들어, 이 적용은 예측적인 유지 관리, 에칭 툴 레시피 설정을 업데이트하는 것 등을 포함할 수 있다.
리소그래피 장치 또는 에칭 툴 또는 계측 툴 또는 검사 툴로부터의 계측 데이터는 고차원일 수 있다. 즉, 이는 각각이 서로 다른 차원을 나타내는 복수의 상이한 매개변수를 포함하는 대량의 데이터를 전달할 수 있다. 예를 들어, 계측 데이터는 대략 10개 이상의 차원, 예를 들어 24개 이상의 차원을 가질 수 있다. 고차원 데이터를 분석하는 것은 고차원 데이터를 간결하고 해석 가능한 방식으로 표현할 수 있는 공지된 모델이나 고차원 데이터를 더 낮은 차원 (2D 또는 3D) 공간에 매핑하는 수단을 필요로 할 수 있다. 데이터의 더 낮은 차원 표현은 더 높은 차원 표현과 비교하여 인간에 의한 해석 및 분석에 더 적합할 수 있다. 더욱이, 자동화된 분석에서 더 낮은 차원의 표현 데이터를 사용하는 것은 계산적으로 더 저렴하고 및/또는 더 빠를 수 있다.
주 성분 분석(PCA)과 같은 차원 저감 기술이 알려져 있으며 지문 분석을 위해 고차원 표현을 저차원 표현으로 매핑하기 위하여 일반적으로 사용될 수 있다. 그러나 PCA와 같은 선형 방법은 고차원 데이터에 존재하는 비선형 구조를 캡처하는 것을 항상 잘하지 않는다. 그러나 많은 진단 적용에서, 숨겨진 그리고 복잡한 지문 소스를 식별하는 것이 특히 유리할 수 있다. 예를 들어, 리소그래피로 노광된 기판의 특정 층 스택에서 수집된 계측 데이터를 고려해 볼 때, 그 층에서 사용되는 스캐너에 의해 야기되는 지문을 (예를 들어, PCA 또는 심지어 관찰을 이용하여) 식별하는 것이 비교적 간단할 수 있다. 그러나 웨이퍼의 여러 층 스택이 처리됨에 따라 여러 스캐너-척 조합에서 생겨나는 지문 기여는 복잡할 수 있으며 PCA로 캡처하기 어려울 수 있다.
PCA의 대안으로서, 비선형 임베딩(nonlinear embedding) 기술은 고차원 데이터의 복잡한 구조를 모델링할 수 있고 드러낼 수 있다. 결과적으로 비선형 임베딩 기술은 고차원 데이터에서 미세한 지문의 식별을 허용한다. 그러나 고차원 데이터의 복잡한 비선형 관계를 정확하게 캡처할 수 있는 매개변수 모델을 학습하는 것은 어려운 문제다. 또한 t-분포 확률적 이웃 임베딩(t-Distributed Stochastic Neighbor Embedding)(tSNE)과 같은, 기존의 최첨단 비선형 임베딩 기술은 새로 획득한 웨이퍼 데이터를 이미 학습된 맵에 매핑하는 명시적인 기능을 제공하지 않는다. 명시적인 매핑 함수는 실시간 분석에 대한 요구가 있는 제조 환경에 트레이닝된 모델을 적용하는 것에 특히 유리하다. 예를 들어, 리소그래피 제조 환경에서, 기판은 연속적으로 처리될 수 있으며 각 웨이퍼에 대한 추론이 실시간으로 이루어질 필요가 있을 수 있다. 또 다른 과제는, 예를 들어 딥 기계 학습 모델을 사용하여 비선형 임베딩 기능을 생성한다는 것이 계산적으로 비용이 많이 드는 작업을 수반할 수 있다는 것일 수 있다. 이전 기술을 사용하면, 이러한 모델을 트레이닝하는 데 며칠이 걸릴 수 있으며, 이는 이 기술을 리소그래피 장치와 관련된 적용 (예를 들어, 예측적인 유지 관리, 레시피 업데이트 등)을 연속적으로 실행하는 것과 같은 일부 용도에 있어 비실용적인 것으로 만들 수 있다. 또한 계산적 복잡성을 단순화하기 위한 근사치는 흔히 차선의 결과로 이어진다.
위에서 언급한 어려움 중 적어도 일부를 극복하기 위해, 비선형 매개변수 모델을 사용하여 고차원 데이터를 더 낮은 차원 공간에 매핑하는 것이 본 명세서에 제안된다. 심층 신경망(DNN) 모델이 무감독 방식으로 추출될 수 있는 계층적 특징을 이용하여 매우 다양한 복잡한 기능을 성공적으로 모델링할 수 있음에 따라 비선형 매개변수 모델의 예는 DNN 모델일 수 있다. 트레이닝되면, DNN 모델은 또한 생산 환경에 적합할 수 있고 도한 이 환경에 쉽게 배치될 수 있다.
도 7은 장치와 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하는 방법의 흐름도를 도시하고 있다. 장치와 관련된 고차원 데이터가 획득된다 (702). 고차원 데이터는 제1의 차원 N을 가지며, 여기서 N은 2보다 크다. 고차원 데이터의 트레이닝 세트를 더 낮은 차원 표현에 매핑시키도록 트레이닝된 비선형 매개변수 모델이 획득된다 (704). 더 낮은 차원 표현은 제2의 차원 M을 가지며, 여기서 M은 N보다 작다. 모델은, 매핑이 고차원 데이터의 트레이닝 세트에서 국소 유사성을 보존하게 하도록 구성된 비용 함수를 사용하여 트레이닝된 것이다. 트레이닝 알고리즘은 역전파 알고리즘일 수 있다. 단계 706에서, 획득된 고차원 데이터는 모델을 이용하여 대응하는 더 낮은 차원 표현에 매핑된다.
고차원 데이터의 각 데이터 포인트는 더 낮은 차원 표현의 대응 데이터 포인트를 가질 수 있다. 트레이닝된 모델에 의한 매핑은, 고차원 표현의 각 데이터 포인트에 대해 더 낮은 차원 표현의 그의 대응 데이터 포인트에 대한 매핑을 포함할 수 있다. 데이터 포인트는 또한 샘플로 지칭될 수 있다.
데이터 포인트 쌍들 간의 쌍별 유사성이 결정될 수 있다. 이 쌍별 유사성은 고차원 데이터 포인트들 및 더 낮은 차원 표현 데이터 포인트들 모두에 대해 계산될 수 있다. 양 세트의 쌍별 유사성이 계산되면, 국소 유사성을 보존하는 것은 고차원 데이터와 저차원 데이터의 쌍별 유사성들 간의 차이를 최소화하는 것을 포함할 수 있다. 이는 비용 함수를 사용하여 수행될 수 있으며 그리고 예를 들어 역전파(backpropagation)를 이용하여 모델을 트레이닝 시킬 수 있다.
데이터 세트의 데이터 포인트에서 유사한 고차원 값을 캡처하기 위하여, 비선형 임베딩 기술은 거리 메트릭, 예를 들어 (다른 거리 측정이 사용될 수 있을지라도) 유클리디언 거리(Euclidean distance)를 이용하여, 새로 캡처된 데이터 포인트들 간의 쌍별 유사성을 캡처하는 것을 포함할 수 있다. 거리 메트릭은 데이터 포인트의 모든 샘플 쌍들 사이에서 계산될 수 있다. 거리 메트릭은 새로 캡처된 고차원 데이터와 그 데이터의 저차원 표현 모두에서 계산될 수 있다. 거리 메트릭이 계산되면 거리 메트릭을 이용하는 목적 또는 비용 함수는 최적화될 수 있다. 목적/비용 함수는 고차원 측정값과 저차원 표현의 계산된 쌍별 유사성들 간의 차이를 최소화할 수 있다.
일반적으로 비용 함수는 고차원 공간에서 저차원 공간으로의 변환을 캡처할 수 있는 함수 유무에 관계없이 최적화될 수 있다. tSNE와 같은 기술에서 수행된 것과 같이 함수 없이 최적화된다면, 그러면 새로 획득된 측정은 기존 맵에 통합될 수 없다. 반면, 최적화가 비선형 매개변수 모델 (예를 들어, DNN)과 같은, 변환을 모델링하는 함수와 함께 수행되는 경우, 트레이닝되면, 함수가 사용되어 새로운 데이터를 기존 맵에 포함시킬 수 있다. 양 경우에 동일한 목적 함수가 최적화될 수 있다는 점을 주목한다. 따라서 위에서 설명된 방법의 장점은 모델이 새로 획득된 고차원 데이터를 학습된 맵에 포함시키는 명시적인 방법을 제공한다는 것이다.
예시적인 비선형 매개변수 모델은 심층 신경망(DNN)이다. DNN는 임의의 비선형 임베딩 기능을 모델링하는 것을 가능하게 할 수 있지만, 이는 또한 더 많은 수의 데이터 포인트로 트레이닝할 때 부가적인 복잡성을 제기할 수 있다. DNN 모델 트레이닝 반복 중에, 최적화 알고리즘이 비용 함수의 잘못된 국소 최소값에 빠지는 위험을 줄이기 위하여 트레이닝 샘플들은 정기적으로 셔플(shuffled)될 수 있다. 샘플들의 재 셔플링은 모든 셔플된 샘플 쌍에 대한 쌍별 유사성을 다시 계산하는 것 또는 이전에 계산된 값을 가져오기 위해 룩 업 테이블(look up table)을 생성하는 것을 필요로 할 수 있다. 양 접근 방식 모두 계산적으로 고가이며, 트레이닝 샘플이 클 때, 즉 데이터 포인트가 큰 차원을 갖고 있는 경우에는 특히 그렇다. 일부 예시적인 구현 형태에서, 본 명세서에서 설명된 모델은 몇 시간 안에 효과적으로 트레이닝될 수 있으면서, 높은 차원 유사성을 캡처할 수 있고 이를 더 낮은 차원 표현으로 유지시킬 수도 있다. 이 이점은 (예를 들어, 쌍별 유사성을 다시 계산하는 것과 같은) 계산적으로 고가인 작업을, 적어도 10배 정도 더 빠른 간단한 선형 작업으로 대체함으로써 달성될 수 있다. 트레이닝되면, 모델은 모델이 고차원 데이터에서 더 낮은 차원 표현으로의 매핑 함수를 생성할 수 있다는 이점을 추가로 제공하며, 이는 실시간 제조-연동 적용에 유리하다. 몇 시간 안에 트레이닝될 수 있는 모델의 또 다른 이점은 더 많은 데이터가 사용 가능할 수 있게 되면 모델을 다시 트레이닝될 수 있다는 것일 수 있다. 빠른 트레이닝 시간으로 인하여, 데이터가 제공/생성된 후 새로운 데이터의 효과는 신속하게 실행될 수 있다 (트레이닝 기간, 즉 몇 시간). 이는 장치가 가동하는 동안 모델이 데이터의 드리프트 (예를 들어, 시간에 따라 천천히 변하는 장치의 특징)를 고려하는 것을 허용할 수 있다.
더 빠른 트레이닝 공정으로 인하여, 더 복잡한 모델 (예를 들어, 더 많은 층을 갖는 심층 신경망) 모델은 더 빠른 시간에 트레이닝될 수 있다. 비선형 매개변수 특성 및/또는 모델의 증가된 깊이/복잡성으로 인하여, 모델은 국소 유사성을 더 잘 보존할 수 있다. 결과적으로, 더 낮은 차원 표현은 데이터의 더 작은 차이를 식별할 수 있다. DNN에 의한 차이의 이 증가된 식별의 예는 도 8 및 도 9와 관련하여 아래에서 설명된다.
위에 설명된 제안된 DNN-기반 매개변수 비선형 임베딩 기술은 샘플 쌍들 간의 국소 유사성을 보존하는 것을 목표로 한다. 따라서 이는 모든 트레이닝 샘플 쌍들 간의 유사도 또는 유사 매트릭스의 계산을 포함할 수 있다. N 개의 트레이닝 샘플에 대해, N×N의 유사 매트릭스가 계산될 수 있다. 최적화된 비용 함수는 다음 수학식에 의해 주어진다:
위의 수학식에서, S와 Q는 고차원 및 저차원 표현에서 모든 샘플 쌍들 간의 쌍별 유사성을 각각 나타낼 수 있다. sij는 고차원 공간에서 샘플 I와 샘플 j 간의 쌍별 유사성을 나타낼 수 있다. qij는 저차원 공간에서 샘플 I와 샘플 j 간의 쌍별 유사성을 나타낼 수 있다. KL은 쿨백-라이블러 발산(Kullback-Leibler divergence)을 나타낼 수 있다. 이 비용 함수는 볼록하지 않으며 최적화 알고리즘이 잘못된 국소 최소값에 갇히는 위험을 줄이기 위해 DNN 트레이닝 중에 트레이닝 샘플의 무작위 셔플링(shuffling)이 정기적으로 적용될 수 있다. 이는 결과적으로 유사 매트릭스(S)를 다시 계산하는 것 또는 셔플링 후 각 쌍별 유사성을 찾는 것을 필요로 할 수 있다. 이는 시간 소모적일 수 있으며 및/또는 계산적으로 고가의 공정일 수 있다. 제안된 DNN 기반 비선형 임베딩을 정확하고 효율적으로 트레이닝하기 위한 기술의 파생물이 아래에 설명되어 있다. 이 기술은 본 명세서에서 설명된 비선형 매개변수 모델의 트레이닝과 관련하여 사용될 수 있다.
X를 N 개의 m 차원 트레이닝 데이터 포인트의 세트의 매트릭스 표현이라고 하면 는 하기와 같으며,
S를 2개의 샘플(i 및 j) 간의 고차원 공간에서 쌍별 유사성(sij)으로 구성된 유사 매트릭스라고 하면 하기와 같이 쓰여질 수 있다.
여기서
이며, dij는 샘플들(i 및 j) 사이의 쌍별 유클리디언 거리의 제곱이다.
따라서 대칭적 쌍별 유사 매트릭스(S)의 엔트리는 쌍별 유클리디언 거리(dij)에 의해 결정될 수 있다. 모든 샘플에 대해, 쌍별 유클리디언 거리는 하기 식에 의해 주어진 N×N을 갖는 대칭 매트릭스다.
쌍별 유클리디언 거리(D)는 다음과 같이 고차원 샘플로부터 계산될 수 있다.
여기서 은 1의 N 개의 엔트리의 벡터이다.
샘플들이 셔플될(shuffled) 때, 쌍별 유클리드 거리들은 동일하게 유지된다; 그러나 D에서의 이들의 상대적인 위치는 변경된다. 수학적으로, 샘플들을 셔플링하는 것은 다음과 같이, 좌측의 고차원 데이터 포인트들의 매트릭스(X)를 순열 매트릭스(P)로 곱하는 것과 같다.
여기서, 순열 매트릭스(P)는 각 행과 열에 정확하게 하나의 엔트리를 갖는 정방 매트릭스이다. 처음 2개의 샘플을 바꾸는 예시적인 순열 행렬은 다음과 같이 처음 2개의 행이 바뀌는 단위 매트릭스이다.
샘플들이 P에 의해 셔플된(shuffled) 후, 새로운 (셔플된) 쌍별 유클리디언 거리(Dnew)는 다음 수학식에 의하여 주어진다.
PPT=I인 순열 매트릭스의 특성을 사용하면, 수학식 5는 또한 다음 수학식과 같이 쓰여질 수 있다.
하기 수학식도 또한 주목된다.
수학식 7을 수학식 6에 대입하고 매트릭스 인수분해를 적용하면 새로운 쌍별 제곱 유클리디언 거리 매트릭스는 아래 수학식으로 단순화될 수 있다.
따라서 D (및 유사 매트릭스(S))를 모든 샘플 쌍에 대해 한 번만 정확하게 계산하는 것이 가능하다. 결과는 무작위로 생성된 셔플링 매트릭스(P)를 사용한 간단한 매트릭스 곱셈 연산에 의하여 DNN 트레이닝 중에 재사용될 수 있다. 트레이닝 샘플들을 배치(batches)들로 분할하고 각 배치에 대한 유사성을 계산하는 것과 달리, 위에 설명된 기술은 모든 샘플 쌍들 간의 전반적인 유사성을 정확하게 캡처할 수 있다. 이는 매 반복시 샘플이 셔플되는 DNN 기반 비선형 모델 트레이닝을 가속시킬 수 있다.
이러한 과제를 해결하기 위한 정확하고 계산적으로 효율적인 방법은 본 명세서에서 설명된 방법들 중 일부에 적용될 수 있으며, 예가 아래에서 더 자세히 설명된다. 요약하면, 계산적으로 비용이 덜 드는 선형 연산기를 사용하여 샘플 셔플링 단계를 모델링함으로써 샘플 리셔플링(reshuffling)이 개선될 수 있다. 이 선형 연산기는 각 반복에서 관리 가능할 수 있다. 이는 계산적으로 고가인 작동을 처음에 한 번만 수행되는 것을 가능하게 할 수 있으며, 후속 반복에서 결과를 재사용하는 것을 허용할 수 있다. 17,100개 기판으로부터의 데이터를 포함하는 실험은 이 방법은 각 반복에서 계산 시간의 19배 감소(즉, 4초 대 75초)를 가능하게 할 수 있다는 것을 보여주었다.
위에서 설명된 방법은 리소그래피 장치 및/또는 에칭 툴로부터 수집된 측정 데이터에 대한 숨겨진 지문 소스를 캡처하기 위해 적용될 수 있다. 데이터는, 예를 들어 다수의 생산 기판 (예를 들어 약 17,000개)의 정렬 잔차 데이터를 포함할 수 있다. 측정 데이터가 상이한 스캐너들에서 처리되었을 수 있다. 예를 들어, 정렬 데이터는 얕은 트렌치 분리(Shallow-Trench-Isolation)(STI)와 임플란트(IMPL) 층을 각각 패터닝하기 위하여 3개의 ArF(아르곤 플루오라이드) 스캐너와 2개의 KrF (크립톤 플루오라이드) 스캐너에서 처리되었을 수 있다. 측정 데이터는 각 기판 상의 복수의 타겟으로부터 획득되었을 수 있다. 각각의 타겟 리드(target read)는 특정 기판에 대한 데이터의 상이한 차원 N을 나타낼 수 있다. 본 명세서에서 논의된 예에서, 정렬 측정은 IMPL 층이 노광될 때 24개 타겟 위치로부터 판독될 수 있으며, 그에 의하여 24차원 맵의 결과로 이어진다. 추가 데이터, 예를 들어 레벨링 데이터 및/또는 정렬 데이터 또한 기판에 대해 획득될 수 있었다. 이는 분석 및/또는 분석 공정의 제어를 위하여 사용될 수 있다.
도 8은 다양한 방법에 따라 처리된 고차원 데이터의 더 낮은 차원 표현을 도시하고 있다. 구체적으로, 고차원 데이터는, 예를 들어 위에서 설명된 바와 같이 리소그래피 기판의 24 차원 정렬 측정일 수 있다.
도 8a 및 도 8b에서, PCA 기반 선형 임베딩 기술이 사용되어 더 낮은 차원 표현을 결정하였다. 보여지는 바와 같이, 고차원 데이터의 24개 차원은 2차원 공간으로 축소되었으며, 그래픽으로 표현된다. 축들은 더 낮은 차원을 나타낼 수 있으며, 이 차원은 반드시 물리적으로 의미 있는 해석을 가질 필요는 없다. 도 8a에서, 2개의 개별 클러스터(802 및 804)가 명확하게 구별될 수 있다. 이 클러스터링은 2D 표현에 보존된 고차원 데이터의 가장 큰 변화에 대응하는 제1 지문 기여도를 나타낼 수 있다. 예를 들어, KrF 스캐너들 중 하나에 2개의 상이한 척을 사용함으로써 이 가장 큰 변화가 야기될 수 있다. 예상된 바와 같이, PCA 기반 선형 임베딩 기술에 의하여 가장 큰 변화가 캡처될 수 있었다. 그러나 제2 변화 스캐너의 제2 지문 기여도는 잘 캡처되지 않았다. 도 8b에서, 3개의 상이한 ArF 스캐너에 대응하는 데이터 포인트(806, 808 및 810)는 분리된 클러스터로서 식별되지 않는다. 이는 복잡한 지문을 식별하는 데 있어 PCA 기반 선형 임베딩 기술의 한계를 보여주고 있다.
도 8c와 도 8d에서, 비선형 매개변수 모델이 사용되어 고차원 데이터를 더 낮은 차원(이 경우, 2D) 표현으로 매핑하였다. 모델은 DNN 기반 비선형 임베딩 기술일 수 있다. 알 수 있는 바와 같이, 제1 지문 기여도 (클러스터(812 및 814)와 제2 지문 기여도 (클러스터 816, 818, 820)) 모두는 더 낮은 차원 표현으로 식별될 수 있다. 이 특정 예의 맥락에서, 제1 클러스터(812의 814)의 제1 세트는 KrF 스캐너의 상이한 척들과 관련되며, 클러스터의 제2 세트는 상이한 ArF 스캐너들과 관련된다. 이는 비선형 매개변수 모델이 더 민감할 수 있으며 고차원 데이터에서 복잡한 지문 기여도를 더 잘 보존할 수 있다는 것을 보여주고 있다.
도 9에서, 위의 도 8과 관련하여 설명된 지문 소스 외에 추가 지문 기여도가 식별될 수 있는 예가 보여지고 있다. 그래프는 본 명세서에 설명된 같이 비선형 매개변수 모델에 의해 매핑된 더 낮은 차원 표현을 나타내고 있다. 도 9a에서, 클러스터(912 및 914)가 라벨링되어 있으며, 이는 제1 지문 기여도를 식별할 수 있다. 제1 지문 기여도는 KrF 스캐너에서 사용되는 2개의 상이한 척에 의해 야기되는 번화 (점으로서 보여지는 척 1과 관련된 데이터 및 사각형으로서 보여지는 척 2와 관련된 데이터)에 대응할 수 있다. 도 9b에서, 클러스터(916, 918 및 920)가 라벨링되어 있으며, 이는 제2 지문 기여도를 식별할 수 있다. 제2 지문 기여도는 기판에서 사용되는 상이한 ArF 스캐너에 의해 야기되는 번화 (점으로서 보여지는 스캐너 A와 관련된 데이터, 사각형으로서 보여지는 스캐너 B와 관련된 데이터, 및 작은 사각형으로서 보여지는 스캐너와 관련된 데이터)에 대응할 수 있다. 제안된 비선형 매개변수 모델을 사용하는 것은 시간이 지남에 따라 제3 지문 변화를 더 드러낼 수 있다 (도 9c 참조). 이 제3 지문 기여도는 클러스터(922 및 924)로 표현될 수 있다. 클러스터 922는 3월 (점)에 획득된 데이터 포인트를 포함할 수 있는 반면, 클러스터 924는 4월 (사각형), 5월 (작은 사각형) 및 6월 (작은 점)에 획득된 데이터 포인트를 포함할 수 있다. 이는 3월에 획득된 데이터와 이후 몇 달 동안 얻은 데이터 사이의 시간에 공정 중 무엇인가가 변경되었다는 것을 나타낼 수 있다. 시간이 지남에 따른 이 스캐너 지문 변화는 여러 가지 요인으로 인한 것일 수 있다. 이는, 예를 들어 스캐너 유지 관리 후에 나타날 수 있거나, 시간이 지남에 따라 공정 드리프트 때문에 나타날 수 있다. 모델에 의한 이 식별은 시간 경과에 따른 지문 변화를 고려하기 위해 드리프트 검출 메커니즘과 같은 후속 조치를 촉발시키기 위해 사용될 수 있다.
위에서 입증되고 설명된 개선점은 정렬 측정을 기반으로 하지만, 본 발명은 또한 다른 고차원 스캐너 데이터, 예를 들어 레벨링 데이터 맵, 및/또는 다른 계측 툴로부터의 측정에 적용될 수 있다. 더욱이, 유클리드 거리보다 확률 또는 다른 거리 메트릭의 관점에서 국소 유사성 메트릭을 통합하는 것 또한 가능할 수 있다.
위의 도 8 및 도 9와 관련하여 설명된 예를 기반으로, 본 명세서에서 설명된 방법은 더 낮은 차원 표현에서 2개 이상의 클러스터의 클러스터링을 식별하는 것을 더 포함할 수 있다. 식별된 클러스터들의 각각에 대해, 클러스터링과 관련된, 고차원 데이터의 하나 이상의 차원이 식별될 수 있다. 클러스터링은 고차원 데이터의 관련된 식별된 차원의 국소 유사성과 관련될 수 있다.
더 낮은 차원의 표현/지문의 분석은 한 명 이상의 사람에 의해 수행될 수 있다. 대안적으로 또는 추가적으로, 분석은 하나 이상의 추가 모델에 의해 수행될 수 있다. 식별된 지문의 분석을 기반으로, 고차원 데이터와 관련된 리소그래피 공정과 관련하여 하나 이상의 조치가 취해질 수 있다. 조치는, 예를 들어 리소그래피 장치의 유지 관리를 수행하겠다는 결정을 포함할 수 있다. 식별된 클러스터는 유지 관리를 수행할 때를 결정하기 위해 사용될 수 있다. 식별된 차원은 장치의 어느 부분에 대해 유지 관리를 수행할지를 결정하기 위해 사용될 수 있다. 또 다른 예에서, 조치는 장치의 설정을 조정하는 것을 포함할 수 있다. 더 낮은 차원 표현 및/또는 관련된 식별된 지문을 기반으로, 본 방법은 리소그래피 장치 및/또는 에칭 툴의 설정, 및/또는 기판의 에칭 또는 노광을 위한 레시피 설정에 대한 조정을 결정하는 것을 포함할 수 있다. 본 방법은, 분석에 응답하여, 조치를 수행하는 것에 대한 경보, 예를 들어 장치에 대한 유지 관리를 수행하는 것에 대한 또는 장치의 설정을 조정하는 것에 대한 경보를 출력하는 것을 포함할 수 있다. 본 방법은 조치를 수행하도록 장치를 제어하는 것, 예를 들어 결정된 조정을 장치에 구현하는 것을 더 포함할 수 있다.
도 10은 리소그래피 제조 적용에서의 사용을 위한 비선형 매개변수 모델(1004)의 제안된 피드-포워드 사용의 개략적인 개요를 도시하고 있다. 구체적으로, 위에 설명된 바와 같은 비용 함수를 갖는 비선형 DNN 임베딩 모델(1004)은 하나 이상의 리소그래피 장치 및/또는 리소그래피 패터닝된 기판과 관련된 고차원 데이터(W1, ..., WN)(1002)를 획득할 수 있으며, W1, ..., WN은 N 차원을 갖는, 고차원 기판 스캐너 계측 데이터(1002)일 수 있고, 여기서 N은 2보다 상당히 크다. 고차원 데이터는 모델(1004)에 대한 입력으로서 제공될 수 있다. 모델(1004)은 고차원 데이터(1004)를 처리하여 더 낮은 차원 표현(1006)을 결정할 수 있다. 더 낮은 차원 표현은 M 차원을 가질 수 있다. M은 예를 들어 2 또는 3일 수 있다. M=2 또는 M=3은 인간 분석가에 해석에 적합한 그래픽 표현에 적합하기 때문에 유리한 선택이다. 더 낮은 차원 표현(1006)을 기반으로, 고차원 데이터(1002) 내의 지문(1008)이 식별될 수 있다. 지문(1008)은 더 낮은 차원 표현의 분석을 통해 식별될 수 있으며, 여기서 분석은 예를 들어 인간 분석가에 의한 분석 또는 하나 이상의 모델에 의한 처리를 포함할 수 있다. 지문(1008)은 아마도 고차원 데이터(1002)와 함께 예측 및/또는 분류 모델(1010) 및/또는 데이터와 관련된 임의의 다른 적용에 제공될 수 있다.
리소그래피 장치와 관련하여 본 명세서에 설명되어 있지만, 본 명세서에 설명된 방법은 다른 장치 및 시스템, 예를 들어 에칭 툴, 계측 툴 및 (결함) 검사 툴과 관련된 고차원 데이터와 관련하여 사용될 수 있다는 것이 이해되어야 한다.
IC의 제조에서 리소그래피 장치의 사용에 대해 본 문서에서 특정한 참조가 이루어질 수 있지만, 본 명세서에서 설명되는 리소그래피 장치는 다른 적용을 가질 수 있다는 점이 이해되어야 한다. 가능한 다른 적용은, 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 플랫 패널 디스플레이, 액정 디스플레이(LCD), 박막 자기헤드, 등의 제조를 포함한다.
본 명세서에서 리소그래피 장치의 맥락에서의 본 발명의 실시예에 대한 특정한 참조가 이루어질 수 있지만, 본 발명의 실시예는 다른 장치에서 사용될 수 있다. 본 발명의 실시예는 마스크 검사 장치, 계측 장치, 또는 웨이퍼 (또는 다른 기판) 또는 마스크 (또는 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이 장치들은 일반적으로 리소그래피 툴로서 지칭될 수 있다. 이러한 리소그래피툴은 주위 (비진공) 조건을 이용할 수 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예의 사용에 대해 위에서 특정한 참조가 이루어졌을 수 있지만, 본 발명은, 문맥이 허용하는 경우, 광학 리소그래피로 제한되지 않으며 다른 적용, 예를 들어 임프린트 리소그래피에서 사용될 수 있다는 점이 인식될 것이다.
본 발명의 추가 실시예는 아래의 번호가 부여된 조항의 목록에 개시되어 있다:
1. 리소그래피, 에칭, 계측 또는 검사 장치 중 하나 이상과 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하기 위한 컴퓨터 구현 방법은:
장치와 관련된 고차원 데이터를 획득 -고차원 데이터는 제1의 차원 N을 가지며, N은 2보다 큼-하는 것;
고차원 데이터의 트레이닝 세트를 더 낮은 차원 표현에 매핑시키도록 트레이닝된 비선형 매개변수 모델-더 낮은 차원 표현은 제2의 차원 M을 가지며, M은 N보다 작고, 모델은 매핑이 고차원 데이터의 트레이닝 세트에서 국소 유사성을 보존하게 하도록 구성된 비용 함수를 사용하여 트레이닝된 것임-을 획득하는 것; 및
모델을 사용하여, 획득된 고차원 데이터를 대응하는 더 낮은 차원 표현으로 매핑하는 것을 포함한다.
2. 조항 2에 따른 방법에서, 비선형 매개변수 모델은 신경망이다.
3. 조항 1과 2 중 어느 한 조에 따른 방법에서, 매핑하는 것은, 고차원 데이터의 각 데이터 포인트에 대해, 더 낮은 차원 표현의 대응하는 데이터 포인트에 매핑하는 것을 포함한다.
4. 조항 1 내지 3 중 어느 한 조에 따른 방법에서, 국소 유사성을 보존하는 것은 고차원 데이터의 데이터 포인트들 및 더 낮은 차원 표현의 대응 데이터 포인트들 사이의 쌍별 유사성 차이를 최소화하는 것을 포함한다.
5. 조항 1 내지 4 중 어느 한 조에 따른 방법에서, 비용 함수는 대칭적 쌍별 유사성 메트릭을 기반으로 한다.
6. 조항 3에 종속될 때 조항 5에 따른 방법에서, 비용 함수(C)는
이며, 여기서 KL은 쿨백-라이블러 발산(Kullback-Leibler divergence)이고, S는 고차원 공간에서 쌍별 유사성(sij)으로 구성된 유사 매트릭스이며, Q는 더 낮은 차원 표현 공간에서 쌍별 유사성(qij)의 유사 매트릭스이다.
7. 조항 1 내지 6 중 어느 한 조에 따른 방법에서, 장치는 반도체 제조 산업에서의 장치이다.
8. 조항 3에 따른 방법에서, 장치는 리소그래피 장치, 기판을 에칭하도록 구성된 장치, 계측 장치, 또는 검사 장치 중 하나이다.
9. 조항 8에 따른 방법에서, 획득된 고차원 데이터는 정렬 데이터, 레벨링 데이터, 에칭 챔버 전기장 데이터, 에칭 챔버 온도 데이터, 에칭 챔버 플라즈마 농도 데이터 중 하나 이상을 포함한다.
10. 조항 8 및 9 중 어느 한 조항에 따른 방법에서, 획득된 고차원 데이터는 오버레이 데이터를 포함한다.
11. 조항 8 내지 10 중 어느 한 조에 따른 방법에서, 획득된 고차원 데이터는 레벨링 데이터를 포함한다.
12. 조항 1 내지 11 중 어느 한 조에 따른 방법은 대응하는 더 낮은 차원 표현에서 클러스터링을 식별하는 것; 및 클러스터링과 관련된 하나 이상의 제1의 차원을 결정하는 것을 더 포함하며, 여기서 클러스터링은 고차원 데이터의 국소 유사성과 관련된다.
13. 조항 1 내지 12 중 어느 한 조에 따른 방법은 더 낮은 차원 표현을 기반으로, 장치의 유지 관리를 수행할 것을 결정하는 것을 더 포함한다.
14. 조항 13에 따른 방법은 유지 관리가 수행되게 하도록 경보를 출력하는 것을 더 포함한다.
15. 조항 1 내지 14 중 어느 한 조에 따른 방법은 더 낮은 차원 표현을 기반으로, 장치의 설정에 대한 조정을 결정하는 것을 더 포함한다.
16. 조항 15에 따른 방법은 조정이 이루어지게 하도록 장치를 제어하는 것을 더 포함한다.
17. 조항 8에 따른 방법은 더 낮은 차원 표현을 기반으로, 리소그래피 노광 레시피 또는 에칭 툴 레시피의 조정을 결정하는 것을 더 포함한다.
18. 조항 17에 따른 방법은 리소그래피 노광 레시피 또는 에칭 툴 레시피의 조정을 야기하기 위하여 장치의 설정에 대한 하나 이상의 변경을 구현하는 것을 더 포함한다.
19. 컴퓨터 프로그램은 조항 1 내지 18 중 어느 한 조항에 따른 방법을 수행하도록 구성된다.
20. 장치는 프로세서, 및 프로세서에 의하여 실행될 때 프로세서가 조항 1 내지 18 중 어느 한 조항에 따른 방법을 수행하게 하는 명령어를 포함하는 메모리를 포함한다.
21. 리소그래피 장치 또는 에칭 툴은 조항 20에 따른 장치를 포함한다.
22. 리소 셀은 조항 20 및 21 중 어느 한 조항에 따른 장치를 포함한다.
본 발명의 특정 실시예가 위에서 설명되었지만, 본 발명은 설명되는 것과 달리 실시될 수 있다는 점이 인식될 것이다. 위의 설명은 제한이 아니라 예시적인 것으로 의도된다. 따라서 아래에 제시되는 청구범위의 범위를 벗어나지 않고, 설명된 바와 같은 본 발명에 대한 수정이 이루어질 수 있다는 점이 본 기술 분야에서 숙련된 자에게 명백할 것이다.
“계측 장치/툴/시스템” 또는 “검사 장치/툴/시스템”에 대한 특정 참조가 이루어지지만, 이 용어들은 동일한 또는 유사한 유형의 툴, 장치 또는 시스템을 나타낼 수 있다. 예를 들어, 본 발명의 실시예를 포함하는 검사 또는 계측 장치는 기판 상의 또는 웨이퍼 상의 구조체의 특성을 결정하기 위해 사용될 수 있다. 예를 들어, 본 발명의 실시예를 포함하는 검사 장치 또는 계측 장치는 기판의 결함, 또는 기판 상의 또는 웨이퍼 상의 구조체의 결함을 검출하기 위해 사용될 수 있다. 이러한 실시예에서, 기판의 구조체의 관심 대상 특성은 구조체의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 또는 웨이퍼 상의 원하지 않는 구조체의 존재와 관련될 수 있다.

Claims (15)

  1. 반도체 제조 공정에 사용되는 하나 이상의 장치와 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하기 위한 컴퓨터 구현 방법에 있어서, 상기 하나 이상의 장치는 리소그래피 장치, 에칭 툴, 계측 장치, 또는 검사 장치 중 하나 이상이고, 방법은:
    상기 하나 이상의 장치와 관련된 고차원 데이터를 획득하는 것 - 상기 고차원 데이터는 제1의 차원 N을 가지며, N은 2보다 큼 -;
    고차원 데이터의 트레이닝 세트를 더 낮은 차원 표현에 매핑시키도록 트레이닝된 비선형 매개변수 모델을 획득하는 것 - 상기 더 낮은 차원 표현은 제2의 차원 M을 가지며, M은 N보다 작고, 상기 모델은 상기 매핑이 상기 고차원 데이터의 트레이닝 세트에서 국소 유사성을 보존하게 하도록 구성된 비용 함수를 사용하여 트레이닝된 것임 -; 및
    상기 모델을 사용하여, 상기 획득된 고차원 데이터를 대응하는 더 낮은 차원 표현으로 매핑하는 것을 포함하는 방법.
  2. 제1항에 있어서, 상기 비선형 매개변수 모델은 신경망인 방법.
  3. 제1항에 있어서, 상기 매핑하는 것은, 상기 고차원 데이터의 각 데이터 포인트에 대해, 상기 더 낮은 차원 표현의 대응하는 데이터 포인트에 매핑하는 것을 포함하는 방법.
  4. 제1항에 있어서, 국소 유사성을 보존하는 것은 상기 고차원 데이터의 데이터 포인트들 및 상기 더 낮은 차원 표현의 대응 데이터 포인트들 사이의 쌍별(pairwise) 유사성 차이를 최소화하는 것을 포함하는 방법.
  5. 제3항에 있어서, 상기 비용 함수는 대칭적 쌍별 유사성 메트릭을 기반으로 하는 방법.
  6. 제5항에 있어서, 상기 비용 함수(C)는

    이며, 여기서 KL은 쿨백-라이블러 발산(Kullback-Leibler divergence)이고, S는 고차원 공간에서 쌍별 유사성(sij)으로 구성된 유사 매트릭스이며, Q는 더 낮은 차원 표현 공간에서 쌍별 유사성(qij)의 유사 매트릭스인 방법.
  7. 제1항에 있어서, 상기 획득된 고차원 데이터는 에칭 툴의 에칭 챔버에서 수행된 측정, 정렬 데이터, 오버레이 데이터 또는 레벨링 데이터 중 하나 이상을 포함하는 방법.
  8. 제1항에 있어서,
    상기 대응하는 더 낮은 차원 표현에서 클러스터링을 식별하는 것; 및
    상기 클러스터링과 관련된 하나 이상의 제1의 차원을 결정하는 것 - 상기 클러스터링은 상기 고차원 데이터의 국소 유사성과 관련됨 -
    을 더 포함하는 방법.
  9. 제1항에 있어서, 상기 더 낮은 차원 표현을 기반으로, 상기 하나 이상의 장치에 대한 유지 관리 조치를 수행할지 여부를 결정하는 것을 더 포함하는 방법.
  10. 반도체 제조 공정에 사용되는 하나 이상의 장치와 관련된 고차원 데이터를 데이터의 더 낮은 차원 표현으로 매핑하기 위한 컴퓨터 프로그램에 있어서, 상기 하나 이상의 장치는 리소그래피 장치, 에칭 툴, 계측 장치, 또는 검사 장치 중 하나 이상이고, 컴퓨터 프로그램은:
    상기 하나 이상의 장치와 관련된 고차원 데이터를 획득하도록 - 상기 고차원 데이터는 제1의 차원 N을 가지며, N은 2보다 큼 -;
    고차원 데이터의 트레이닝 세트를 더 낮은 차원 표현에 매핑시키도록 트레이닝된 비선형 매개변수 모델을 획득하도록 - 상기 더 낮은 차원 표현은 제2의 차원 M을 가지며, M은 N보다 작고, 상기 모델은 상기 매핑하는 것이 상기 고차원 데이터의 트레이닝 세트에서 국소 유사성을 보존하게 하도록 구성된 비용 함수를 사용하여 트레이닝된 것임 -; 및
    상기 모델을 사용하여, 상기 획득된 고차원 데이터를 대응하는 더 낮은 차원 표현으로 매핑하도록 구성된 기계 판독 가능한 명령어를 포함하는 컴퓨터 프로그램.
  11. 제10항에 있어서, 상기 비선형 매개변수 모델은 신경망인 컴퓨터 프로그램.
  12. 제10항에 있어서, 상기 매핑하는 것은, 상기 고차원 데이터의 각 데이터 포인트에 대해, 상기 더 낮은 차원 표현의 대응하는 데이터 포인트에 매핑하는 것을 포함하는 컴퓨터 프로그램.
  13. 제10항에 있어서, 국소 유사성을 보존하는 것은 상기 고차원 데이터의 데이터 포인트들 및 상기 더 낮은 차원 표현의 대응 데이터 포인트들 사이의 쌍별 유사성 차이를 최소화하는 것을 포함하는 컴퓨터 프로그램.
  14. 제12항에 있어서, 상기 비용 함수는 대칭적 쌍별 유사성 메트릭을 기반으로 하는 컴퓨터 프로그램.
  15. 제11항에 있어서,
    상기 대응하는 더 낮은 차원 표현에서 클러스터링을 식별하도록; 및
    상기 클러스터링과 관련된 하나 이상의 제1의 차원을 결정하도록 - 상기 클러스터링은 상기 고차원 데이터의 국소 유사성과 관련됨 -
    구성된 명령어를 더 포함하는 컴퓨터 프로그램.
KR1020247002202A 2021-07-20 2022-06-21 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램 KR20240035804A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP21186555 2021-07-20
EP21186555.5 2021-07-20
EP21189299.7A EP4130880A1 (en) 2021-08-03 2021-08-03 Methods of data mapping for low dimensional data analysis
EP21189299.7 2021-08-03
PCT/EP2022/066798 WO2023001463A1 (en) 2021-07-20 2022-06-21 Methods and computer programs for data mapping for low dimensional data analysis

Publications (1)

Publication Number Publication Date
KR20240035804A true KR20240035804A (ko) 2024-03-18

Family

ID=82446520

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247002202A KR20240035804A (ko) 2021-07-20 2022-06-21 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램

Country Status (4)

Country Link
EP (1) EP4374226A1 (ko)
KR (1) KR20240035804A (ko)
TW (1) TWI811015B (ko)
WO (1) WO2023001463A1 (ko)

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP3910180B2 (ja) 2003-01-14 2007-04-25 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のレベルセンサ
US7265364B2 (en) 2004-06-10 2007-09-04 Asml Netherlands B.V. Level sensor for lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036476A1 (nl) 2008-02-01 2009-08-04 Asml Netherlands Bv Alignment mark and a method of aligning a substrate comprising such an alignment mark.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
EP2228685B1 (en) 2009-03-13 2018-06-27 ASML Netherlands B.V. Level sensor arrangement for lithographic apparatus and device manufacturing method
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US9606442B2 (en) 2012-07-30 2017-03-28 Asml Netherlands B.V. Position measuring apparatus, position measuring method, lithographic apparatus and device manufacturing method
KR102109059B1 (ko) 2014-11-26 2020-05-12 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
US10241425B2 (en) 2014-12-22 2019-03-26 Asml Netherlands B.V. Level sensor, lithographic apparatus and device manufacturing method
CN107924137B (zh) 2015-06-17 2021-03-05 Asml荷兰有限公司 基于配置方案间的一致性的配置方案选择
CN114137803A (zh) 2016-12-02 2022-03-04 Asml荷兰有限公司 改变蚀刻参数的方法
KR102517966B1 (ko) * 2017-12-19 2023-04-03 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
US11257207B2 (en) * 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
US10885627B2 (en) * 2018-04-03 2021-01-05 Nec Corporation Unsupervised neighbor-preserving embedding for image stream visualization and anomaly detection
CN112424826A (zh) * 2018-07-13 2021-02-26 Asml荷兰有限公司 基于机器学习的图案分组方法

Also Published As

Publication number Publication date
TW202309759A (zh) 2023-03-01
TWI811015B (zh) 2023-08-01
WO2023001463A1 (en) 2023-01-26
EP4374226A1 (en) 2024-05-29

Similar Documents

Publication Publication Date Title
CN112236724B (zh) 确定衬底上的一个或更多个结构的特性的量测设备和方法
JP6782784B2 (ja) パターニングプロセスパラメータを決定する方法及び装置
TWI791889B (zh) 校準複數個度量衡設備的方法、判定所關注參數的方法及度量衡設備
KR102459765B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
JP5389235B2 (ja) オーバーレイエラーを判定するための方法及び装置
JP5739988B2 (ja) 基板上の構造の測定
JP6008851B2 (ja) オーバレイ誤差を決定する方法及び装置
JP6931119B2 (ja) パターニングプロセスパラメータを決定する方法
CN111656282B (zh) 确定衬底栅格的测量设备和方法
KR20200111814A (ko) 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
JP2019537237A (ja) メトロロジレシピ選択
KR20200077590A (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 감소시키는 방법, 계측 프로세스를 교정하는 방법, 및 계측 타겟을 선택하는 방법
JP2020533622A (ja) パターニングプロセスパラメータを決定するための方法及びメトロロジ装置
KR102522444B1 (ko) 트레이닝된 뉴럴 네트워크 제공 및 물리적 시스템의 특성 결정
EP3579052A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
TWI811015B (zh) 用於低維度資料分析之資料映射之方法及電腦程式
EP4130880A1 (en) Methods of data mapping for low dimensional data analysis
KR20220103159A (ko) 측정 레시피를 결정하기 위한 방법 및 연관된 장치들
EP3961303A1 (en) Method and apparatus for identifying contamination in a semiconductor fab
KR20230156063A (ko) 반도체 제조 프로세스를 특성화하기 위한 방법 및 장치
KR20240016285A (ko) 계측 측정 방법 및 장치
KR20240054287A (ko) 측정 레시피 결정 방법 및 관련된 장치
NL2021802A (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate