JP2023533491A - パターニングプロセスの調整方法 - Google Patents

パターニングプロセスの調整方法 Download PDF

Info

Publication number
JP2023533491A
JP2023533491A JP2022580736A JP2022580736A JP2023533491A JP 2023533491 A JP2023533491 A JP 2023533491A JP 2022580736 A JP2022580736 A JP 2022580736A JP 2022580736 A JP2022580736 A JP 2022580736A JP 2023533491 A JP2023533491 A JP 2023533491A
Authority
JP
Japan
Prior art keywords
patterning process
control
machine learning
training
learning model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022580736A
Other languages
English (en)
Inventor
ケデカール,サテジ,スバス
カステリンス,ヘンリカス,ヨゼフ
プラサド ガンタパラ,アンジャン
ヘンリー ボンド,スティーブン
イマン モッサヴァット,セイエド
イプマ,アレクサンダー
ディッカー,ジェラルド
シュタインマイヤー,エワウト,クラース
グオ,チャオクン
リン,チェンシー
チェン,ホンウェイ
リー,チャオゼ
ツァン,ユーピン
ゾウ,イー
ベルケル,コース ヴァン
ボルダー,ヨースト,ヨハン
ユボー,アルノー
ロッド,アンドリー,ヴァシリオビッチ
ウエスカ,フアン マヌエル ゴンザレス
アーデン,フランス,バーナード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20186710.8A external-priority patent/EP3944020A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2023533491A publication Critical patent/JP2023533491A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Weting (AREA)

Abstract

パターニングプロセスの制御出力の生成が説明される。制御入力が受け取られる。制御入力は、パターニングプロセスを制御するためのものである。制御入力は、パターニングプロセスで使用される1つ又は複数のパラメータを含む。制御出力が、訓練された機械学習モデルを用いて、制御入力に基づいて生成される。機械学習モデルは、パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練される。訓練データは、1)パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力であって、パターニングプロセスの複数の動作条件が、経時的なパターニングプロセスの動作条件に特有の挙動に関連する、複数の訓練制御入力と、2)訓練制御入力に基づいて物理的モデルを使用して生成された訓練制御出力と、を含む。【選択図】 図7

Description

関連出願の相互参照
[0001] 本出願は、全体として本明細書に援用される、2020年7月9日に出願されたPCT出願第PCT/CN2020/101030号、2020年7月20日に出願された欧州特許出願第20186710.8号、及び2021年5月10日に出願された欧州特許出願第21172961.1号の優先権を主張するものである。
[0002] 本開示は、パターニングプロセスを調整するためのシステム、製品、及び方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板に施すように構築された機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。リソグラフィ装置は、例えば、パターニングデバイス(例えば、マスク)のパターン(「デザインレイアウト」又は「デザイン」と呼ばれることも多い)を、基板(例えば、ウェーハ)上に設けられた放射感応性材料(レジスト)層に投影し得る。
[0004] 半導体製造プロセスは向上し続けているため、デバイス当たりのトランジスタなどの機能性素子の量が数十年にわたり絶え間なく増加している一方で、回路素子の寸法は、継続的に減少しており、一般に「ムーアの法則」と呼ばれる傾向に沿っている。ムーアの法則に遅れをとらないためには、半導体業界は、ますます小さいフィーチャを作ることを可能にする技術を追い求めている。リソグラフィ装置は、基板にパターンを投影するために電磁放射を使用し得る。この放射の波長により、基板上にパターニングされるフィーチャの最小サイズが決まる。現在使用されている典型的な波長は、365nm(i線)、248nm、193nm及び13.5nmである。波長が4nm~20nmの範囲、例えば6.7nm又は13.5nmである極端紫外線(EUV)の放射を使用するリソグラフィ装置であれば、例えば、波長が193nmである放射を使用するリソグラフィ装置よりも小さいフィーチャを基板上に形成することが可能である。
[0005] リソグラフィ装置の古典的な解像限界より小さい寸法を有するフィーチャをプロセスするために、低kリソグラフィが用いられ得る。そのようなプロセスでは、解像度の式は、CD=k×λ/NAで表され得、ここで、λは、使用される放射線の波長であり、NAは、リソグラフィ装置の投影光学系の開口数であり、CDは、「クリティカルディメンジョン」であり(一般には印刷される最小フィーチャサイズであるが、この場合にはハーフピッチ)、kは、経験的な解像度ファクタである。一般に、kが小さいほど、特定の電気的な機能性及び性能を達成するために回路設計者が計画した形状及び寸法に似せたパターンを基板上に複写することが困難になる。
[0006] このような困難を克服するために、高度な微調整ステップがリソグラフィ投影装置及び/又はデザインレイアウトに適用され得る。そのようなステップとして、例えば、NAの最適化、照明方式のカスタマイズ、位相シフトパターニング装置の使用、デザインレイアウトの様々な最適化、例えば、デザインレイアウトにおける光近接効果補正(OPC(「光学及びプロセス補正」と呼ばれることもある))又は他の一般的に「解像度向上技術」(RET)と定義される方法があるが、これらに限定されない。代わりに、低kでのパターン複写を改善するために、リソグラフィ装置の安定性を管理する厳格管理ループが用いられ得る。
[0007] リソグラフィプロセスでは、例えば、プロセス制御及び検証のために、作られた構造の頻繁な測定を行うことが望ましい。そのような測定を行うためのツールは、一般的にメトロロジツール又は検査ツールと呼ばれる。走査電子顕微鏡、又は様々な形態のスキャトロメータメトロロジツールを含む、そのような測定を行うための様々なタイプのメトロロジツールが知られている。スキャトロメータは、瞳内に、若しくはスキャトロメータの対物系の瞳との共役面内にセンサを有することによって(測定は、通常、瞳ベース測定と呼ばれる)、又は像面内、若しくは像面と共役の面内にセンサを有することによって(この場合、測定は、通常、像又はフィールドベース測定と呼ばれる)、リソグラフィプロセスのパラメータの測定を可能にする多用途機器である。そのようなスキャトロメータ及び関連の測定技術は、本明細書に全体として援用される米国特許出願公開第2010/0328655号、米国特許出願公開第2011/102753A1号、米国特許出願公開第2012/0044470A号、米国特許出願公開第2011/0249244号、米国特許出願公開第2011/0026032号、又は欧州特許出願公開第1,628,164A号にさらに記載される。上述のスキャトロメータは、軟x線及び可視~近赤外波長範囲の光を使用して格子を測定することができる。
[0008] 装置の1つ又は複数のコンポーネント、プロセス、及び/又は一連のプロセスをモデル化するため、及び/又は他のモデル化を行うために、物理的モデルが使用され得る。物理的モデル化は、計算コストが高く、所与の装置、コンポーネント、及び/又はプロセス条件の一セットが変化したときに調整を必要とすることが多い。そのような変化には、装置の1つ又は複数のコンポーネントの動作の変化、変化する外乱力、異なるコンポーネント加熱、及び/又は経時的に変化する他のパターニングプロセス条件が含まれ得る。物理的モデル化は、物理的モデルの精度と計算費用との間のトレードオフを必要とすることが多い。
[0009] そのため、本発明の目的は、動作、外乱力、加熱、及び/又は経時的に変化する他のパターニングプロセス条件をより正確にモデル化するように構成されたシステム及び方法を提供することである。
[00010] 従来のシステムとは対照的に、本システム及び方法は、訓練された機械学習モデルを用いてパターニングプロセスの制御出力を生成するように構成される。機械学習モデルは、パターニングプロセスの複数の動作条件に対応した複数の訓練制御入力を含む訓練データを用いて訓練される。パターニングプロセスの複数の動作条件は、経時的なパターニングプロセスの動作条件に特有の挙動(例えば、ドリフトなどの経時的なパターニングプロセスの変化)に関連する。
[00011] 数ある利点の中でも、これは、具体的には動作、外乱力、加熱、及び/又は経時的に変化する他のパターニングプロセス条件に関するモデルの精度を向上させる。半導体製造では、これは、デバイス寸法精度の向上、歩留まりの向上、プロセスセットアップ時間の縮小、より速いスループット、より正確なオーバーレイ及び/又は他のプロセス制御測定をもたらすことができ、及び/又は他の効果を有し得る。
[00012] 少なくとも上記を考慮して、本発明のある実施形態によれば、命令を有する非一時的コンピュータ可読媒体が提供される。命令は、コンピュータによって実行されると、コンピュータに制御入力を受け取らせる。制御入力は、パターニングプロセスを制御するためのものである。制御入力は、パターニングプロセスで使用される1つ又は複数のパラメータを含む。命令は、訓練された機械学習モデルを用いて、制御入力に基づいて、パターニングプロセスの制御出力を生成することをコンピュータに行わせる。機械学習モデルは、パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練される。訓練データは、1)パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力を含む。パターニングプロセスの複数の動作条件は、経時的なパターニングプロセスの動作条件に特有の挙動に関連する。訓練データは、2)訓練制御入力及び/又はパターニングプロセスの複数の動作条件に基づいて物理的モデルを使用して生成された訓練制御出力を含む。
[00013] ある実施形態では、制御出力は、1つ又は複数のパラメータの調整を含む。
[00014] ある実施形態では、経時的なパターニングプロセスの動作条件に特有の挙動は、経時的なパターニングプロセスにおけるドリフトを含む。
[00015] ある実施形態では、機械学習モデルは、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるようにさらに構成される。
[00016] ある実施形態では、再訓練は、微調整を含む。
[00017] ある実施形態では、制御入力は、パターニングプロセスをモニタリング及び/又は診断することに関連する。
[00018] ある実施形態では、機械学習モデルは、パラメータ化モデルを含む。
[00019] ある実施形態では、機械学習モデルは、人工ニューラルネットワーク、畳み込みニューラルネットワーク、及び/又はリカレントニューラルネットワークを含む。
[00020] ある実施形態では、パターニングプロセスは、パターニングプロセス装置を用いて行われる。装置は、半導体リソグラフィ装置、光学メトロロジ検査ツール、又は電子ビーム検査ツールを含む。命令はさらに、少なくとも部分的に制御出力に基づいてパターニングプロセス装置を制御することをコンピュータに行わせる。
[00021] ある実施形態では、1つ又は複数のパラメータは、1つ又は複数のリソグラフィ装置、光学メトロロジ検査ツール、及び/又は電子ビーム検査ツールパラメータ、及び/又は関連するリソグラフィ及び/又は検査プロセスパラメータを含む。
[00022] ある実施形態では、制御入力は、パターニングプロセスで使用される1つ又は複数のパラメータを含む。
[00023] ある実施形態では、制御入力は、回折パターン画像、モーションセットポイント、又はウェーハ及び/若しくはレチクルのロードシーケンスを含む。
[00024] ある実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御に関連する1つ又は複数のパラメータの調整を含む。
[00025] ある実施形態では、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御が、1)スキャナのウェーハ及び/又はレチクルステージの移動を制御すること、2)スキャナのレンズにおける屈曲波加熱要素を制御すること、及び/又は3)スキャナの1つ若しくは複数のミラーを制御することの一方又は両方による、スキャナの作動を制御することを含む。ミラーの例は、瞳形状及び/又はフィールドディストーションプロファイルを(柔軟に)定義するのに使用されるミラーである。
[00026] ある実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントの熱膨張に関連する1つ又は複数のパラメータの調整を含む。
[00027] ある実施形態では、制御出力は、ウェーハ加熱制御調整、レチクル加熱制御調整、及び/又はミラー加熱制御調整を含む。
[00028] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ加熱制御調整を決定することと、を含む。
[00029] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリントを予測することを含み、ウェーハ加熱制御調整を決定することは、予測されたオーバーレイフィンガープリントに基づく。
[00030] ある実施形態では、制御出力は、レンズ加熱制御調整を含む。
[00031] ある実施形態では、制御出力を決定することは、レンズ加熱に関連するミュータウパラメータ値、レンズ加熱フィードフォワード時間系列、及び/又はレンズ加熱フィールド時間系列を予測することと、ミュータウ値、レンズ加熱フィードフォワード値、及び/又はレンズ加熱フィールド値に基づいて、レンズ加熱費用関数を決定することと、レンズ加熱費用関数に基づいて、機械学習モデルパラメータの重みを決定することと、機械学習モデルによるレンズ加熱予測に基づいて、レンズ加熱制御調整を決定することと、を含む。
[00032] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントに基づいて、レンズ加熱制御調整を決定することと、を含む。
[00033] ある実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントのトライボロジー機械制御に関連した1つ又は複数のパラメータの調整を含む。
[00034] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ、レチクル、レンズ/ミラー調整を決定することと、を含む。
[00035] ある実施形態では、パターニングプロセスからのシミュレートした、及び/又は実際のプロセス訓練データを用いて機械学習モデルを訓練することが、初期較正を含み、機械学習モデルは、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるように構成され、再訓練は、パターニングプロセスで経時的に生じるドリフトを考慮に入れるように構成された1つ又は複数のドリフト較正により微調整されるように機械学習モデルを構成することを含む。
[00036] ある実施形態では、訓練及び/又は更新は、オフライン、オンライン、又はオフライン及びオンラインの組み合わせで行われる。
[00037] ある実施形態では、シミュレートした訓練データは、物理的モデルを使用して生成された複数のベンチマーク訓練制御入力及び対応する訓練制御出力ペアを含む。機械学習モデルは、訓練制御入力に基づいて、予測制御出力を予測するように構成される。機械学習モデルは、機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用するように構成される。1つ又は複数の構成は、訓練制御出力と予測制御出力の比較に基づいて更新される。
[00038] ある実施形態では、機械学習モデルが、第1の更新モデルパラメータ値を決定するために機械学習モデルを用いて生産環境ローカルパターニングプロセスに関連するローカルな実際のプロセスデータを受け取ることと、機械学習モデルに少なくとも部分的に外部訓練データを提供することによって得られる第2の更新モデルパラメータ値を受け取ることであって、外部訓練データが、パターニングプロセス装置間変動を示す、受け取ることと、初期モデルパラメータ値を第1及び/又は第2の更新モデルパラメータ値で更新することによって機械学習モデルを調整することと、を行うために構成されるように、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを微調整することによって経時的に更新されるように、コンピュータに機械学習モデルを構成させるように命令がさらに構成される。
[00039] ある実施形態では、調整は、経時的なローカルパターニングプロセスにおけるドリフトを考慮に入れる。
[00040] 別の実施形態によれば、パターニングプロセスの制御出力を生成する方法が提供される。方法は、制御入力を受け取ることを含む。制御入力は、パターニングプロセスを制御するためのものである。制御入力は、パターニングプロセスで使用される1つ又は複数のパラメータを含む。方法は、訓練された機械学習モデルを用いて、制御入力に基づいて、パターニングプロセスの制御出力を生成することを含む。制御出力は、1つ又は複数のパラメータの調整を含む。機械学習モデルは、パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練される。訓練データは、1)パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力を含む。パターニングプロセスの複数の動作条件は、経時的なパターニングプロセスの動作条件に特有の挙動に関連する。訓練データは、2)訓練制御入力及び/又はパターニングプロセスの複数の動作条件に基づいて物理的モデルを使用して生成された訓練制御出力を含む。
[00041] ある実施形態では、経時的なパターニングプロセスの動作条件に特有の挙動は、経時的なパターニングプロセスにおけるドリフトを含む。
[00042] ある実施形態では、方法は、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、機械学習モデルを経時的に更新することをさらに含む。
[00043] ある実施形態では、再訓練は、微調整を含む。
[00044] ある実施形態では、制御入力は、パターニングプロセスをモニタリング及び/又は診断することに関連する。
[00045] ある実施形態では、機械学習モデルは、パラメータ化モデルを含む。
[00046] ある実施形態では、機械学習モデルは、人工ニューラルネットワーク、畳み込みニューラルネットワーク、及び/又はリカレントニューラルネットワークを含む。
[00047] ある実施形態では、パターニングプロセスは、パターニングプロセス装置を用いて行われる。装置は、半導体リソグラフィ装置、光学メトロロジ検査ツール、又は電子ビーム検査ツールを含む。方法は、少なくとも部分的に制御出力に基づいてパターニングプロセス装置を制御することをさらに含む。
[00048] ある実施形態では、1つ又は複数のパラメータは、1つ又は複数のリソグラフィ装置、光学メトロロジ検査ツール、及び/又は電子ビーム検査ツールパラメータ、及び/又は関連のリソグラフィ及び/又は検査プロセスパラメータを含む。
[00049] ある実施形態では、制御入力は、パターニングプロセスで使用される1つ又は複数のパラメータを含む。
[00050] ある実施形態では、制御入力は、回折パターン画像、モーションセットポイント、又はウェーハ及び/又はレチクルのロードシーケンスを含む。
[00051] ある実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御に関連する1つ又は複数のパラメータの調整を含む。
[00052] ある実施形態では、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御が、1)スキャナのウェーハ及び/又はレチクルステージの移動を制御すること、2)スキャナのレンズにおける屈曲波加熱要素を制御すること、及び/又は3)スキャナの1つ若しくは複数のミラーを制御することの一方又は両方による、スキャナの作動を制御することを含む。
[00053] ある実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントの熱膨張に関連する1つ又は複数のパラメータの調整を含む。
[00054] ある実施形態では、制御出力は、ウェーハ加熱制御調整、レチクル加熱制御調整、及び/又はミラー加熱制御調整を含む。
[00055] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ加熱制御調整を決定することと、を含む。
[00056] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリントを予測することを含み、ウェーハ加熱制御調整を決定することは、予測されたオーバーレイフィンガープリントに基づく。
[00057] ある実施形態では、制御出力は、レンズ加熱制御調整を含む。
[00058] ある実施形態では、制御出力を決定することは、レンズ加熱に関連するミュータウパラメータ値、レンズ加熱フィードフォワード時間系列、及び/又はレンズ加熱フィールド時間系列を予測することと、ミュータウ値、レンズ加熱フィードフォワード値、及び/又はレンズ加熱フィールド値に基づいて、レンズ加熱費用関数を決定することと、レンズ加熱費用関数に基づいて、機械学習モデルパラメータの重みを決定することと、機械学習モデルによるレンズ加熱予測に基づいて、レンズ加熱制御調整を決定することと、を含む。
[00059] ある実施形態では、制御出力を決定することが、オーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントに基づいて、レンズ加熱制御調整を決定することと、を含む。
[00060] ある実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントのトライボロジー機械制御に関連した1つ又は複数のパラメータの調整を含む。
[00061] ある実施形態では、制御出力を決定することは、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ、レチクル、レンズ/ミラー調整を決定することと、を含む。
[00062] ある実施形態では、パターニングプロセスからのシミュレートした、及び/又は実際のプロセス訓練データを用いて機械学習モデルを訓練することが、初期較正を含み、機械学習モデルは、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるように構成され、再訓練は、パターニングプロセスで経時的に生じるドリフトを考慮に入れるように構成された1つ又は複数のドリフト較正により微調整されるように機械学習モデルを構成することを含む。
[00063] ある実施形態では、訓練及び/又は更新は、オフライン、オンライン、又はオフライン及びオンラインの組み合わせで行われる。
[00064] ある実施形態では、シミュレートした訓練データは、物理的モデルを使用して生成された複数のベンチマーク訓練制御入力及び対応する訓練制御出力ペアを含む。機械学習モデルは、訓練制御入力に基づいて、予測制御出力を予測するように構成される。機械学習モデルは、機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用するように構成され、1つ又は複数の構成は、訓練制御出力と予測制御出力の比較に基づいて更新される。
[00065] ある実施形態では、方法は、機械学習モデルが、第1の更新モデルパラメータ値を決定するために機械学習モデルを用いて生産環境ローカルパターニングプロセスに関連するローカルな実際のプロセスデータを受け取ることと、機械学習モデルに少なくとも部分的に外部訓練データを提供することによって得られる第2の更新モデルパラメータ値を受け取ることであって、外部訓練データが、パターニングプロセス装置間変動を示す、受け取ることと、初期モデルパラメータ値を第1及び/又は第2の更新モデルパラメータ値で更新することによって機械学習モデルを調整することと、を行うために構成されるように、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを微調整することによって経時的に更新されるように機械学習モデルを構成することをさらに含む。
[00066] ある実施形態では、調整は、経時的なローカルパターニングプロセスにおけるドリフトを考慮に入れる。
[00067] 別の実施形態によれば、機械学習モデルを訓練する方法が提供される。方法は、パターニングプロセスをシミュレートすることによって訓練データを生成することを含む。訓練データは、複数の訓練制御入力及び対応する訓練制御出力を含む。訓練制御入力は、パターニングプロセスに使用される1つ又は複数のパラメータを含み、訓練制御出力は、1つ又は複数のパラメータの調整を含む。方法は、予測制御出力を生成するために、訓練制御入力をベース機械学習モデルに提供することと、ベース機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用することと、を含む。1つ又は複数の構成は、機械学習モデルが新しい制御入力に基づいて新しい制御出力を生成するように構成されるように、訓練制御出力と予測制御出力の比較に基づいて更新される。
[00068] ある実施形態では、機械学習モデルは、パターニングプロセスからの実際の、及び/又はシミュレートしたプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるように構成される。
[00069] ある実施形態では、パターニングプロセスは、物理的モデルを用いてシミュレートされる。
[00070] ある実施形態では、機械学習モデルは、人工ニューラルネットワークである。
[00071] 別の実施形態によれば、命令を有する非一時的コンピュータ可読媒体が提供される。命令は、コンピュータによって実行されると、複数の対応する動作条件に関するパターニングプロセスを制御するための複数の制御入力を受け取ることであって、制御入力のそれぞれが、パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、1つ又は複数のパラメータの1つ又は複数の調整に関連する複数の制御出力を生成すること、又は受け取ることであって、複数の制御出力が、複数の動作条件を受けるパターニングプロセスの挙動のシミュレーションで使用される物理的モデルの出力に基づく、生成すること、又は受け取ることと、受け取った複数の制御入力及び複数の生成された又は受け取った制御出力を入力することによって、新しい制御入力に対応する新しい制御出力を推論するように構成された機械学習モデルを訓練することと、をコンピュータに行わせる。
[00072] 以下では、添付の概略図面を参照して、本発明の実施形態をあくまで例として説明する。
ある実施形態による、リソグラフィ装置の概略的概要を示す。 ある実施形態による、図1のリソグラフィ装置の一部の詳細な図を示す。 ある実施形態による制御システムを概略的に示す。 ある実施形態によるリソグラフィセルの概略図を概略的に示す。 ある実施形態による、ホリスティックリソグラフィの概略図であり、半導体製造を最適化するための重要な3つの技術間の協調を表す。 ある実施形態による例示的コンピュータシステムのブロック図である。 ある実施形態による、パターニングプロセスのための制御出力を生成する方法を示す。 ある実施形態による、初期訓練及びドリフト較正を示す。 ある実施形態による、物理的モデルを用いたウェーハ加熱補正をモデル化するためのサロゲートモデル化技術として使用される本機械学習モデルを示す。 ある実施形態による、転移及び/又は連合学習、並びに仮想コンピューティングプラットフォームを使用して、本機械学習モデルを訓練すること及び更新/微調整/再訓練することを示す。 ある実施形態による、ベースライン動的レンズ加熱解析器モデルによく似るように本機械学習モデルを訓練するために、シミュレーションにより生成された訓練データがどのように使用され得るか、及び転移学習を行って、訓練された機械学習モデルを微調整するために、自動化レンズ加熱較正データ(例えば、実際のパターニングプロセスからのインライン測定データ)がどのように使用され得るかを示す。 ある実施形態による、ディープ畳み込みニューラルネットワークとして形成される本機械学習の一例を示す。 ある実施形態による、予測されたミュータウ値、及び/又はLHFF値に基づいて、レンズ加熱費用関数を決定することを示す。 機械学習モデル及び物理的モデルの出力を比較する弁別器モデルから報酬を受け取ることによって、機械学習モデルを訓練する方法を示す。
[00073] 装置の1つ又は複数のコンポーネントを制御する際に、フィードフォワード制御信号が使用される。そのような信号は、ウェーハステージ、レチクル移動コンポーネント、レンズ、ミラー、及び/又は他のコンポーネントを含むコンポーネントのための多くの制御システムにおいて使用される。正確なフィードフォワード制御信号は、多くの場合、モーションセットポイント、外乱力、コンポーネント加熱、及び/又は他のファクタのモデル化に依存する。コンポーネント加熱は、所与のコンポーネントに接触し、所与のコンポーネントを通過し、及び/又は所与のコンポーネントの近くを通過する放射によって引き起こされる場合があり、及び/又は他の原因を有する場合がある。外乱力は、装置の様々なコンポーネントの移動、装置で使用されるコンポーネントのタイプ、装置の場所、コンポーネントの摩耗、及び/又は他の類似のファクタから生じた力の場合がある。例えば、外乱力は、モータ転流、ケーブルスラブ、システムドリフトなどに関係し得る。モーションセットポイントは、装置のコンポーネントの規定の動作を表し得る。セットポイントは、経時的なコンポーネントの動作の位置、速度、加速度、及び/又は他のパラメータ(例えば、そのようなパラメータの高次時間導関数など)を規定し得る。
[00074] 半導体製造パターニングプロセスにおいて、及び/又は他の適用例において、コンポーネント加熱、モーションセットポイント、及び外乱力は、多くの場合、経時的に変化する。例えば、コンポーネントは、立て続けのプロセスのために、毎日同じように加熱及び/又は冷却しないかもしれない。セットポイントは、異なるフィールドサイズをサポートすること、ウェーハ加熱、レチクル加熱、及び/又はミラー/レンズ加熱を補正するオーバーレイ補正のためのリアルタイム又はほぼリアルタイムの変更、及び/又は他の理由などの幾つかの理由で変動し得る。外乱力は、装置ごとに異なる場合があり、及び/又は装置で使用されるコンポーネントのタイプ、装置の場所、コンポーネントの摩耗、及び/又は他の類似のファクタに基づいて変化し得る。
[00075] 実際には、装置の1つ又は複数のコンポーネント、プロセス及び/又は一連のプロセスをモデル化するため、及び/又は他のモデル化を行うために、物理的モデルが使用され得る。物理的モデルは、物理方程式を解くシミュレーションに基づく。幾つかの実施形態では、物理的モデルは、1つ又は複数の物理学ベースの方程式、FEM、動的レンズ加熱アナライザ、及び/又は他の物理的モデルでもよく、及び/又はそれ(ら)を含んでもよい。物理的モデルは、例えば、所与の装置、装置コンポーネント、及び/又はプロセス条件のための制御信号を生成するために使用され得る。しかしながら、そのようなモデル化は、計算コストが高く、所与の装置、コンポーネント、及び/又はプロセス条件の一セットが変化したときに調整を必要とすることが多い。上記の通り、これらは、経時的に変化する。残念ながら、それぞれが独自の対応するコンポーネント加熱、セットポイント、外乱力、及び/又は経時的な他のバリエーションを有する、可能なプロセス条件の数が大きすぎて、バリエーションごとに物理的モデルを個々に較正することはできない(例えば、非常に計算コストが高いので、手がでない)。計算費用の観点から達成可能でありながらも、妥当な量の個々のバリエーション(例えば、条件)をモデル化することは、物理的モデルの精度と計算費用との間のトレードオフを必要とする。
[00076] 従来のシステムとは対照的に、本発明のシステム及び方法は、パターニングプロセスを制御するための制御入力を受け取るように構成される。パターニングプロセスの制御出力は、制御入力に基づいて、訓練された機械学習モデルを用いて生成される。機械学習モデルは、パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練される。訓練データは、パターニングプロセスの複数の動作条件に対応した複数の訓練制御入力を含む。パターニングプロセスの複数の動作条件は、一般的に、少なくともある程度、経時的なパターニングプロセスの挙動(例えば、経時的にどのようにドリフト及び加熱効果が現れるか)を決定する、パターニングプロセスで使用される1つ又は複数のツールの設定である。訓練データは、訓練制御入力及び/又はパターニングプロセスの複数の動作条件に基づいて物理的モデルを使用して生成された訓練制御出力も含む。
[00077] 数ある利点の中でも、これは、具体的にはセットポイント、外乱力、コンポーネント加熱、及び/又は経時的に変化する他のパターニングプロセス条件に関するモデル化の精度を向上させる。精度の向上は、非常に多数のプロセス条件に至るまで較正する可能性/能力に関係する。半導体製造では、これは、デバイス寸法精度の向上、歩留まりの向上、プロセスセットアップ時間の縮小、より速いスループット、より正確なオーバーレイ及び/又は他のプロセス制御測定をもたらすことができ、及び/又は他の効果を有し得る。
[00078] 簡単な導入として、本文書では、制御出力の生成は、集積回路及び/又は半導体製造との関連で説明される。これは、限定を意図したものではない。当業者は、本明細書に記載される原理を他の状況で適用することができる。
[00079] 本発明に関連して、「放射」及び「ビーム」という用語は、あらゆるタイプの電磁放射を包含するように使用され、そのような電磁放射には、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(例えば、約5~100nmの範囲の波長を有する極端紫外線)が含まれる。本明細書で使用される「レチクル」、「マスク」又は「パターニングデバイス」という用語は、基板のターゲット部分に作成されるべきパターンに対応するパターン化された断面を、入射する放射ビームに提供するために使用可能な一般的なパターニングデバイスを意味するものとして広義に解釈され得る。これに関連して「ライトバルブ」という用語も使用される場合がある。古典的なマスク(透過型又は反射型のマスク、バイナリマスク、位相シフトマスク、ハイブリッドマスク等)に加えて、他のそのようなパターニングデバイスの例として、プログラマブルミラーアレイ及びプログラマブルLCDアレイがある。
[00080] 図1は、リソグラフィ装置LAを概略的に示す。リソグラフィ装置LAは、放射ビームB(例えば、UV放射、DUV放射又はEUV放射)を調節するように構成された(イルミネータとも呼ばれる)照明システムILと、パターニングデバイス(例えば、マスク)MAを支持するように構築されて、特定のパラメータに従ってパターニングデバイスMAを正確に位置決めするように構成された第1のポジショナPMに接続されたマスクサポート(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構築されて、特定のパラメータに従って基板サポートを正確に位置決めするように構成された第2のポジショナPWに接続された基板サポート(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wの(例えば、1つ以上のダイを含む)ターゲット部分Cに投影するように構成された投影システム(例えば、屈折投影レンズシステム)PSとを含む。
[00081] 稼働中、照明システムILは、放射源SOから(例えば、ビーム送達システムBDを介して)放射ビームを受ける。照明システムILは、放射の誘導、整形及び/又は制御のために様々なタイプの光学コンポーネントを含み得、例えば屈折型、反射型、磁気型、電磁型、静電型及び/又は他のタイプの光学コンポーネント又はこれらの任意の組み合わせを含み得る。イルミネータILは、放射ビームBがパターニングデバイスMAの面において所望の空間強度分布及び角度強度分布をその断面に有するように、放射ビームBを調節するために使用され得る。
[00082] 本明細書で使用される「投影システム」PSという用語は、様々なタイプの投影システムを包含するものとして広義に解釈されたい。そのようなシステムには、使用されている露光放射の必要に応じて及び/又は他の要因(例えば、液浸液の使用又は真空の使用)の必要に応じて、屈折型、反射型、反射屈折型、アナモルフィック型、磁気型、電磁型及び/又は静電光学型のシステム又はこれらの任意の組み合わせが含まれ得る。本明細書で「投影レンズ」という用語が使用されている場合、それらは、すべてより一般的な用語である「投影システム」PSと同義であると見なされ得る。
[00083] リソグラフィ装置LAは、投影システムPSと基板Wとの間の空間を埋めるように、基板の少なくとも一部分が、屈折率が比較的高い液体(例えば、水)で覆われ得るタイプであり得、これは、液浸リソグラフィとも呼ばれる。液浸技術の詳細については、参照によって本明細書に組み込まれる米国特許第6952253号に示されている。
[00084] リソグラフィ装置LAは、基板サポートWTが2つ以上あるタイプ(「デュアルステージ」とも呼ばれる)であってもよい。そのような「複数ステージ」マシンでは、それらの基板サポートWTは並行して使用されてよく、及び/又は、それらの基板サポートWTの一方に載っている基板Wが、その基板Wにパターンを露光することに使用されている間に、他方の基板サポートWTに載っている別の基板Wに対して、その別の基板Wのその後の露光の準備の手順が実施されてよい。
[00085] 基板サポートWTに加えて、リソグラフィ装置LAは測定ステージを含んでよい。測定ステージは、センサ及び/又はクリーニング装置を保持するように構成されている。センサは、投影システムPSの特性、又は放射ビームBの特性を測定するように構成されてよい。測定ステージは複数のセンサを保持してよい。クリーニング装置は、リソグラフィ装置の一部、例えば、投影システムPSの一部、又は液浸液を供給するシステムの一部をクリーニングするように構成されてよい。測定ステージは、基板サポートWTが投影システムPSから離れているときに、投影システムPSの下を動いてよい。
[00086] 稼働中は、放射ビームBが、パターニングデバイス(例えば、マスクサポートMT上に保持されたマスクMA)に入射し、パターニングデバイスMA上にあるパターン(設計レイアウト)によってパターニングされる。放射ビームBは、パターニングデバイスMAを横断した後、投影システムPSを通り抜け、投影システムPSは、ビームを基板Wのターゲット部分C上にフォーカスさせる。第2のポジショナPW及び位置測定システムIFの支援により、基板サポートWTは正確に動くことが可能であり、例えば、様々なターゲット部分Cが、放射ビームBの経路中のフォーカス及びアライメントされる位置に位置決めされるように正確に動くことが可能である。同様に、パターニングデバイスMAを放射ビームBの経路に対して正確に位置決めするために、第1のポジショナPMと、場合によっては別の位置センサ(これは図1に明示されていない)とが使用されてよい。パターニングデバイスMA及び基板Wは、マスクアライメントマークM1、M2、及び基板アライメントマークP1、P2を使用してアライメントされてよい。基板アライメントマークP1、P2は、図示されたように専用ターゲット部分を占有するが、ターゲット部分間の空間に配置されてよい。基板アライメントマークP1、P2は、ターゲット部分C間に配置される場合には、スクライブラインアライメントマークと呼ばれる。
[00087] 本発明を明確にするために、デカルト座標系が使用される。デカルト座標系は、3つの軸、すなわち、x軸、y軸、及びz軸を有する。これら3つの軸のそれぞれは、他の2つの軸と直交する。x軸周りの回転は、Rx回転と呼ばれる。y軸周りの回転は、Ry回転と呼ばれる。z軸周りの周りの回転は、Rz回転と呼ばれる。x軸及びy軸は、水平面を定義し、z軸は、垂直方向にある。デカルト座標系は、本発明を限定せず、明確にするためだけに使用されるものである。代わりに、本発明を明確にするために、円筒座標系などの別の座標系が使用されてもよい。デカルト座標系の配向は、例えば、z軸が水平面に沿った成分を有するように異なってもよい。
[00088] 図2は、図1のリソグラフィ装置LAの一部のより詳細な図を示す。リソグラフィ装置LAは、ベースフレームBF、バランス・マスBM、メトロロジフレームMF、及び防振システムISを備えてもよい。メトロロジフレームMFは、投影システムPSを支持する。加えて、メトロロジフレームMFは、位置測定システムPMSの一部を支持してもよい。メトロロジフレームMFは、防振システムISを介してベースフレームBFによって支持される。防振システムISは、ベースフレームBFからメトロロジフレームMFへと振動が伝搬することを防止するように、又は減少させるように配置される。
[00089] 第2のポジショナPWは、基板サポートWTとバランス・マスBMとの間に推進力を提供することによって、基板サポートWTを加速するように配置される。推進力は、基板サポートWTを所望の方向に加速する。運動量保存則により、推進力が、同じ大きさを有するが、所望の方向とは反対方向に、バランス・マスBMにも加えられる。一般的に、バランス・マスBMの質量は、第2のポジショナPWの可動部及び基板サポートWTの質量よりもかなり大きい。
[00090] ある実施形態では、第2のポジショナPWは、バランス・マスBMによって支持される。例えば、第2のポジショナPWは、基板サポートWTをバランス・マスBMの上に浮上させるための平面モータを含む。別の実施形態では、第2のポジショナPWは、ベースフレームBFによって支持される。例えば、第2のポジショナPWは、リニアモータを含み、第2のポジショナPWは、基板サポートWTをベースフレームBFの上に浮上させるためのガスベアリングのようなベアリングを含む。
[00091] リソグラフィ装置LAは、図3に概略的に示されるような位置制御システムPCSを含んでもよい。位置制御システムPCSは、セットポイントジェネレータSP、フィードフォワードコントローラFF、及びフィードバックコントローラFBを含む。位置制御システムPCSは、アクチュエータACTに駆動信号を提供する。アクチュエータACTは、第1のポジショナPM又は第2のポジショナPW、及び/又はリソグラフィ装置LAの他の可動コンポーネントのアクチュエータでもよい。例えば、アクチュエータACTは、基板サポートWT又はマスクサポートMTを含み得るプラントPを駆動し得る。プラントPの出力は、位置、又は速度、又は加速度、又は位置の別の高次時間導関数などの位置量である。位置量は、位置測定システムPMSを用いて測定される。位置測定システムPMSは、プラントPの位置量を表す位置信号である信号を生成する。セットポイントジェネレータSPは、プラントPの所望の位置量を表す基準信号である信号を生成する。例えば、基準信号は、基板サポートWTの所望の軌道を表す。基準信号と位置信号との間の差は、フィードバックコントローラFBへの入力を形成する。この入力に基づいて、フィードバックコントローラFBは、アクチュエータACTのための駆動信号の少なくとも一部を提供する。基準信号は、フィードフォワードコントローラFFへの入力を形成し得る。この入力に基づいて、フィードフォワードコントローラFFは、アクチュエータACTのための駆動信号の少なくとも一部を提供する。フィードフォワードFFは、質量、剛性、共振モード、及び固有周波数などのプラントPの動的特性に関する情報を利用し得る。図3に示されるシステムのさらなる詳細を以下に説明する。
[00092] 図4に示されるように、リソグラフィ装置LAは、リソグラフィセルLC(リソセル又は(リソ)クラスタと呼ばれることもある)の一部をなし得、リソグラフィセルLCは、基板Wに対して露光前プロセス及び露光後プロセスを実施するための装置も含むことが多い。従来、そのような装置として、レジスト層を堆積させるスピンコータSC、露光したレジストを現像するデベロッパDE、冷却プレートCH及びベークプレートBK(これらは、例えば、基板Wの温度を調節するものであり、それは、例えば、レジスト層中の溶剤を調節するために行われる)がある。基板ハンドラ(即ちロボット)ROが基板Wを入出力ポートI/O1、I/O2からピックアップし、それらの基板Wを様々なプロセス装置間で動かし、それらの基板Wをリソグラフィ装置LAのローディングベイLBまで送達する。リソセル内のデバイスは、まとめてトラックと呼ばれることも多く、典型的にはトラック制御ユニットTCUの管理下にあり、トラック制御ユニットTCU自体は、監視制御システムSCSによって制御され得、監視制御システムSCSは、リソグラフィ装置LAも(例えば、リソグラフィ制御ユニットLACUを介して)制御し得る。
[00093] リソグラフィ装置LAによって露光される基板Wが正確且つ確実に露光されるために、基板を検査して、パターン形成された構造の特性、例えば連続する層間のオーバーレイエラー、線の太さ、クリティカルディメンジョン(CD)等を測定することが望ましい。そのため、検査ツール(図示せず)がリソセルLCに含まれ得る。エラーが検出された場合、例えば、連続する基板の露光又は基板Wに対して実施されるべき他のプロセスステップに対する調節が行われ得、これは、特に同じバッチ又はロットの他の基板Wが引き続き露光又はプロセスされる前に検査が行われる場合に行われ得る。
[00094] メトロロジ装置と呼ばれることもある検査装置は、基板Wの特性を測定するために使用され、特に異なる複数の基板Wの特性がどのようにばらつくか、又は同じ基板Wの異なる複数の層に関連付けられた特性が層ごとにどのようにばらつくかを測定するために使用される。検査装置は、代わりに、基板W上の欠陥を識別するように構築され得、例えばリソセルLCの一部分であり得るか、又はリソグラフィ装置LAに組み込まれ得るか、又はスタンドアロン装置であり得る。検査装置は、潜像(露光後のレジスト層内の像)に関する特性、又は半潜像(露光後ベーク工程PEB後のレジスト層内の像)に関する特性、又は現像されたレジスト像(レジストの露光部分又は非露光部分が除去されている)に関する特性、又はさらに(エッチング等のパターン転写工程後の)エッチングされた像に関する特性を測定し得る。
[00095] 典型的には、リソグラフィ装置LAにおけるパターニングプロセスは、基板W上の構造の寸法決定及び配置に高い精度を必要とする、処理のなかで最もクリティカルなステップの1つである。この高い精度を確保するために、図5に概略的に示されるように、3つのシステムをいわゆる「ホリスティック」管理環境として組み合わせ得る。これらのシステムの1つは、リソグラフィ装置LAであり、これは、メトロロジツールMT(第2のシステム)及びコンピュータシステムCL(第3のシステム)と(仮想的に)接続される。そのような「ホリスティック」環境の鍵は、これらの3つのシステム間の協調を最適化して、プロセスウィンドウ全体を強化し、厳格管理ループを実現することにより、リソグラフィ装置LAによって実施されるパターニングがプロセスウィンドウ内にとどまるようにすることである。プロセスウィンドウは、プロセスパラメータ(例えば、ドーズ、フォーカス、オーバーレイ)の範囲を規定し、この範囲内で特定の製造プロセスが規定の結果(例えば、機能する半導体デバイス)を産出し、典型的には、この範囲内でリソグラフィプロセス又はパターニングプロセスのプロセスパラメータが変動し得る。
[00096] コンピュータシステムCLは、パターニングされるデザインレイアウト(の一部)を使用することにより、何れの解像度向上技術を使用すべきかを予測することが可能であり、且つ計算機リソグラフィのシミュレーション及び計算を実施して、パターニングプロセスのプロセスウィンドウ全体の最大化を達成するマスクレイアウト及びリソグラフィ装置設定を決定することが可能である(図5において第1のスケールSC1の両方向矢印で示されている)。典型的には、解像度向上技術は、リソグラフィ装置LAのパターニング可能性に適合するように用意される。コンピュータシステムCLは、プロセスウィンドウ内の何れの箇所でリソグラフィ装置LAが現在動作しているかを(例えば、メトロロジツールMTからの入力を使用して)検出することにより、(例えば、準最適な処理のために)欠陥が存在する可能性があるかどうかを予測することがさらに可能である(図5において第2のスケールSC2の「0」を指す矢印で示されている)。
[00097] メトロロジツールMTは、正確なシミュレーション及び予測を可能にする入力をコンピュータシステムCLに与えることが可能であり、(例えば、リソグラフィ装置LAの較正ステータスにおいて)起こり得るドリフトを識別するフィードバックをリソグラフィ装置LAに与えることが可能である(図3において第3のスケールSC3の複数の矢印で示されている)。
[00098] 図1~5を参照して上述した通り、リソグラフィ装置、メトロロジツール、及び/又はリソセルは、一般的に、基準又は別のコンポーネントに対して、試料、基板、マスク、又はセンサ配置を位置決めするために使用される複数のステージシステムを含む。それの例は、マスクサポートMT及び第1のポジショナPM、基板サポートWT及び第2のポジショナPW、センサ及び/又はクリーニングデバイスを保持するように配置された測定ステージ、並びに基板Wが例えば走査電子顕微鏡又はある種のスキャトロメータに対して位置決めされる、検査ツールMTに使用されるステージである。これらの装置は、レチクルステージ、ウェーハステージ、ミラー、レンズ素子、光源(例えば、ドライブレーザ、EUV源など)、レチクルマスキングステージ、ウェーハトップクーラ、ウェーハ及びレチクルハンドラ、防振システム、ステージトルク補償器、そのようなコンポーネントを制御し、及び/又は含むソフトウェア及び/又はハードウェアモジュール、及び/又は他のコンポーネントなどの幾つかの他の可動コンポーネントを含み得る。これらの例は、限定を意図したものではない。
[00099] 図6は、ある実施形態による、例示的コンピュータシステムCLのブロック図である。コンピュータシステムCLは、本明細書に開示される方法、フロー、又は装置の実装を支援し得る。コンピュータシステムCLは、バスBS、又は情報を通信するための他の通信機構、及び情報を処理するためにバスBSに結合されたプロセッサPRO(又は複数のプロセッサ)を含む。コンピュータシステムCLは、情報及びプロセッサPROによって実行される命令を保存するためにバスBSに結合された、ランダムアクセスメモリ(RAM)、又は他の動的ストレージデバイスなどのメインメモリMMも含む。メインメモリMMは、例えばプロセッサPROによって実行される命令の実行中に一時的変数又は他の中間情報を保存するためにも使用され得る。コンピュータシステムCLは、静的情報及びプロセッサPRO用の命令を保存するためにバスBSに結合された読出し専用メモリ(ROM)ROM、又は他の静的ストレージデバイスを含む。磁気ディスク又は光ディスクなどのストレージデバイスSDが、情報及び命令を保存するために設けられ、及びバスBSに結合される。
[000100] コンピュータシステムCLは、情報をコンピュータユーザに表示するための陰極線管(CRT)、又はフラットパネル、又はタッチパネルディスプレイなどのディスプレイDSにバスBSを介して結合され得る。英数字キー及び他のキーを含む入力デバイスIDは、プロセッサPROに対して情報及びコマンド選択を伝達するためにバスBSに結合される。別のタイプのユーザ入力デバイスは、方向情報及びコマンド選択をプロセッサPROに伝達するため、並びにディスプレイDS上のカーソルの移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソル制御CCである。この入力デバイスは、一般的に、デバイスが平面内の位置を指定することを可能にする、2つの軸(第1の軸(例えば、x)及び第2の軸(例えば、y))の2自由度を有する。タッチパネル(スクリーン)ディスプレイも入力デバイスとして使用され得る。
[000101] 幾つかの実施形態では、本明細書に記載される1つ又は複数の方法の一部は、プロセッサPROがメインメモリMMに含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行したことに応答して、コンピュータシステムCLによって行われ得る。そのような命令は、ストレージデバイスSDなどの別のコンピュータ可読媒体からメインメモリMM内に読み込まれ得る。メインメモリMMに含まれる命令のシーケンスの実行は、プロセッサPROに本明細書に記載されるプロセスステップを行わせる。メインメモリMMに含まれる命令のシーケンスを実行するために、マルチプロセッシング配置の1つ又は複数のプロセッサも用いることができる。幾つかの実施形態では、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて、ハードワイヤード回路が使用されてもよい。したがって、本明細書の記載は、ハードウェア回路及びソフトウェアのどのような特定の組み合わせにも限定されない。
[000102] 本明細書で使用される「コンピュータ可読媒体」という用語は、実行のために命令をプロセッサPROに提供することに関与するあらゆる媒体を指す。そのような媒体は、限定されないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む多くの形を取り得る。不揮発性媒体は、例えば、ストレージデバイスSDなどの光ディスク又は磁気ディスクを含む。揮発性媒体は、メインメモリMMなどの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線、及び光ファイバ(バスBSを含むワイヤを含む)を含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されたものなどの音波又は光波の形も取り得る。コンピュータ可読媒体は、非一時的なもの、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD-ROM、DVD、任意の他の光媒体、パンチカード、紙テープ、孔のパターンを備えた任意の他の物理的媒体、RAM、PROM、及びEPROM、FLASH-EPROM、任意の他のメモリチップ又はカートリッジでもよい。非一時的コンピュータ可読媒体は、記録された命令を有し得る。命令は、コンピュータによって実行されると、本明細書に記載されるフィーチャの何れかを実装し得る。一時的コンピュータ可読媒体は、搬送波、又は他の伝搬電磁信号を含み得る。
[000103] 様々な形態のコンピュータ可読媒体が、実行のためにプロセッサPROに1つ又は複数の命令の1つ又は複数のシーケンスを運ぶことに関与し得る。例えば、命令は、最初は、リモートコンピュータの磁気ディスク上に含まれてもよい。リモートコンピュータは、それの動的メモリ内に命令をロードし、モデムを使用して電話線上で命令を送ることができる。コンピュータシステムCLに対してローカルのモデムは、電話線上でデータを受け取り、赤外線送信機を使用して、データを赤外線信号に変換することができる。バスBSに結合された赤外線検出器は、赤外線信号で運ばれたデータを受け取り、データをバスBS上に置くことができる。バスBSは、データをメインメモリMMに運び、プロセッサPROは、メインメモリMMから命令を取り出し、及び実行する。メインメモリMMによって受け取られた命令は、任意選択的に、プロセッサPROによる実行前又は後に、ストレージデバイスSDに保存されてもよい。
[000104] コンピュータシステムCLは、バスBSに結合された通信インターフェースCIも含み得る。通信インターフェースCIは、ローカルネットワークLANに接続されたネットワークリンクNDLに結合する双方向データ通信を提供する。例えば、通信インターフェースCIは、ISDN(integrated services digital network)カード、又は対応するタイプの電話線にデータ通信接続を提供するためのモデムでもよい。別の例として、通信インターフェースCIは、互換性LANにデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。無線リンクも実装され得る。任意のそのような実装形態において、通信インターフェースCIは、様々なタイプの情報を表すデジタルデータストリームを運ぶ電気、電磁、又は光信号を送受信する。
[000105] ネットワークリンクNDLは、一般的に、1つ又は複数のネットワークにより、他のデータデバイスへとデータ通信を提供する。例えば、ネットワークリンクNDLは、ローカルネットワークLANにより、ホストコンピュータHCへの接続を提供し得る。これは、現在一般に「インターネット」INTと呼ばれる世界的パケットデータ通信ネットワークによって提供されるデータ通信サービスを含み得る。ローカルネットワークLAN(インターネット)は共に、デジタルデータストリームを運ぶ電気、電磁、又は光信号を使用する。様々なネットワークを通る信号、並びにネットワークデータリンクNDL上の、及び通信インターフェースCIを通る信号(これらは、デジタルデータをコンピュータシステムCLへと、及びコンピュータシステムCLから運ぶ)は、情報を運ぶ搬送波の例示的形態である。
[000106] コンピュータシステムCLは、ネットワーク、ネットワークデータリンクNDL、及び通信インターフェースCIにより、メッセージを送り、及びプログラムコードを含むデータを受け取ることができる。インターネット例では、ホストコンピュータHCは、インターネットINT、ネットワークデータリンクNDL、ローカルネットワークLAN、及び通信インターフェースCIにより、アプリケーションプログラムの要求コードを送信し得る。あるそのようなダウンロードされたアプリケーションは、例えば、本明細書に記載される方法のすべて又は一部を提供し得る。受信されたコードは、受信時にプロセッサPROによって実行されてもよく、及び/又は後で実行するために、ストレージデバイスSD、若しくは他の不揮発性ストレージに保存されてもよい。このように、コンピュータシステムCLは、搬送波の形態のアプリケーションコードを取得し得る。
[000107] 図7は、パターニングプロセスのための制御出力を生成する例示的方法700を示す。パターニングプロセスは、パターニングプロセス装置及び/又は他の装置を用いて行われる。幾つかの実施形態では、装置は、スキャナなどの半導体リソグラフィ装置、スキャトロメータなどの光学メトロロジ検査ツール、走査電子顕微鏡などの電子ビーム検査ツール、及び/又は他の装置を含む。方法700は、機械学習モデルなどのパラメータ化モデルを訓練すること(702)、制御入力を受け取ること(704)、パラメータ化モデルを使用して、制御出力を決定すること(706)、少なくとも制御出力に基づいて装置を制御すること(708)、モデルの更新、微調整、及び/又は別の方法で再訓練を行うこと(710)、及び/又は他の動作を含む。幾つかの実施形態では、方法700は、例えば半導体製造プロセスのために(又はその一部として)行われる。
[000108] 以下に提示される方法700の動作は、説明のためのものであることが意図される。幾つかの実施形態では、方法700は、記載されない1つ若しくは複数の追加の動作を有して、及び/又は記載された動作の1つ若しくは複数を欠いて達成され得る。例えば、方法700は、パラメータ化(機械学習)モデルを訓練することを必要としない場合がある(例えば、モデルは事前に訓練されてもよい)。別の例として、方法700は、装置を実際に制御すること(708)、及び/又はモデルを更新すること(710)を含んでもよいし、又は含まなくてもよい。加えて、方法700の動作が図7に示され、及び以下に説明される順序は、限定を意図したものではない。
[000109] 幾つかの実施形態では、方法700の1つ又は複数の部分は、1つ又は複数の処理デバイス(例えば、1つ又は複数のプロセッサ)において、(例えば、シミュレーション、モデル化などによって)実装されてもよい。1つ又は複数の処理デバイスは、電子記憶媒体に電子的に保存された命令に応答して、方法700の動作の一部又はすべてを実行する1つ又は複数のデバイスを含み得る。1つ又は複数の処理デバイスは、例えば、ハードウェア、ファームウェア、及び/又はソフトウェアにより、方法700の動作の1つ又は複数の実行のために特別に設計されるように構成された1つ又は複数のデバイスを含み得る。
[000110] 上記の通り、方法700は、機械学習モデルを訓練すること(702)を含む。機械学習モデルは、任意のパラメータ化モデルでもよい。幾つかの実施形態では、機械学習モデルは、ニューラルネットワーク及び/又は他の機械学習モデルでもよく、及び/又はそれらを含んでもよい。例えば、機械学習モデルは、入力層、出力層、及び1つ又は複数の中間層又は隠れ層を有する1つ又は複数の人工ニューラルネットワークでもよく、及び/又はそれ(ら)を含んでもよい。幾つかの実施形態では、1つ又は複数の人工ニューラルネットワークは、ディープニューラルネットワーク(例えば、入力層と出力層との間に1つ又は複数の中間層又は隠れ層を有するニューラルネットワーク)でもよく、及び/又はそれらを含んでもよい。幾つかの実施形態では、1つ又は複数の人工ニューラルネットワークは、1つ若しくは複数の畳み込みニューラルネットワーク(CNN)、1つ若しくは複数のリカレントニューラルネットワーク(RNN)、及び/又は他のニューラルネットワークを含み得る。
[000111] 一例として、1つ又は複数の人工ニューラルネットワークは、ニューラルユニット(又は人工ニューロン)の大きな一群に基づいてもよい。1つ又は複数のニューラルネットワークは、(例えば、軸索によって接続された生体ニューロンの大きなクラスタにより)生体脳が機能する様式を大まかに模倣し得る。人工ニューラルネットワークの各ニューラルユニットは、ニューラルネットワークの多くの他のニューラルユニットと接続され得る。そのような接続は、接続されたニューラルユニットの活性化状態に対するそれらの影響において、強制的又は抑制的な場合がある。幾つかの実施形態では、各個々のニューラルユニットは、それの入力のすべての値を合計する総和関数を有し得る。幾つかの実施形態では、各接続(又はニューラルユニット自体)は、信号が他のニューラルユニットへと伝搬することを許可される前に信号が閾値を超えなければならないように閾値関数を有し得る。これらのニューラルネットワークシステムは、明確にプログラムされるのではなく、自己学習型でもよく、及び訓練されてもよく、従来のコンピュータプログラムと比較して、問題解決の特定の分野において、大幅により良い性能を発揮することができる。幾つかの実施形態では、1つ又は複数の人工ニューラルネットワークは、複数の層を含み得る(例えば、信号経路は、前の層から後ろの層へと横断する)。幾つかの実施形態では、後方伝搬技術が、人工ニューラルネットワークによって利用されてもよく、この場合、前方刺激を使用して、「前の」ニューラルユニットに対する重み及び/又はバイアスがリセットされる。幾つかの実施形態では、1つ又は複数のニューラルネットワークに関する刺激及び抑制は、より自由な流れでもよく、接続は、より無秩序で複雑なやり方で相互作用する。幾つかの実施形態では、1つ又は複数の人工ニューラルネットワークの中間層は、1つ若しくは複数の畳み込み層、1つ若しくは複数のリカレント層、及び/又は他の層を含む。非限定例として、人工ニューラルネットワークは、入力層、隠れ層、及び出力層間で分散された複数のニューロンを有し得る。このような人工ニューラルネットワークは、複数の次元で非線形性を捕捉するのに十分な自由度を有し、一般的なコンピューティングシステム(例えば、ラップトップ)上でパターニングプロセスに適したサンプリングレートで制御信号を計算し得る。
[000112] 1つ又は複数のニューラルネットワークは、(例えば、本明細書に記載されるような)訓練データの一セットを使用して訓練され得る(すなわち、そのパラメータが決定される)。訓練データは、複数の訓練制御入力及び対応する訓練制御出力ペアを含み得る。訓練データは、訓練サンプルの一セットを含み得る。各サンプルは、入力オブジェクト(ベクトルとしてフォーマットされることが多く、このベクトルは、フィーチャベクトルと呼ばれることがある)及び所望の出力値(監視信号とも呼ばれる)を含むペアでもよい。ニューラルネットワーク(例えば、機械学習モデル)は、機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用するように構成され、1つ又は複数の構成は、訓練制御出力と予測制御出力の比較に基づいて更新される。
[000113] 訓練アルゴリズムは、訓練データを解析し、訓練データに基づいて人工ニューラルネットワークのパラメータ(例えば、1つ若しくは複数の層の重み、バイアスなど、及び/又は他のパラメータ)を調整することによって、人工ニューラルネットワークの挙動を調整する。例えば、xが、i番目の例のフィーチャベクトルであり、及びyが、それの監視信号であるような{(x、y)、(x、y)、…、(x、y)}の形のN個の訓練サンプルの一セットを所与として、訓練アルゴリズムは、ニューラルネットワークg:X→Y(Xは、入力空間であり、Yは、出力空間である)を探す。フィーチャベクトルは、あるオブジェクト(例えば、1つ又は複数のパターニングプロセスパラメータ、回折パターン画像、モーションセットポイント、ウェーハ及び/又はレチクルのロードシーケンスなどの制御入力、又はフィードフォワード信号などの制御出力)を表す数値フィーチャのn次元ベクトルである。これらのベクトルに関連付けられたベクトル空間は、フィーチャ又は潜在空間と呼ばれることが多い。訓練後に、ニューラルネットワークは、新しいサンプル(例えば、異なるパターニングプロセスパラメータ、回折パターン画像、セットポイント、ロードシーケンス、及び/又は他の制御入力)を用いて予測を行うために使用され得る。
[000114] 幾つかの実施形態では、制御入力は、パターニングプロセスを制御するために使用される。幾つかの実施形態では、制御入力は、パターニングプロセスのモニタリング及び/又は診断に関連する。例えば、制御入力は、パターニングプロセスを制御するために使用される1つ若しくは複数のパラメータ、パターニングプロセスをモニタリングするために使用されるメトロロジパラメータ、パターニングプロセスを診断するために使用されるエラー(例えば、フィードバック)パラメータ、及び/又は他の情報を含み得る。1つ又は複数のパラメータは、回折パターン画像、ウェーハ加熱、レンズ加熱、ミラー加熱、モーションセットポイント、ウェーハ及び/又はレチクルのロードシーケンス、及び/又はパターニングプロセスの他の態様を定義してもよく、及び/又は別の方法でそれ(ら)に関連してもよい。幾つかの実施形態では、1つ又は複数のパラメータは、1つ又は複数のリソグラフィ装置、光学メトロロジ検査ツール、電子ビーム検査ツール、及び/又は他の装置のパラメータ、及び/又は関連のリソグラフィ及び/又は検査プロセスパラメータ、例えば、クリティカルディメンジョン、オーバーレイ、フォーカス、波面収差、及び/又は他のパラメータを含み得る。
[000115] 訓練制御入力は、パターニングプロセスの複数の動作条件に対応する。パターニングプロセスの複数の動作条件は、経時的にパターニングプロセスの特定の挙動(変化)を生じさせるパターニングプロセスの特定の構成、モード、設定、及び状態に関連付けられる。これは、プロセスドリフト、装置コンポーネントの加熱及び/又は冷却、装置コンポーネントの場所、移動、及び/又は変形、及び/又は他の変化及び/又は挙動に関係し得る。幾つかの実施形態では、訓練制御入力は、プロセス極値、これらのプロセス極値が経時的にどのように変化するか、及び/又は他のデータを表すように構成され得る。幾つかの実施形態では、実際のプロセスパラメータ、測定、及び/又は他のデータが、異なる時点のパターニングプロセスに関して収集され、実際のプロセスパラメータ、測定、及び/又は他のデータが、経時的なパターニングプロセスの動作条件に特有の挙動(例えば、経時的なパターニングプロセスの変化)を表すように、訓練制御入力として使用され得る。幾つかの実施形態では、訓練制御入力は、(例えば、既知の以前のパターニングプロセス情報に基づいて)シミュレートされてもよい。幾つかの実施形態では、訓練制御入力は、(例えば、本明細書に記載されるようなコンピューティングシステムの一部として含まれるユーザインターフェースを用いて)ユーザによって入力及び/又は選択されてもよい。
[000116] 訓練制御出力は、パターニングプロセスのシミュレーションから生成されたデータ、実際のプロセスデータ、及び/又は他の情報を含む。訓練データを生成するためのパターニングプロセスのシミュレーションは、例えば物理的モデルを用いて行われてもよい。シミュレーションは、所与の制御入力及びシミュレートした制御出力が上記のような訓練ペアを形成するように、訓練制御入力に基づく。別の例では、シミュレーションは、パターニングプロセスの動作条件の知識に基づく。幾つかの実施形態では、シミュレーションは、パターニングプロセスの物理的モデルによって行われる。幾つかの実施形態では、物理的モデルは、単に動作条件入力に基づいて制御出力をシミュレートしてもよい。
[000117] 幾つかの実施形態では、所与の制御入力を用いたパターニングプロセスの以前の性能に基づいて行われた測定及び/又は他の決定を含む実際のプロセスデータが、シミュレートした制御出力の代わりに、及び/又はシミュレートした制御出力に加えて使用されてもよい。訓練制御出力は、処理装置の1つ又は複数のコンポーネントのシミュレートした挙動、シミュレートしたフィードフォワード信号、及び/又は他の情報を含み得る。これらは、装置の1つ又は複数のコンポーネントの複数のシミュレートした加熱及び/又は冷却特性、複数のモーションセットポイントに対応したコンポーネントに関するシミュレートした力、トルク、電流、電荷、電圧、及び/又は他の情報(例えば、変化するターゲットパラメータ)、及び/又は他の情報を含んでもよく、及び/又はそれらに基づいて生成されてもよい。
[000118] 訓練された機械学習モデルは、制御入力に基づいて、パターニングプロセスの制御出力を決定するように構成される。機械学習モデルは、制御入力が訓練データの範囲から外れるか否かにかかわらず、機械学習モデルが制御出力を決定するように、訓練データを用いて訓練される。これは、機械学習モデルが、例えば、既知の制御入力と、対応する制御出力との間を補間することができ、及び/又は既知の制御入力及び出力の範囲を超えて補外することができることを意味する。これは、処理条件間の補間及び/又は補外も効果的に容易にする(例えば、レチクルA、C、及びDに関してモデルが較正される場合、機械学習モデルは、(物理的モデル化を用いずに)レチクルBに関する予想制御出力に基づいて制御入力を推論し得る)。
[000119] 方法700は、パターニングプロセスを制御するための制御入力を受け取ること(704)を含む。上記の通り、制御入力は、パターニングプロセスで使用される1つ若しくは複数のパラメータ、及び/又は他の情報を含み得る。幾つかの実施形態では、制御入力は、パターニングプロセスをモニタリング及び/又は診断するためのパラメータに関連する。例えば、1つ又は複数のパラメータは、回折パターン画像、ウェーハ加熱、レンズ加熱、ミラー加熱、モーションセットポイント、ウェーハ及び/又はレチクルのロードシーケンス、及び/又はパターニングプロセスの他の態様を定義してもよく、及び/又は別の方法でそれ(ら)に関連してもよい。幾つかの実施形態では、制御入力は、例えば、回折パターン画像、モーションセットポイント、ウェーハ及び/又はレチクルのロードシーケンス、及び/又は他の制御入力を含む。幾つかの実施形態では、制御入力は、装置コンポーネントの規定の移動を示してもよい。制御入力は、(例えば、以前の処理に基づいて決定された)パターニングプロセスに関連付けられた装置及び/又はコンピューティングシステムから電子的に受け取られてもよく、(例えば、本明細書に記載されるようなコンピューティングシステムの一部として含まれるユーザインターフェースを用いて)ユーザによって入力及び/又は選択されてもよく、及び/又は他のやり方で受け取られてもよい。
[000120] 方法700は、機械学習モデルを用いて、パターニングプロセスに関する制御出力を生成すること(706)を含む。制御出力は、制御入力及び/又は他の情報に基づいて、訓練された機械学習モデルを用いて決定される。制御出力は、1つ又は複数のパターニングプロセスパラメータの調整、及び/又は他の情報でもよく、及び/又はそれ(ら)を含んでもよい。幾つかの実施形態では、制御出力は、パターニングプロセスのモニタリング及び/又は診断に関連した1つ又は複数のパラメータの調整を含む。これは、どのメトロロジパラメータが測定されるか、それらが測定時にどのように測定されるかを調整すること、及び/又は他の調整を含み得る。幾つかの実施形態では、制御出力は、例えば、フィードフォワード信号を含み得る。フィードフォワード信号は、例えば、1つ又は複数のパラメータの調整を指定し得る。これは、あるレベルから別のレベルへとパラメータの値を変更する命令(例えば、ドーズ、パワーなど)、装置の1つ若しくは複数のコンポーネントの移動を変更する命令(例えば、クランプ、ステージ、レンズなどの位置、速度、加速度など;ミラー配置など)、プロセス及び/又は移動レシピを変更する(例えば、どのステップが含まれるかを変更する)命令、及び/又は他の調整を含み得る。例えば、幾つかの実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御に関連した1つ又は複数のパラメータの調整を含む。
[000121] 幾つかの実施形態では、制御出力は、パターニングプロセス及び/又はパターニングプロセス装置(及び/又はそれに含まれる1つ若しくは複数のコンポーネント)が制御入力に基づいてどのように変化するかの表示でもよく、及び/又はそのような表示を含んでもよい。例えば、制御出力は、どのようにレンズ、ウェーハ、及び/又は他のコンポーネントが経時的に加熱するか、及び/又は異なる制御入力に応じて異なって加熱するかの表示でもよく、及び/又はそのような表示を含んでもよい。
[000122] 方法700は、少なくとも部分的に制御出力に基づいて、パターニングプロセス装置を制御すること(708)を含む。パターニングプロセス装置を制御すること(708)は、フィードフォワード信号及び/又は他の電子信号を生成することを含み得る。パターニングプロセス装置を制御すること(708)は、パターニングプロセス装置(及び/又は装置のアクチュエータなどの1つ若しくは複数の個々のコンポーネント)にフィードフォワード信号及び/又は他の電子信号を送信することを含み得る。本明細書に記載される通り、機械学習モデルは、制御入力が訓練データの範囲から外れるか否かにかかわらず、制御出力を決定することができる。人工ニューラルネットワークなどの機械学習モデルは、補間及び補外で効果的である。
[000123] 方法700は、機械学習モデルの更新及び/又は微調整を行うこと(710)を含む。これは、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、機械学習モデルを経時的に更新及び/又は微調整することを含む。パターニングプロセスからのシミュレートした、及び/又は実際のプロセス訓練データを用いて機械学習モデルを訓練すること(702)は、例えば初期較正と見なされ得る。再訓練は、パターニングプロセスで経時的に生じるドリフトを考慮に入れるように構成された1つ又は複数のドリフト較正により微調整されるように機械学習モデルを構成することを含む。訓練すること(702)及び/又は更新/微調整すること(710)は、機械学習モデルの1つ又は複数の係数を生成し得る。1つ又は複数の係数は、例えば、層及び/又は個々のニューロンの重み及び/又はバイアス、及び/又は他の係数を含み得る。これらの係数は、モデルが再訓練/更新/調整されること、ユーザによる手動調整、及び/又は他の動作に応答して、経時的に変化し得る。
[000124] 幾つかの実施形態では、訓練すること(702)及び/又は更新/微調整すること(710)は、オフライン、オンライン、又はオフライン及びオンラインの組み合わせである。オフライン訓練は、パターニングプロセス及び/又はパターニングプロセス装置とは別に生じるプロシージャを含み得る。これは、機械学習モデルを訓練及び/又は更新する間に、機械(装置)生産(例えば、半導体製造)が中断される必要がないことを意味する。オンライン訓練は、製品製造が進行している間に、機械(装置)を用いて訓練することを含む。これは、機械(装置)が訓練動作を行うことが必要とされるため、生産が中断されることを必要とし得る。
[000125] 幾つかの実施形態では、機械学習モデルは、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを微調整することによって、経時的に更新されるように構成される。幾つかの実施形態では、機械学習モデルは、第1の更新モデルパラメータ値を決定するために機械学習モデルを用いて生産環境ローカルパターニングプロセスに関連するローカルな実際のプロセスデータを受け取り、機械学習モデルに少なくとも部分的に外部訓練データ(外部訓練データは、パターニングプロセス装置間変動を示す)を提供することによって得られる第2の更新モデルパラメータ値を受け取り、並びに初期モデルパラメータ値を第1及び/又は第2の更新モデルパラメータ値で更新することによって機械学習モデルを調整するように構成される。例えば、ローカルな実際のプロセスデータは、ローカルサイトでパターニングプロセスを起動するメーカーによって生成され得る。新しいローカルな実際のプロセスデータが生成されると、それは、モデルの再訓練(例えば、更新/微調整)を容易にするために機械学習モデルに供給され得る。これは、例えば、第1の更新モデルパラメータを生成することを含み得る。加えて、機械学習モデルは、モデルを最初に作成した外部のサプライヤ又はプログラマからの第2の更新モデルパラメータを含む更新を受け取るように構成されてもよい。これらのパラメータは、例えばローカルサイト外で生成されたデータに基づいて決定されてもよい。
[000126] 方法700及び/又は本機械学習モデルの動作の具体的な例及び/又は適用例が、以下に説明する実施例及び図に記載される。
[000127] 実施例1-モーションセットポイント及びドリフト
[000128] 有利に、本機械学習モデルは、(例えば、ウェーハ及び/又はレチクルステージなどの)位置、速度、及び加速度を含む、パラメータ空間におけるセットポイント及び外乱力の関連の変動、並びにリソグラフィ装置(例えば、スキャナ)ステッピング、熱ドリフト、長期ドリフト、冷却フード効果、液浸フード効果、トライボロジー機械制御効果、及び/又はパターニングプロセスに関連する他の効果における関連の変動も考慮に入れるために、(本明細書に記載されるような)様々な制御入力に基づいて訓練されるように構成される。
[000129] 例えば、スキャナなどのパターニングプロセス装置のステッピング中の反力は、スキャンの開始時に、特にゼロセトリングタイムを目標とする場合に、完全には制振されない運動状態を励起する。この効果は、ステッピング加速度(すなわち、大きさ)及びステッピング時間(すなわち、位相)によって表すことができ、これらは、機械学習モデルを訓練するために使用される制御入力パラメータ内に含まれ得る。(例えば、アクチュエータ及び/又はスキャナ内の他のコンポーネントの)作動応答は、加熱時にドリフトする場合があり、これは、アクチュエータの冷却水帰路チャネルの温度センサによって観察することができる。冷却水帰路チャネルの水の温度は、機械学習モデルを訓練するために使用される制御入力パラメータに含まれ得る。パターニングプロセス装置の応答は、摩耗及び汚染などのエージング効果により、経時的に(例えば、長期にわたり)変化し得る。これらの効果は、一般的に、ステップアンドスキャン動作の数(すなわち、摩耗)及び露光ウェーハの数(すなわち、レジストガス放出による汚染)と相間し、これらは、機械学習モデルを訓練するために使用される制御入力パラメータに含まれ得る。冷却フードの圧力は、特に電子ピンホール及び/又は他の類似のフィーチャの近くでウェーハを変形させ、ウェーハステージの制御に影響を与える。この効果は、冷却フード圧力セットポイント(これは、機械学習モデルを訓練するために使用される制御入力パラメータに含まれ得る)によって表すことができる。1つ又は複数の液浸フード制御入力パラメータも、機械学習モデルを訓練するために使用され得る。トライボロジー機械制御入力パラメータは、ウェーハスリップ、レチクルスリップ、ウェーハロードグリッド、レチクルロードグリッド、及び/又は他のパラメータに関係したパラメータを含み得る。これらの例は、限定を意図したものではない。1つ又は複数のさらなる制御入力パラメータは、ウェーハ/レチクルロードの数、並びに速度及び圧力プロファイルのようなウェーハロードパラメータに関係し得る(これらはすべて、ウェーハ/レチクルロード制御及び摩耗(ドリフト)に関係する)。
[000130] 上記及び/又は他の制御入力パラメータ(並びに対応する訓練制御出力)で訓練されると、機械学習モデルは、新しい制御入力に関する対応した制御出力を生成するように構成される。そのような制御出力(例えば、上記及び/又は他のパラメータの1つ又は複数の調整)は、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御の向上のために使用され得る。例えば、これは、スキャナのウェーハ及び/又はレチクルステージの移動を制御することによってスキャナの作動を制御すること、パターニングプロセス装置の1つ若しくは複数のコンポーネントのトライボロジー機械制御に関連した1つ若しくは複数のパラメータの調整、及び/又は他の動作制御を含み得る(これらは、数ある可能な例の中でも、非限定例である)。
[000131] この例では、訓練は、初期訓練(例えば、図7に示される702)及びドリフト較正(例えば、図7に示される更新/微調整/再訓練710)に分割され得る。これは、図8に示される。図8は、経時的に(806)、パターニングプロセスセットアップ800、大量生産802、保守804、及び再開された大量生産802を示す。初期制御入力及び出力808(例えば、この例では、反復学習制御データ)が、機械学習モデルを訓練する(810)ために、機械学習モデルに提供される。次に、機械学習モデルが、初期機械学習モデルパラメータを用いて、大量生産802中に起動される(812)。大量生産802からの実際のデータが、機械学習モデルを更新/調整/再訓練する(818)ために、初期入力及び出力808、並びに更新された入力及び出力816と共に、機械学習モデルに提供される(814)。次に、更新/調整/再訓練された機械学習モデルが、再開された大量生産802のために使用され得る(820)。初期訓練又は較正810において、機械学習モデルの性能クリティカルパラメータは、例えば顧客によって大量生産の前に較正される。更新/調整/再訓練818は、ドリフト較正を含んでもよく、その場合、機械学習モデルパラメータは、大量生産の期間の後に再較正される。これは、例えば定期保守中に生じてもよい。
[000132] 図8に示されるように、機械学習モデルは、以前のデータ、新しいデータ、ドリフトパラメータ、及び/又は他の情報を用いて訓練されてもよい。ドリフトパラメータの例は、1)経時的に「発展」してオーバーレイ問題を引き起こすクランプオーバーレイペナルティフィンガープリントを生じさせる、トライボロジー特性(例えば、ウェーハを保持するウェーハテーブルに関係するパラメータ)に対する摩耗及び汚染の影響、2)接着剤接続の変形によるセンサドリフト(様々なレベルの湿度の空気にさらされた際に、ほとんどの接着剤が変形するため)、3)(昼夜サイクル、又は機械熱源に応じた)熱ドリフト、及び/又は他の例に関係したパラメータを含む。これらのパラメータは、経時的な性能データ(すなわち、オーバーレイ)、及び任意選択的に、温度、パワーレベルなどのドリフト誘発変数の直接測定データを用いて推定することができる。
[000133] そのような訓練データを使用して、機械学習モデルは、再開された大量生産におけるドリフトを予測することを習得することができる。幾つかの実施形態では、ドリフトは、別個の機械学習モデルによってモデル化されてもよく、又は適用例に依存した、上記のようなドリフトに関係する異なるパラメータの一セットを使用して、上記のような初期モデルに組み込まれてもよい。幾つかの実施形態では、訓練は、図8に示される「オフライン」訓練に加えて、及び/又は「オフライン」訓練の代わりに、上記のように「オンラインで」完了し得る。
[000134] 実施例2-ウェーハ加熱補正
[000135] 幾つかの実施形態では、制御出力は、パターニングプロセス装置の1つ又は複数のコンポーネントの熱膨張に関連する1つ又は複数のパラメータの調整を含む。幾つかの実施形態では、制御出力は、ウェーハ加熱制御調整を含み、制御出力を決定することは、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ加熱制御調整を決定することとを含む。
[000136] 例えば、ウェーハ加熱効果は、大きさが10nmに及ぶことが多い、ウェーハ上のオーバーレイ及びフォーカスフィンガープリントの大きな原因の1つとして認識される。露光中のウェーハ(及びクランプ)の変化する熱変形は、ウェーハ(及びクランプ)によるEUV及びIR放射の吸収、並びに冷却ガスの連続流及び冷却チャネルを通る水の流れによるウェーハ(及びクランプ)の冷却によって引き起こされる。フィンガープリントは、設計レイアウト、ルーティング(ウェーハにわたるスキャン動作の特定のパターン)、幾つかの機械に特有のパラメータ(例えば、放射がIR/EUVであるか、放射源パワー、クランプから冷却水への熱伝達係数、接線バール剛性など)、及び他の製品に特有のパラメータ(例えば、製品スタック、ウェーハコーティング、レチクル透過パラメータ、ドーズなど)に依存する。
[000137] オーバーレイ及びフォーカスフィンガープリントを予測するために、物理的シミュレーションモデルの幾つかのバージョンが開発されている。これらのウェーハ加熱補正モデルは、上述のパラメータを入力として利用し、スキャナの作動により、ウェーハ加熱効果の補償及び補正を行うためにフィードフォワード信号を調整することによって、オーバーレイ及びフォーカスの影響を軽減するのに役立つ。
[000138] 一般的なウェーハ加熱補正モデルは、入力熱負荷を推定し、ウェーハ及びクランプの温度の発展を計算する。これは、ウェーハ(及びクランプ)の熱機械変形を推定するため、最終的には、(例えば、関連のパラメータを予測することによって)オーバーレイ及びフォーカスの両方に対する影響を予測するために使用される。次に、必要とされるスキャナ作動フィードフォワード信号調整を決定するために、露光ごとの補正(CPE(correction per exposure))が使用される。
[000139] 最も有意義に、物理学ベースの(例えば、物理的)ウェーハ加熱制御モデルの正確なバージョンは、ウェーハ当たり数秒~数時間のささいではない計算コストを有する。さらに、物理的モデルウェーハ加熱制御シミュレーションからの予測は、場合によっては、約2nmずれ得る。
[000140] 例えば、インラインウェーハ加熱フィードフォワード補正(WHFF(inline wafer heating feedforward correction))動作では、所与の動的に変化する熱負荷に関して、熱微分方程式が解かれ、ウェーハの対応する機械的変形は、大きな変形行列が事前に計算される、高価な行列の乗算により得られる。このいわゆるC行列は、バール(例えば、ウェーハサポート)剛性に依存し、約9k×12kの寸法を有する。ウェーハごとに新しい/異なる計算が必要とされる。
[000141] 別の例として、ウェーハ加熱較正モデルに関して、高及び低透過レチクルの組み合わせを使用して、所定のルーティングを有する固定のレイアウトに対して露光が行われる。このテストは、オーバーレイデータを使用して、機械及びクランプに特有の物理的モデルパラメータを決定しようと試みる。これは、熱的発展とウェーハ変形の関係の決定に役立ち、この関係は後に、インラインウェーハ加熱フィードフォワード補正において使用される。これらの機械及びクランプに特有のパラメータは、露光オーバーレイデータを使用して、最小二乗最適化によって得られる。この最適化は、計算コストが高く、最適なパラメータに収束するために、30分以上かかることが多い。
[000142] 第3の例として、ウェーハ加熱較正モデルの精度の向上は、より多くのプロセス物理特性を詳述することによって、及び/又はFEMシミュレーションにおけるより良い数値分解能によって達成され得る。これは、シミュレーションの計算費用を大幅に増加させる。
[000143] 本機械学習モデルは、物理的モデルを用いたウェーハ加熱補正をモデル化するためのサロゲートモデル化技術として使用されてもよい。これは、図9に示される。図9は、一連の(900)制御入力パラメータ900a…900n(例えば、ドーズ、EUV又はIR放射の指定、設計レイアウト、ルーティング、バール剛性など)、及び物理的モデル908を使用する代わりに、ウェーハ加熱補正(例えば、EUVウェーハ加熱オーバーレイフィンガープリント)906をモデル化するためのサロゲート(904)モデル化技術として本機械学習モデル902を使用することを示す。物理的モデル908は、高精度の予測用に構成される場合、計算コストが高くなり得る。対照的に、機械学習モデル902は、比較的計算コストが安く、この物理的モデルと比較して、類似した、又はより良い精度を提供する。本機械学習モデルは、物理的モデルと比較して、モデル化速度及び精度の向上を可能にし、モデル化性能をさらに向上させ、及び微調整するための幾つかの可能性を開く。
[000144] 本機械学習モデルは、動作するための計算コストが大幅により安くありながら、物理的モデルの挙動を可能な限り厳密にエミュレートするように訓練される。本機械学習モデルは、上記のように、物理的モデルによって生成されたシミュレーションデータ、及び/又は実際のプロセスデータを使用して訓練されるため、本機械学習モデルは、依然として、物理学に準拠した手法である。この例では、機械学習モデルは、制御入力(パターニングプロセスパラメータ)と制御出力(例えば、この例では、オーバーレイ/フォーカス予測)の関係を学習することによって、ウェーハ加熱問題の関連の物理特性を学習する。
[000145] 有利に、機械学習モデルは、非常に広範な制御入力及び出力を忠実に複製するように訓練され得る非常に柔軟なモデル化手法を提供する。同時に、機械学習モデルからの予測が、非線形(作動)関数によって変調される(多数の)行列計算を伴うため、制御出力の生成は、一般的に、物理的モデルの計算コストのほんの一部で達成される。要約すると、機械学習手法は、そのようなモデル(関係)の非常に大きな類に対して、入力-出力関係の非常に高速な計算を提供する。
[000146] 上記の通り、幾つかの実施形態では、機械学習モデルは、多くの隠れ層を有する人工ニューラルネットワーク(ANN)でもよく、及び/又はそのような人工ニューラルネットワーク(ANN)を含んでもよい。そのようなネットワークのアーキテクチャ(すなわち、層の数、各層のノードの数、層間の接続など)は、問題(例えば、この例では、ウェーハ加熱補正)に応じて決定される。機械学習モデルがウェーハ加熱物理特性を正確に予測することを確実にするために、機械学習モデルは、少なくとも部分的に物理的モデルを使用して生成された、多くのシミュレートした訓練制御入力/出力ペア例を使用して訓練されてもよい。訓練制御入力/出力ペアは、空間充填ランダム設計(すなわち、パラメータ空間に大きなギャップが存在しない)において、関連のパターニングプロセスパラメータ空間にわたり生成される。
[000147] 一旦訓練されると、機械学習モデルからの予測は、それらの予測を物理的シミュレーションからの予測と比較することによって、同じパラメータ空間上でランダムに選ばれた点にわたり検証され得る(例えば、上記のように-図7に示される動作710を参照)。
[000148] 上記の通り、機械学習モデルを訓練するプロセスは、2つの主なステップ:少なくとも部分的に物理的シミュレーションに基づいて生成された制御入力/出力ペアを使用して機械学習モデルを最初に訓練すること、及びモデルパラメータを向上させるために(例えば、モデル予測をさらにより正確にするために)モデルの更新/微調整/再訓練を行うことを含む。訓練/更新/微調整/再訓練は、オフライン(例えば、高性能コンピューティングプラットフォーム及び/又はクラウドコンピューティングプラットフォーム(例えば、Google cloud)を利用する)、オンライン、又はオフライン及びオンラインの組み合わせでもよい。幾つかの実施形態では、更新/微調整/再訓練は、製造環境からの実際のパターニングプロセスデータ及び/又は他のデータを使用して行われ得る。
[000149] 製造環境に特有の(例えば、ウェーハインゴット、レジスト、コーティング特性など)ウェーハ加熱問題の幾つかの態様は、十分に知られていないかもしれず、又は製造環境外では共有不可能な可能性がある(例えば、顧客は、データをサプライヤ及び/又は他の顧客と共有したくない可能性がある)。加えて、ウェーハ加熱問題に関連する少なくとも幾つかの物理特性は、複雑すぎて、高い精度で十分よくシミュレートすることができない可能性がある(したがって、実際のプロセスデータが機械学習モデルの訓練に役立つ)。
[000150] 幾つかの実施形態では、機械学習モデルの更新/微調整/再訓練を行うために、転移学習が使用されてもよい。転移学習は、機密情報を共有することなく、正確なモデル化を容易にする。例えば、本機械学習モデルは、人工ニューラルネットワークの最後の数層に対応するモデルパラメータのみが、(例えば、シミュレートした物理的関係をモデル化する)機械学習モデルの他の層に対応するモデルパラメータは一定に保たれたままで、実際の(例えば、ローカルな)プロセスデータを使用して(例えば、更新/微調整/再訓練のために顧客に送られた機械学習モデルを使用して)訓練されるように構成され得る。
[000151] 幾つかの実施形態では、機械学習モデルの更新/微調整/再訓練を行うために、連合学習が使用されてもよい。(例えば、モデルの訓練を目的としてモデルサプライヤ/プログラマが使用するために)実際のプロセスデータが中央場所にアップロードされる手法とは対照的に、連合学習は、モデルサプライヤ/プログラマと、その顧客との間で、ローカル顧客データに関して訓練されるモデルのパラメータのみを交換しようとする。複数の顧客にわたる、スキャナの広いベースにわたる連合学習は、(例えば、本明細書に記載されるように訓練された機械学習モデルにより)スキャナ性能の有意な向上を可能にするために十分なデータを生成する。
[000152] 幾つかの実施形態では、転移及び/又は連合学習は、リモート場所(例えば、顧客サイト)にある仮想コンピューティングプラットフォームを使用してもよい。仮想コンピューティングプラットフォームは、大量製造環境(HVM)で使用されるファブアプリケーション(fab application)の採用をサポート及び促進するスケーラブル及び高可用性ビッグデータ使用可能ソフトウェアプラットフォームである。これは、仮想コンピューティングプラットフォームを使用して、リモート場所での機械学習モデルの再訓練を容易にする。仮想コンピューティングプラットフォームを使用することによって、微調整されたモデルの性能は、さらにモニタリングすることができ、必要であれば、(例えば、顧客プロセスの変更、ウェーハテーブル交換動作などからの)新しいローカルデータを用いて再訓練されてもよい。同様に、連合学習手法の場合、機械学習モデルの関連パラメータは、顧客と交換されてもよく、及び仮想コンピューティングプラットフォームにおいて顧客データに関して訓練されてもよい。その後、更新されたパラメータが、モデルサプライヤ/プログラマと再び共有されてもよい。
[000153] 図10は、転移及び/又は連合学習、並びに仮想コンピューティングプラットフォーム1007を使用して、本機械学習モデル1005を訓練すること(1001)(例えば、図7に示される動作702)及び更新/微調整/再訓練すること(1003)(例えば、図7に示される動作710)を示す。図10は、ウェーハ加熱補正(例えば、EUVウェーハ加熱オーバーレイフィンガープリント)1011をモデル化するために機械学習モデル1005に制御入力パラメータ1009を提供することを含む訓練1001を示す。これは、モデルプロバイダ/プログラマに関連するサイト1013(例えば、顧客製造環境に対してリモート若しくは外部サイト)で、及び/又は他の場所で起こり得る。モデル1005は、本明細書に記載されるような仮想コンピューティングプラットフォーム1007を用いて、ローカル顧客製造環境1015で更新/微調整/再訓練(例えば、パーソナライズ)されてもよい(1003)。更新/微調整/再訓練1003は、ローカルスキャナデータ1121、ローカルメトロロジデータ1123(例えば、ウェーハ加熱制御残差)、及び/又は他のローカル情報に基づいて行われてもよい。図10に示されるように、幾つかの実施形態では、機械学習モデルの更新/微調整/再訓練を行うために、転移及び/又は連合学習が使用されてもよい。仮想コンピューティングプラットフォームを使用することによって、機械学習モデルの関連パラメータ(例えば、より深い層1127とは対照的に、出力層に最も近い層1125に関連するパラメータ)が、仮想コンピューティングプラットフォームにおいて、及び/又は他のコンピューティング資源を用いて、ローカル顧客データに関して訓練されてもよい。
[000154] 上記の例ではウェーハ加熱が説明されたが、レチクル加熱及び/又は他のパターニングプロセス装置コンポーネントの加熱の正確なモデル化のために、同じ又は類似の原理が適用され得ることに留意されたい。
[000155] 実施例3-動的レンズ加熱
[000156] 動的レンズ加熱モデルは、一般的に、レンズタイプに基づいてレンズ加熱を予測するために使用される物理的モデルである。動的レンズ加熱モデルは、対応するレンズタイプを有するスキャナに関する所与の熱負荷を有する所与の使用事例に関して、生のレンズ加熱パラメータ(例えば、ミュー、タウ、及び/又は他のパラメータ)をシミュレートするために使用されてもよい。時間を経たフィールド位数ごとのシミュレートした収差は、ミュー及びタウパラメータによってパラメータ化することができる。幾つかの実施形態では、ミューパラメータは、レンズが飽和したときに、レンズ加熱がどのくらい大きくなり得るかを示唆するスケーリングファクタである。タウパラメータは、どのくらい速くレンズが加熱又は冷却され得るかを示唆する時定数である。幾つかの実施形態では、他の生のレンズ加熱パラメータがシミュレートされ、ゼルニケごとに、及びフィールド位数ごとにミュー/タウ値にフィットされてもよい。これらのミュー/タウ値は、露光中のレンズ加熱効果を補償するためにスキャナレンズ加熱フィードフォワード制御に使用することができる。正確な物理的動的レンズ加熱モデルは、(EUV)レンズ加熱が高度に非線形であるという事実を含む多くの理由から、計算コストが高い。
[000157] 対照的に、本機械学習モデルは、比較的計算コストが安価である。本機械学習モデルは、レンズ加熱パラメータ予測精度を向上させるため、さらなるレンズ加熱物理的モデルの開発のために必要とされる開発時間を減少させるため、及び/又は他の目的のために使用され得る。本明細書に記載される通り、機械学習モデルは、シミュレートした、及び/又は実際の訓練データ(例えば、対応する訓練制御入力及び出力)を用いて訓練され、その後、パターニングプロセスからの実際のデータ、及び/又は他の情報を用いて更新/微調整/再訓練されてもよい。この例では、訓練データは、正確な動的レンズ加熱パラメータモデル化(例えば、そのようなパラメータに対する、及び/又はそのようなパラメータに基づく調整をモデル化することを含む)に合わせて作られてもよい。
[000158] この例では、物理的動的レンズ加熱解析器(DyLHan(Dynamic Lens Heating Analyzer))モデルを用いて、訓練データが生成されてもよい。独自のDyLHanモデルが利用可能なレンズタイプの場合、訓練データは、そのDyLHanモデルを用いて生成され得る。独自のDyLHanモデルが較正されないレンズタイプの場合、訓練データは、類似のレンズ設計を有する別のレンズタイプの既知のDyLHanモデルを用いて生成されてもよい。レンズタイプが同じ複数のレンズに関して、レンズ間変動が考慮される場合は、DyLHan+レンズに特有の較正(LSC(lens specific calibration))モデルを用いて、レンズに特有のデータが生成されてもよい。
[000159] この例では、シミュレーションにより生成された訓練データは、ベースラインDyLHanモデルによく似るように機械学習モデルを事前訓練するために使用されてもよい。幾つかの実施形態では、回折パターン画像(及び/又は回折パターン画像に関係するパラメータ)が、機械学習モデル制御入力として使用されてもよく、機械学習モデルは、(以下に記載するように使用され得る)ミュー/タウパラメータ値、他のパラメータ、及び/又はそのようなパラメータに対する調整を出力してもよい。幾つかの実施形態では、回折パターン画像及び履歴レンズ加熱シーケンス(及び/又はこのデータに関係するパラメータ)が、入力として使用されてもよく、機械学習モデルは、生のレンズ加熱挙動パラメータ、ミュー/タウパラメータ、他のパラメータ、及び/又はそのようなパラメータに対する調整を出力してもよい。この例では、機械学習モデルは、ディープ畳み込みニューラルネットワーク、リカレントニューラルネットワーク、及び/又は他のニューラルネットワークの1つ又は複数を含み得る。
[000160] 幾つかの実施形態では、転移学習を行って、訓練された機械学習モデルを微調整するために、自動化レンズ加熱較正データ(例えば、実際のパターニングプロセスからのインライン測定データ)が使用されてもよい。本明細書に記載される通り、これは、モデル予測と測定現実の整合を向上させる。幾つかの実施形態では、単一のパターニングプロセス装置(例えば、スキャナ)からの測定データが、その特定のパターニングプロセス装置に関するモデルを微調整するために使用されてもよい。幾つかの実施形態では、複数のパターニングプロセス装置(例えば、複数のスキャナ)からの測定データが、機械学習モデルを微調整するために使用されてもよい。レンズ間変動が考慮される場合は、レンズに特有のデータが、DyLHan+レンズに特有の較正(LSC)モデルを用いて生成され、微調整に使用されてもよい。
[000161] 非限定例として、図11は、ベースライン動的レンズ加熱解析器モデル1100によく似るように本機械学習モデル1104を訓練するために、シミュレーションにより生成された訓練データ1102がどのように使用され得るか、及び転移学習を行って、訓練された機械学習モデルを微調整する(1108)ために、自動化レンズ加熱較正データ1106(例えば、実際のパターニングプロセスからのインライン測定データ)がどのように使用され得るかを示す。第2の非限定例として、図12は、ディープ畳み込みニューラルネットワーク1200として形成される本機械学習の一例を示す。図12に示されるように、ミュー/タウ1204によって(例えば、モデルによって出力されるミュー/タウパラメータを用いて)パラメータ化された、フィールド位数ごとのレンズ加熱挙動に回折パターン画像1202をマッピングするために、ディープ畳み込みニューラルネットワークモデルが使用されてもよい。ニューラルネットワーク1200は、機械学習モデルが本明細書に記載されるように機能することを可能にする、任意の数の層1206及び/又は層ごとの任意の数のノード(例えば、図12の各層に示されるように)を有し得る。
[000162] 幾つかの実施形態では、訓練された機械学習モデルは、レンズ加熱制御調整を含む制御出力を生成するために使用されてもよい。これは、レンズ加熱に関連する費用関数の1つ若しくは複数のパラメータの調整、及び/又は他の制御出力を含み得る。一例として、制御出力は、スキャナのレンズにおける屈曲波加熱要素を制御することに関連する1つ若しくは複数のパラメータの調整、及び/又は他の調整を含み得る。幾つかの実施形態では、そのような制御出力を決定することは、レンズ加熱に関連するミュータウパラメータ値、レンズ加熱フィードフォワード時間系列、及び/又はレンズ加熱フィールド時間系列を予測すること、ミュータウ値、レンズ加熱フィードフォワード値、及び/又はレンズ加熱フィールド値に基づいてレンズ加熱費用関数を決定すること、レンズ加熱費用関数に基づいて、機械学習モデルパラメータの重みを決定すること、機械学習モデルによるレンズ加熱予測に基づいてレンズ加熱制御調整を決定すること、及び/又は他の動作を含む。幾つかの実施形態では、費用関数は、機械学習モデルの重みを決定するために使用される。モデル訓練が収束した後に、最終モデル予測に基づいて、微調整が行われる。
[000163] 例えば、図13は、ミュータウ値、及び/又はレンズ加熱フィードフォワード(LHFF(lens heating feed forward))値に基づいて、レンズ加熱費用関数を決定することを示す。この例では、機械学習モデルは、個々のゼルニケ/フィールド位数組み合わせに関するミュー/タウパラメータ値を予測するように構成される。ミュータウ及び/又はレンズ加熱フィードフォワードベースの費用関数は、フィッティングアーチファクトの影響を緩和するために実装され得る。例示的初期関数が、図13のボックス1300に示される。この例は、50個のウェーハに関する合計100の時間ステップ(ウェーハ露光の始まりと終わりを考慮に入れる)を有するパターニングプロセスの一部に基づいて生成されたものである。この方程式では、LHFFは、予測されたレンズ加熱フィードフォワード制御信号を表し、Zn_mは、スリットにわたるフィールド場所を通るZn係数(n番目のゼルニケ多項式)から分解された各フィールド位数を表す。例えば、Z5_0、Z5_1、Z5_2、及びZ5_3は、フィールド場所を通るZ5から分解された、オフセット、傾斜、曲率、及び第3の位数を表す。Trueは、物理的(例えば、動的レンズ解析器)モデルから計算されたグラウンドトゥルースを表す。Predは、機械学習レンズ加熱モデルからの予測を表す。Startは、各ウェーハ露光の開始を表す。Endは、各ウェーハ露光の終了を表す。LHFF費用における予測されたLHFFは、mu、mu、tau、及びtauの陽関数として表すことができる。この方程式は、図13の参照番号1302に示される。幾つかの実施形態では、LHFFマッピングのミュー、タウの縮退性は、ミュー/タウベースの費用関数に関する機械学習モデルの準最適訓練をもたらし得る。幾つかの実施形態では、重み係数が費用関数に加えられてもよく、オリジナルのミュー/タウベースの費用項に対して、LHFF費用項を重み付けするように調整されてもよい。これは、図13の参照番号1304、1306、及び1308によって示される。具体的には、ミュー/タウを出力として、LHFF項に関する最適な重みを有する、ミュー/タウベースの費用関数に勝るハイブリッド費用関数が、モデルエラーを効果的に減少させるために、式:
Costtotal(Zn_m)=Costmu_tau(Zn_m)+w?CostLHFF(Zn_m)
に従って導入され、式中、Cost mu tau (Z n m)は、予測されたミュータウ値に関連する費用であり、wは、重み項であり、CostLHFF (Z n m)は、レンズ加熱フィードフォワード制御信号に関連する費用である。幾つかの実施形態では、モデルは、リカレントニューラルネットワーク手法を直接使用して、LHFFフィールド位数時系列、又は生のLHFFフィールドデータスリット通過時系列を予測するように構成されてもよい。この実施形態では、費用関数は、例えば、第2項(純粋なLHFF項)のみを含む。
[000164] 上記の例ではレンズ加熱が説明されたが、ミラー加熱、レチクル加熱、及び/又は他のパターニングプロセス装置コンポーネントの加熱の正確なモデル化のために、同じ又は類似の原理が適用され得ることに留意されたい。
[000165] 別の例では、レチクル加熱起因外乱の(フィードフォワード)制御に使用されるパラメータを最適化する方法が開示される。
[000166] 現在のところ、パターニングデバイス(レチクル)の加熱誘発変形を補正して、上記加熱誘発変形による潜在的なオーバーレイエラーを軽減するために、フィードフォワード制御機構が適所に配置される。現在の方法は、レチクルの加熱履歴、及びさらなるレチクルの使用状況(例えば、レチクルの透過、露光シーケンス中にレチクルを照明する光点の強度、及びレチクルを照明する光点のサイズ(フィールドサイズ))に基づいてちょうどよいときに、所定のレチクル変形モードの予想発展に基づいてフィードフォワード機構を使用する。ジオメトリ(平面内)変形モードは、例えば、対象レチクルにとって関係のある状況にさらされる対象レチクルの露光シーケンスの有限要素法(FEM)ベースのシミュレーションを行うことによって決定され得る加熱誘発変形ジオメトリの基本モードとして解釈され得る。基本的に、現在のレチクル加熱フィードフォワード補正機構は、レチクル加熱プロセスの物理的(FEM)モデル化によって得られる変形モードに基づく。フィードフォワード補正フレームワークは、各変形モードの時間依存挙動に関係する(出力)パラメータ(例えば、各変形モードに関するタウ/ミュー値及び重み係数)をさらに含み得る。物理的モデルの出力パラメータに基づいて、リソグラフィ装置は、レチクル加熱効果を考慮して露光プロセスを制御し得る。
[000167] 実際には、上記物理的モデルベースのレチクル加熱変形モードの精度は、物理的モデルパラメータ、レチクル加熱履歴に関する不確実性、及び仮定したコンテキストパラメータ(フィールドサイズ、光強度、レチクル透過)の精度の制限により限定されることが観察されている。加えて、初期温度のようなレチクルの初期状態は、分かっていないことが多く、レチクル加熱プロセスの物理的モデル化の精度を大きく制限し得る。これらのファクタのすべては、決定されたレチクル加熱変形モードの精度を大きく低下させ、その結果として、(1つ又は複数の露光シーケンス中の)推定されるレチクル加熱誘発変形発展の精度を低下させ得る。後者は、フィードフォワード補正機構が導入された不正確さに悩まされるため、オーバーレイ精度を大きく損ない得る。
[000168] 上述の不正確さ問題の解決策の1つは、物理的にモデル化された変形発展を補うデータ駆動法の含有でもよい。そのようなデータの一例は、(一般的にレチクルの露光に使用されるリソグラフィツールに関連する)基準座標系に対するレチクル上の選択されたメトロロジマークの位置データを含む、実際に測定されたレチクルアライメント(RA(Reticle Align))データでもよい。十分に長い露光シーケンス中の複数の時間間隔でRAデータを取得することは、特定のレチクル及び特定のコンテキスト(パラメータセット)に関連するレチクル加熱誘発変形モードの実際の発展及び実際のジオメトリに関する詳細な(実験的に、経験的に)洞察を提供し得る。
[000169] 本文書で提案される上記データ駆動法の実装は、機械学習(ML)モデルの使用を含む。物理的モデルと一緒に、(例えば、オートエンコーダ又は敵対的生成ネットワーク(GAN(Generative Adversarial Network)構成による、ニューラルネットワーク(NN)ベースの)。
[000170] 提案される構成では、物理的モデルは、初期モデル(始点)として使用され、物理的モデルはさらに、物理的にモデル化されたレチクル加熱挙動(例えば、変形モード)、及び測定されたたレチクル加熱挙動間の一貫性を確実にするために、上記RAデータを使用したモデルパラメータの微調整に基づいてもよい。
[000171] MLモデルに関して、機械学習ベースのモデル化の一部は、NNベースのジェネレータ/オートエンコーダアルゴリズムに対する入力を提供するフィーチャ空間の定義である。NNベースのアルゴリズムは、履歴フィーチャ及びレチクル加熱パラメータデータの(限られた)一セットに対して訓練され、レチクル加熱パラメータは、例えば、複数の変形モード、レチクル加熱プロセスに関連する1つ若しくは複数の時定数、又は加熱誘発レチクル変形を特徴付ける任意の他のパラメータである。物理的モデル及びMLモデルは共に、レチクル加熱パラメータデータを生成している。物理的モデルベースのパラメータデータ及びMLベースのパラメータデータの両方が、RA、又はレチクル加熱誘発レチクル変形を示す任意の他のメトロロジデータ(ウェーハアライメント、ウェーハオーバーレイ、レチクルアライメントデータ)と比較される。
[000172] この比較は、一般的に、弁別器モデルによって行われ、弁別器モデルは、物理的モデルベースのパラメータ予測又はMLベースの予測のどちらがメトロロジデータベースのレチクル変形データに対してより一貫性を有するかを決定する。弁別器モデルは、ニューラルネットワーク、又は物理的モデルデータの入力に基づいて、レチクル加熱に基づくレチクル変形(パラメータ)を予測するように構成された別のモデルでもよい。弁別器モデルは、例えば、履歴RA及び物理的モデルベースのレチクル変形予測データを用いて訓練されてもよい。
[000173] 図14は、本発明のある実施形態を図示する。第1のデータ102が取得され、データ102は、好ましくは、レチクル加熱誘発パターン配置変動(ウェーハ間、フィールド間)を受けるウェーハの1つ又は複数のロットを代表する。任意選択的に、第1のデータ102は、その目的を代表しないデータを含み、特定の関連のレチクル加熱挙動に関連したデータだけが選択されることを確実にするために、データ選択ステップ104が行われる。ステップ104は、例えば、低温のレチクル(例えば、レチクルは、ロットの露光が開始される前に、特定の必要とされる最低レベルにまで冷却されている)から開始されたウェーハのロットからのデータのみを選択することでもよい。任意選択のデータ準備ステップ104の後に、測定されたレチクル加熱誘発レチクル変形データ(例えば、レチクルアライメント測定ベース)、及び一般的にレチクル加熱挙動のフィードフォワード予測のための入力として使用されるコンテキストパラメータ106の両方を含む代表データセットが、利用可能である。コンテキストパラメータ106の例は、ロットの露光時間、対象レチクルの透過、リソグラフィツールによって照明されるレチクル上のエリアのサイズ、及びレチクルの上記照明中に使用される放射の強度である。
[000174] コンテキストパラメータ106は、物理的モデル112への入力として、及び機械学習モデル134への訓練入力として機能する。機械学習モデル134は、敵対的生成ネットワーク(GAN)又はエンコーダ-デコーダベースフレームワーク内のジェネレータとして構成されたニューラルネットワークでもよい。物理モデル112は、加熱特性及び加熱履歴がコンテキストパラメータ106によって表されるような構成に対応することを前提として、レチクル加熱誘発変形をモデル化するように構成される。モデル化は、一般的に、有限要素モデル化(FEM)に基づき、加熱によるレチクルの面内変形の1つ又は複数のジオメトリを表す変形の1つ又は複数のモードを届ける。より一般的に、物理的モデルは、レチクル(面内)変形を表すパラメータを導出することによって、レチクル加熱誘発変形を特徴付けるために使用される。
[000175] 機械学習モデル134は、データセット102内に含まれる様々なコンテキストパラメータ値が投影される潜在空間を定義するために、コンテキストパラメータ106を使用する。次に、上記コンテキストパラメータ106は、潜在空間内に示され、次にニューラルネットワーク(例えば、エンコーダ-デコーダフレームワーク内のデコーダネットワーク又はジェネレータである)によって、レチクル加熱誘発レチクル変形を特徴付ける1つ又は複数のパラメータにマッピングされる(132)。1つ又は複数のパラメータは、例えば、先述のジオメトリ変形モードでもよい。
[000176] モデル134の訓練中に、ステップ132で提供された予測パラメータが、弁別器モデル(コンパレータ)122によって、物理的モデル112によって取得されたパラメータと比較される。弁別器モデル122は、例えば、両モデルによって提供された変形モードをデータセット102内に含まれる測定データ(例えば、レチクルアライメント測定から得られた変形データ)と比較することによって、機械学習ベースパラメータ又は物理的モデルベースパラメータのどちらがレチクル加熱挙動を最も正確に表すかを評価する。弁別器122はさらに、パラメータ、好ましくは、レチクル変形モードを将来使用するために参照され得るライブラリ142に保存するように構成され得る。
[000177] 弁別器122はさらに、予測132が物理的モデル112ベースの予測に引けを取らない場合には、機械学習モデル134に報酬を与えるように構成されたエージェントとして機能するように構成されてもよい。最初は、物理モデル112ベースの予測が、より正確であるが、モデル134の十分な訓練後は、バランスが、機械学習ベースの予測132へと徐々に移行することが見込まれる。機械学習ベースの予測は、多くの場合、時間的により包括的になるにつれて、予測挙動がより良くなることが観察される(例えば、コンテキストパラメータ及び物理的モデルによって正確にモデル化されないことが多い他の環境パラメータの微妙な変化に起因する挙動を取り出す能力がより備わっている)。
[000178] ある実施形態では、データ102は、コンテキストパラメータデータ106及び観察された加熱挙動を表す測定データの両方を含む。データ102及びコンテキストパラメータ106は、(リソグラフィパターニングプロセスなどの)半導体製造プロセスの動作中に、連続的に供給され得る。動作中に、機械学習モデル134の連続的訓練が、(レチクル、レンズ、又は基板加熱などの)加熱挙動の機械学習ベース(132)及び物理モデルベース(112)の特徴付けの連続的比較122と組み合わせられる。両モデルに対する入力は、データ102内に含まれるコンテキストパラメータデータである。機械学習モデルは、データ102に基づいて連続的に訓練される。機械学習モデルは、それが物理モデル112よりも正確な予測加熱挙動を提供する場合には、比較ステップ122を行うエージェントから報酬を受け取る。
[000179] モデル134の十分な訓練後に初めて、機械学習フレームワーク132、134の性能が物理的モデル112を上回る。上記十分な訓練後に、物理モデルベースの加熱予測に依存する代わりに、変形モードなどの所望のパラメータを提供するために、機械学習ベースの予測132を行うモデルによって、新しいコンテキストデータ106が直接使用され得る。
[000180] 機械学習モデルの十分な訓練後でさえ、一般的に、それは、新しく提供される加熱関連データ、コンテキストデータ、及び必要に応じて物理的モデルによって生成されたデータに対して訓練され続け、したがって、一般的に、提案した機械学習実装は、連続的に訓練される実装である。
[000181] 加熱挙動に加えて、機械的ドリフト、摩耗効果、低速振動、又は性能パラメータの時間依存挙動を生じさせる任意の他の効果などの他のドリフト関連現象も、上記のようなモデル化フレームワークに従ってモデル化され得る。
[000182] ある実施形態では、命令を有する非一時的コンピュータ可読媒体であって、命令が、コンピュータによって実行されると、プロセスの時間依存挙動に関連する測定パラメータデータ、及び時間依存挙動中のプロセスの状態に関連するコンテキストデータを受け取ることと、プロセスの物理的モデルにコンテキストデータを入力することに基づいて、時間依存挙動を特徴付ける1つ又は複数のパラメータの第1の値を決定することと、履歴測定パラメータデータ及び履歴コンテキストデータに関して訓練された機械学習モデルにコンテキストデータを提供することによって、1つ又は複数のパラメータの第2の値を決定することと、1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定することと、1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、測定パラメータデータ及びコンテキストデータを使用して機械学習モデルを訓練することと、をコンピュータに行わせる、非一時的コンピュータ可読媒体が提供される。
[000183] ある実施形態では、1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定するための命令が、機械学習モデルのエージェントのごとく機能するように構成され、1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、エージェントが、機械学習モデルに報酬を与える。
[000184] ある実施形態では、1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定するための命令は、さらなる機械学習モデルとして実装される。
[000185] ある実施形態では、さらなる機械学習モデルは、上記機械学習モデルのエージェントであるように構成され、エージェントは、i)上記第1及び第2の値、並びに測定パラメータデータを入力として使用することと、ii)1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、機械学習モデルに報酬を与えることと、を行うように構成される。
[000186] ある実施形態では、機械学習モデル及びさらなる機械学習モデルは、ニューラルネットワークアーキテクチャに基づく。
[000187] ある実施形態では、機械学習モデルは、生成ブランチとして構成され、さらなる機械学習モデルは、敵対的生成ネットワーク(GAN)の弁別ブランチとして構成される。
[000188] ある実施形態では、機械学習モデルは、畳み込みニューラルネットワーク(CNN)、又はエンコーダ-デコーダベースモデルの一方を含む。
[000189] ある実施形態では、エンコーダ-デコーダモデルは、コンテキストデータを潜在空間にマッピングするように構成されたエンコーダ、及びマッピングされたコンテキストデータに基づいて、1つ又は複数のパラメータを再構築するように構成されたデコーダを含む。
[000190] ある実施形態では、測定パラメータデータ及び時間依存挙動は、エッチング装置又はリソグラフィ装置内の加熱誘発挙動に関連し、コンテキストデータは、リソグラフィ又はエッチング装置の状態及び/又は設定に関連する。
[000191] ある実施形態では、加熱誘発挙動は、リソグラフィ装置によって照明されるパターニングデバイスの加熱に関連し、1つ又は複数のパラメータは、リソグラフィ装置の状態及び/又は設定がコンテキストデータに対応する場合には、パターンデバイスの上記加熱により生じたパターニングデバイスのジオメトリ変形を特徴付ける。
[000192] ある実施形態では、1つ又は複数のパラメータは、ジオメトリ変形及びコンテキストデータに関連する1つ又は複数の変形モードを含む。
[000193] ある実施形態では、コンテキストデータは、プロセスを受ける基板の処理履歴、リソグラフィ装置によって照明されるパターニングデバイス上のエリアのサイズ、1つ又は複数の基板の処理中にパターニングデバイス上のエリアが受ける強度又はドーズ、パターニングデバイスの透過のうちの1つ又は複数を含む。
[000194] ある実施形態では、測定パラメータデータは、パターニングデバイスに提供される複数のフィーチャに関連する位置データを含む。
[000195] ある実施形態では、1つ又は複数のパラメータの第1の値は、少なくとも部分的に、履歴測定パラメータデータに基づく。
[000196] ある実施形態では、1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかに応じて、1つ又は複数のパラメータの第1又は第2の値をデータベース構造に保存するためのさらなる命令が提供される。
[000197] ある実施形態では、1つ又は複数のパラメータの第1の値又は第2の値のどちらかに基づいて、プロセスの実施で使用される装置を構成するためのさらなる命令が提供される。
[000198] ある実施形態では、装置は、リソグラフィ装置であり、プロセスは、半導体製造プロセスである。
[000199] ある実施形態では、測定パラメータデータを受け取るための命令は、対応するコンテキストデータに関する要件に基づいて、受け取った測定パラメータデータをフィルタリングする命令をさらに含み、機械学習モデルは、フィルタリングされた測定パラメータデータを使用して訓練される。
[000200] 上記のような機械学習フレームワークは、機械学習モデルと、上記機械学習モデルを訓練する際にエージェントとして機能する、さらなる機械学習モデルとを含み得る。訓練段階中に、機械学習フレームワークは、複数の動作条件に関連する複数の訓練制御入力に対応した訓練制御出力を受け取り得る。動作条件は、フィールドサイズ、強度、レチクル透過などのコンテキストデータ内に含まれてもよく、上記動作条件は、一般的に、リソグラフィプロセスを制御するためのリソグラフィ装置への入力として機能する。訓練制御出力は、プロセスの物理的モデル化、例えば、加熱時のレチクルの1つ又は複数のモデル化された変形モードに基づき得る。機械学習フレームワークは、(例えば、さらなる機械学習モデルを使用して)物理的モデルによって生成された、受け取った訓練制御出力と比較される複数の機械学習生成制御出力を(訓練制御入力を使用して)生成する。物理的モデルベースの制御出力に対する機械学習生成制御出力の比較は、これが機械学習モデルの訓練の向上を可能にするため、本発明の重要な態様である。
[000201] ある実施形態では、命令を有する非一時的コンピュータ可読媒体であって、命令が、コンピュータによって実行されると、制御入力を受け取ることであって、制御入力が、パターニングプロセスを制御するためのものであり、制御入力が、パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、訓練された機械学習モデルを用いて、制御入力に基づいて、パターニングプロセスの制御出力を生成することであって、機械学習モデルが、実際のプロセスデータから生成された訓練データを用いて訓練されたものであり、訓練データが、1)パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力であって、パターニングプロセスの複数の動作条件が、経時的なパターニングプロセスの動作条件に特有の挙動に関連する、複数の訓練制御入力と、2)訓練制御入力及び/又はパターニングプロセスの複数の動作条件に基づいて物理的モデルを使用して生成された訓練制御出力とを含む、生成することと、をコンピュータに行わせる、非一時的コンピュータ可読媒体が提供される。
[000202] ある実施形態では、訓練制御入力は、動作条件を含む。
[000203] ある実施形態では、訓練制御入力は、パターニングプロセスで使用されるレチクルの透過、レチクルの照明に使用されるリソグラフィ装置のフィールドサイズ、レチクルの照明に使用される放射の強度のうちの1つ又は複数である。
[000204] ある実施形態では、訓練制御出力は、パターニングプロセスの時間依存挙動を特徴付ける1つ又は複数のパラメータの値である。
[000205] ある実施形態では、リソグラフィ装置の状態及び/又は設定が動作条件に対応する場合には、1つ又は複数のパラメータは、レチクルの加熱によって生じるレチクルのジオメトリ変形を特徴付ける。
[000206] 以下の番号が付けられた条項のリストにおいて、さらなる実施形態が開示される。
1. 命令を有する非一時的コンピュータ可読媒体であって、命令が、コンピュータによって実行されると、
制御入力を受け取ることであって、制御入力が、パターニングプロセスを制御するためのものであり、制御入力が、パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、
訓練された機械学習モデルを用いて、制御入力に基づいて、パターニングプロセスの制御出力を生成することであって、機械学習モデルが、パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練されたものであり、訓練データが、1)パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力であって、パターニングプロセスの複数の動作条件が、経時的なパターニングプロセスの動作条件に特有の挙動に関連する、複数の訓練制御入力と、2)訓練制御入力に基づいて物理的モデルを使用して生成された訓練制御出力と、を含む、生成することと、をコンピュータに行わせる、非一時的コンピュータ可読媒体。
2. 経時的なパターニングプロセスの動作条件に特有の挙動が、経時的なパターニングプロセスにおけるドリフトを含む、条項1に記載の媒体。
3. 機械学習モデルが、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるようにさらに構成される、条項1又は2に記載の媒体。
4. 再訓練が微調整を含む、条項3に記載の媒体。
5. 制御入力が、パターニングプロセスをモニタリング及び/又は診断することに関連する、条項1~4の何れか一項に記載の媒体。
6. 機械学習モデルが、パラメータ化モデルを含む、条項1~5の何れか一項に記載の媒体。
7. 機械学習モデルが、人工ニューラルネットワーク、畳み込みニューラルネットワーク、及び/又はリカレントニューラルネットワークを含む、条項1~6の何れか一項に記載の媒体。
8. パターニングプロセスが、パターニングプロセス装置を用いて行われ、装置が、半導体リソグラフィ装置、光学メトロロジ検査ツール、又は電子ビーム検査ツールを含み、命令がさらに、少なくとも部分的に制御出力に基づいてパターニングプロセス装置を制御することをコンピュータに行わせる、条項1~7の何れか一項に記載の媒体。
9. 1つ又は複数のパラメータが、1つ又は複数のリソグラフィ装置、光学メトロロジ検査ツール、及び/又は電子ビーム検査ツールパラメータ、及び/又は関連のリソグラフィ及び/又は検査プロセスパラメータを含む、条項1~8の何れか一項に記載の媒体。
10. 制御入力が、パターニングプロセスで使用される1つ又は複数のパラメータを含む、条項1~9の何れか一項に記載の媒体。
11. 制御入力が、回折パターン画像、モーションセットポイント、又はウェーハ及び/又はレチクルのロードシーケンスを含む、条項1~10の何れか一項に記載の媒体。
12. 制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御に関連する1つ又は複数のパラメータの調整を含む、条項1~11の何れか一項に記載の媒体。
13. パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御が、1)スキャナのウェーハ及び/又はレチクルステージの移動を制御すること、2)スキャナのレンズにおける屈曲波加熱要素を制御すること、及び/又は3)瞳整形及び/又はフィールドディストーション制御で使用される可動ミラーなどのスキャナの1つ若しくは複数のミラーを制御することの一方又は両方による、スキャナの作動を制御することを含む、条項12に記載の媒体。
14. 制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントの熱膨張に関連する1つ又は複数のパラメータの調整を含む、条項1~13の何れか一項に記載の媒体。
15. 制御出力が、ウェーハ加熱制御調整、レチクル加熱制御調整、及び/又はミラー加熱制御調整を含む、条項14に記載の媒体。
16. 制御出力を決定することが、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ加熱制御調整を決定することと、を含む、条項15に記載の媒体。
17. 制御出力を決定することが、オーバーレイフィンガープリントを予測することを含み、ウェーハ加熱制御調整を決定することが、予測されたオーバーレイフィンガープリントに基づく、条項16に記載の媒体。
18. 制御出力が、レンズ加熱制御調整を含む、条項14に記載の媒体。
19. 制御出力を決定することが、
レンズ加熱に関連するミュータウパラメータ値、レンズ加熱フィードフォワード時間系列、及び/又はレンズ加熱フィールド時間系列を予測することと、
ミュータウ値、レンズ加熱フィードフォワード値、及び/又はレンズ加熱フィールド値に基づいて、レンズ加熱費用関数を決定することと、
レンズ加熱費用関数に基づいて、機械学習モデルパラメータの重みを決定することと、
機械学習モデルによるレンズ加熱予測に基づいて、レンズ加熱制御調整を決定することと、
を含む、条項18に記載の媒体。
20. 制御出力を決定することが、オーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントに基づいて、レンズ加熱制御調整を決定することと、を含む、条項18に記載の媒体。
21. 制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントのトライボロジー機械制御に関連した1つ又は複数のパラメータの調整を含む、条項1~20の何れか一項に記載の媒体。
22. 制御出力を決定することが、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ、レチクル、レンズ/ミラー調整を決定することと、を含む、条項21に記載の媒体。
23. パターニングプロセスからのシミュレートした、及び/又は実際のプロセス訓練データを用いて機械学習モデルを訓練することが、初期較正を含み、
機械学習モデルが、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるように構成され、
再訓練が、パターニングプロセスで経時的に生じるドリフトを考慮に入れるように構成された1つ又は複数のドリフト較正により微調整されるように機械学習モデルを構成することを含む、条項1~22の何れか一項に記載の媒体。
24. 訓練及び/又は更新が、オフライン、オンライン、又はオフライン及びオンラインの組み合わせで行われる、条項1~23の何れか一項に記載の媒体。
25. シミュレートした訓練データが、物理的モデルを使用して生成された複数の訓練制御入力及び対応する訓練制御出力ペアを含み、
機械学習モデルが、訓練制御入力に基づいて、予測制御出力を予測するように構成され、
機械学習モデルが、機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用するように構成され、1つ又は複数の構成が、訓練制御出力と予測制御出力の比較に基づいて更新される、条項1~24の何れか一項に記載の媒体。
26. 機械学習モデルが、
第1の更新モデルパラメータ値を決定するために機械学習モデルを用いて生産環境ローカルパターニングプロセスに関連するローカルな実際のプロセスデータを受け取ることと、
機械学習モデルに少なくとも部分的に外部訓練データを提供することによって得られる第2の更新モデルパラメータ値を受け取ることであって、外部訓練データが、パターニングプロセス装置間変動を示す、受け取ることと、
初期モデルパラメータ値を第1及び/又は第2の更新モデルパラメータ値で更新することによって機械学習モデルを調整することと、
を行うために構成されるように、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを微調整することによって経時的に更新されるように、コンピュータに機械学習モデルを構成させるように命令がさらに構成される、条項1~25の何れか一項に記載の媒体。
27. 調整が、経時的なローカルパターニングプロセスにおけるドリフトを考慮に入れる、条項26に記載の媒体。
28. パターニングプロセスの制御出力を生成する方法であって、方法が、
制御入力を受け取ることであって、制御入力が、パターニングプロセスを制御するためのものであり、制御入力が、パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、
訓練された機械学習モデルを用いて、制御入力に基づいて、パターニングプロセスの制御出力を生成することであって、機械学習モデルが、パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練されたものであり、訓練データが、1)パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力であって、パターニングプロセスの複数の動作条件が、経時的なパターニングプロセスの動作条件に特有の挙動に関連する、複数の訓練制御入力と、2)訓練制御入力に基づいて物理的モデルを使用して生成された訓練制御出力と、を含む、生成することと、
を含む、方法。
29. 経時的なパターニングプロセスの動作条件に特有の挙動が、経時的なパターニングプロセスにおけるドリフトを含む、条項28に記載の方法。
30. パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、機械学習モデルを経時的に更新することをさらに含む、条項28又は29に記載の方法。
31. 再訓練が微調整を含む、条項30に記載の方法。
32. 制御入力が、パターニングプロセスをモニタリング及び/又は診断することに関連する、条項28~31の何れか一項に記載の方法。
33. 機械学習モデルが、パラメータ化モデルを含む、条項28~32の何れか一項に記載の方法。
34. 機械学習モデルが、人工ニューラルネットワーク、畳み込みニューラルネットワーク、及び/又はリカレントニューラルネットワークを含む、条項28~33の何れか一項に記載の方法。
35. パターニングプロセスが、パターニングプロセス装置を用いて行われ、装置が、半導体リソグラフィ装置、光学メトロロジ検査ツール、又は電子ビーム検査ツールを含み、方法が、少なくとも部分的に制御出力に基づいてパターニングプロセス装置を制御することをさらに含む、条項28~34の何れか一項に記載の方法。
36. 1つ又は複数のパラメータが、1つ又は複数のリソグラフィ装置、光学メトロロジ検査ツール、及び/又は電子ビーム検査ツールパラメータ、及び/又は関連のリソグラフィ及び/又は検査プロセスパラメータを含む、条項28~35の何れか一項に記載の方法。
37. 制御入力が、パターニングプロセスで使用される1つ又は複数のパラメータを含む、条項28~36の何れか一項に記載の方法。
38. 制御入力が、回折パターン画像、モーションセットポイント、又はウェーハ及び/又はレチクルのロードシーケンスを含む、条項28~37の何れか一項に記載の方法。
39. 制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御に関連する1つ又は複数のパラメータの調整を含む、条項28~38の何れか一項に記載の方法。
40. パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御が、1)スキャナのウェーハ及び/又はレチクルステージの移動を制御すること、2)スキャナのレンズにおける屈曲波加熱要素を制御すること、及び/又は3)スキャナの1つ若しくは複数のミラーを制御することの一方又は両方による、スキャナの作動を制御することを含む、条項39に記載の方法。
41. 制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントの熱膨張に関連する1つ又は複数のパラメータの調整を含む、条項28~40の何れか一項に記載の方法。
42. 制御出力が、ウェーハ加熱制御調整、レチクル加熱制御調整、及び/又はミラー加熱制御調整を含む、条項41に記載の方法。
43. 制御出力を決定することが、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ加熱制御調整を決定することと、を含む、条項42に記載の方法。
44. 制御出力を決定することが、オーバーレイフィンガープリントを予測することを含み、ウェーハ加熱制御調整を決定することが、予測されたオーバーレイフィンガープリントに基づく、条項43に記載の方法。
45. 制御出力が、レンズ加熱制御調整を含む、条項41に記載の方法。
46. 制御出力を決定することが、
レンズ加熱に関連するミュータウパラメータ値、レンズ加熱フィードフォワード時間系列、及び/又はレンズ加熱フィールド時間系列を予測することと、
ミュータウ値、レンズ加熱フィードフォワード値、及び/又はレンズ加熱フィールド値に基づいて、レンズ加熱費用関数を決定することと、
レンズ加熱費用関数に基づいて、機械学習モデルパラメータの重みを決定することと、
機械学習モデルによるレンズ加熱予測に基づいて、レンズ加熱制御調整を決定することと、
を含む、条項45に記載の方法。
47. 制御出力を決定することが、オーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント、フォーカスフィンガープリント、及び/又はイメージングフィンガープリントに基づいて、レンズ加熱制御調整を決定することと、を含む、条項45に記載の方法。
48. 制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントのトライボロジー機械制御に関連した1つ又は複数のパラメータの調整を含む、条項28~47の何れか一項に記載の方法。
49. 制御出力を決定することが、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、ウェーハ、レチクル、レンズ/ミラー調整を決定することと、を含む、条項48に記載の方法。
50. パターニングプロセスからのシミュレートした、及び/又は実際のプロセス訓練データを用いて機械学習モデルを訓練することが、初期較正を含み、
機械学習モデルが、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるように構成され、
再訓練が、パターニングプロセスで経時的に生じるドリフトを考慮に入れるように構成された1つ又は複数のドリフト較正により微調整されるように機械学習モデルを構成することを含む、条項28~49の何れか一項に記載の方法。
51. 訓練及び/又は更新が、オフライン、オンライン、又はオフライン及びオンラインの組み合わせで行われる、条項28~50の何れか一項に記載の方法。
52. シミュレートした訓練データが、物理的モデルを使用して生成された複数の訓練制御入力及び対応する訓練制御出力ペアを含み、
機械学習モデルが、訓練制御入力に基づいて、予測制御出力を予測するように構成され、
機械学習モデルが、機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用するように構成され、1つ又は複数の構成が、訓練制御出力と予測制御出力の比較に基づいて更新される、条項28~51の何れか一項に記載の方法。
53. 機械学習モデルが、
第1の更新モデルパラメータ値を決定するために機械学習モデルを用いて生産環境ローカルパターニングプロセスに関連するローカルな実際のプロセスデータを受け取ることと、
機械学習モデルに少なくとも部分的に外部訓練データを提供することによって得られる第2の更新モデルパラメータ値を受け取ることであって、外部訓練データが、パターニングプロセス装置間変動を示す、受け取ることと、
初期モデルパラメータ値を第1及び/又は第2の更新モデルパラメータ値で更新することによって機械学習モデルを調整することと、
を行うために構成されるように、パターニングプロセスからの新しい実際のプロセスデータを用いて機械学習モデルを微調整することによって経時的に更新されるように機械学習モデルを構成することをさらに含む、条項28~52の何れか一項に記載の方法。
54. 調整が、経時的なローカルパターニングプロセスにおけるドリフトを考慮に入れる、条項53に記載の方法。
55. 機械学習モデルを訓練する方法であって、方法が、
パターニングプロセスをシミュレートすることによって訓練データを生成することであって、訓練データが、複数の訓練制御入力及び対応する訓練制御出力を含み、訓練制御入力が、パターニングプロセスに使用される1つ又は複数のパラメータを含み、訓練制御出力が、1つ又は複数のパラメータの調整を含む、生成することと、
予測制御出力を生成するために、訓練制御入力をベース機械学習モデルに提供することと、
ベース機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用することであって、1つ又は複数の構成が、
機械学習モデルが、新しい制御入力に基づいて新しい制御出力を生成するように構成されるように、
訓練制御出力と予測制御出力の比較に基づいて更新される、使用することと、
を含む、方法。
56. 機械学習モデルが、パターニングプロセスからの実際の、及び/又はシミュレートしたプロセスデータを用いて機械学習モデルを再訓練することによって、経時的に更新されるように構成される、条項55に記載の方法。
57. パターニングプロセスが、物理的モデルを用いてシミュレートされる、条項55に記載の方法。
58. 機械学習モデルが人工ニューラルネットワークである、条項55~57の何れか一項に記載の方法。
59. 命令を有する非一時的コンピュータ可読媒体であって、命令が、コンピュータによって実行されると、
複数の対応する動作条件に関するパターニングプロセスを制御するための複数の制御入力を受け取ることであって、制御入力のそれぞれが、パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、
1つ又は複数のパラメータの1つ又は複数の調整に関連する複数の制御出力を生成すること、又は受け取ることであって、複数の制御出力が、複数の動作条件を受けるパターニングプロセスの挙動のシミュレーションで使用される物理的モデルの出力に基づく、生成すること、又は受け取ることと、
受け取った複数の制御入力及び複数の生成された又は受け取った制御出力を入力することによって、新しい制御入力に対応する新しい制御出力を推論するように構成された機械学習モデルを訓練することと、
をコンピュータに行わせる、非一時的コンピュータ可読媒体。
60. 制御出力が、1つ又は複数のパラメータの調整を含む、条項1~54の何れか一項に記載の一時的コンピュータ可読媒体又は方法。
61. 命令を有する非一時的コンピュータ可読媒体であって、命令が、コンピュータによって実行されると、プロセスの時間依存挙動に関連する測定パラメータデータ、及び時間依存挙動中のプロセスの状態に関連するコンテキストデータを受け取ることと、プロセスの物理的モデルにコンテキストデータを入力することに基づいて、時間依存挙動を特徴付ける1つ又は複数のパラメータの第1の値を決定することと、履歴測定パラメータデータ及び履歴コンテキストデータに関して訓練された機械学習モデルにコンテキストデータを提供することによって、1つ又は複数のパラメータの第2の値を決定することと、1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定することと、1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、測定パラメータデータ及びコンテキストデータを使用して機械学習モデルを訓練することと、をコンピュータに行わせる、非一時的コンピュータ可読媒体。
62. 1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定するための命令が、機械学習モデルのエージェントのごとく機能するように構成され、1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、エージェントが、機械学習モデルに報酬を与える、条項61に記載のコンピュータ可読媒体。
63. 1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定するための命令が、さらなる機械学習モデルとして実装される、条項61又は62に記載のコンピュータ可読媒体。
64. さらなる機械学習モデルが、機械学習モデルのエージェントであるように構成され、エージェントが、i)第1及び第2の値、並びに測定パラメータデータを入力として使用することと、ii)1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、機械学習モデルに報酬を与えることと、を行うように構成される、条項63に記載のコンピュータ可読媒体。
65. 機械学習モデル及びさらなる機械学習モデルが、ニューラルネットワークアーキテクチャに基づく、条項63又は64に記載のコンピュータ可読媒体。
66. 機械学習モデルが、生成ブランチとして構成され、さらなる機械学習モデルが、敵対的生成ネットワーク(GAN)の弁別ブランチとして構成される、条項65に記載のコンピュータ可読媒体。
67. 機械学習モデルが、畳み込みニューラルネットワーク(CNN)、又はエンコーダ-デコーダベースモデルの一方を含む、条項61~66の何れか一項に記載のコンピュータ可読媒体。
68. エンコーダ-デコーダモデルが、コンテキストデータを潜在空間にマッピングするように構成されたエンコーダ、及びマッピングされたコンテキストデータに基づいて、1つ又は複数のパラメータを再構築するように構成されたデコーダを含む、条項67に記載のコンピュータ可読媒体。
69. 測定パラメータデータ及び時間依存挙動が、エッチング装置又はリソグラフィ装置内の加熱誘発挙動に関連し、コンテキストデータが、リソグラフィ又はエッチング装置の状態及び/又は設定に関連する、条項61~68の何れか一項に記載のコンピュータ可読媒体。
70. 加熱誘発挙動が、リソグラフィ装置によって照明されるパターニングデバイスの加熱に関連し、1つ又は複数のパラメータが、リソグラフィ装置の状態及び/又は設定がコンテキストデータに対応する場合には、パターンデバイスの加熱により生じたパターニングデバイスのジオメトリ変形を特徴付ける、条項69に記載のコンピュータ可読媒体。
71. 1つ又は複数のパラメータが、ジオメトリ変形及びコンテキストデータに関連する1つ又は複数の変形モードを含む、条項70に記載のコンピュータ可読媒体。
72. コンテキストデータが、プロセスを受ける基板の処理履歴、リソグラフィ装置によって照明されるパターニングデバイス上のエリアのサイズ、1つ又は複数の基板の処理中にパターニングデバイス上のエリアが受ける強度又はドーズ、パターニングデバイスの透過のうちの1つ又は複数を含む、条項70又は71に記載のコンピュータ可読媒体。
73. 測定パラメータデータが、パターニングデバイスに提供される複数のフィーチャに関連する位置データを含む、条項72に記載のコンピュータ可読媒体。
74. 1つ又は複数のパラメータの第1の値が、少なくとも部分的に、履歴測定パラメータデータに基づく、条項61~73の何れか一項に記載のコンピュータ可読媒体。
75. 1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかに応じて、1つ又は複数のパラメータの第1又は第2の値をデータベース構造に保存するための命令をさらに含む、条項61~74の何れか一項に記載のコンピュータ可読媒体。
76. 1つ又は複数のパラメータの第1の値又は第2の値のどちらかに基づいて、プロセスの実施で使用される装置を構成するための命令をさらに含む、条項61~75の何れか一項に記載のコンピュータ可読媒体。
77. 装置が、リソグラフィ装置であり、プロセスが、半導体製造プロセスである、条項76に記載のコンピュータ可読媒体。
78. 測定パラメータデータを受け取るための命令が、対応するコンテキストデータに関する要件に基づいて、受け取った測定パラメータデータをフィルタリングする命令をさらに含み、機械学習モデルが、フィルタリングされた測定パラメータデータを使用して訓練される、条項61~77の何れか一項に記載のコンピュータ可読媒体。
79. リソグラフィ装置のための制御入力として、1つ又は複数のパラメータの第1及び/又は第2の値を使用する命令をさらに含む、条項61~78の何れか一項に記載のコンピュータ可読媒体。
80. リソグラフィ装置の制御出力を予測するために1つ又は複数のパラメータの第1及び/又は第2の値を使用する命令をさらに含む、条項61~79の何れか一項に記載のコンピュータ可読媒体。
81. 方法であって、方法が、プロセスの時間依存挙動に関連する測定パラメータデータ、及び時間依存挙動中のプロセスの状態に関連するコンテキストデータを受け取ることと、プロセスの物理的モデルにコンテキストデータを入力することに基づいて、時間依存挙動を特徴付ける1つ又は複数のパラメータの第1の値を決定することと、履歴測定パラメータデータ及び履歴コンテキストデータに関して訓練された機械学習モデルにコンテキストデータを提供することによって、1つ又は複数のパラメータの第2の値を決定することと、1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定することと、1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、測定パラメータデータ及びコンテキストデータを使用して機械学習モデルを訓練することと、を含む、方法。
82. 1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定するための命令が、機械学習モデルのエージェントのごとく機能するように構成され、1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、エージェントが、機械学習モデルに報酬を与える、条項81に記載の方法。
83. 1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかを決定することが、さらなる機械学習モデルを使用する、条項81又は82に記載の方法。
84. さらなる機械学習モデルが、機械学習モデルのエージェントであるように構成され、エージェントが、i)第1及び第2の値、並びに測定パラメータデータを入力として使用することと、ii)1つ又は複数のパラメータの第2の値が、1つ又は複数のパラメータの第1の値よりも測定パラメータデータに良く一致する場合には、機械学習モデルに報酬を与えることと、を行うように構成される、条項83に記載の方法。
85. 機械学習モデル及びさらなる機械学習モデルが、ニューラルネットワークアーキテクチャに基づく、条項83又は84に記載の方法。
86. 機械学習モデルが、生成ブランチとして構成され、さらなる機械学習モデルが、敵対的生成ネットワーク(GAN)の弁別ブランチとして構成される、条項85に記載の方法。
87. 機械学習モデルが、畳み込みニューラルネットワーク(CNN)、又はエンコーダ-デコーダベースモデルの一方を含む、条項81~86の何れか一項に記載の方法。
88. エンコーダ-デコーダモデルが、コンテキストデータを潜在空間にマッピングするように構成されたエンコーダ、及びマッピングされたコンテキストデータに基づいて、1つ又は複数のパラメータを再構築するように構成されたデコーダを含む、条項87に記載の方法。
89. 測定パラメータデータ及び時間依存挙動が、エッチング装置又はリソグラフィ装置内の加熱誘発挙動に関連し、コンテキストデータが、リソグラフィ又はエッチング装置の状態及び/又は設定に関連する、条項81~88の何れか一項に記載の方法。
90. 加熱誘発挙動が、リソグラフィ装置によって照明されるパターニングデバイスの加熱に関連し、1つ又は複数のパラメータが、リソグラフィ装置の状態及び/又は設定がコンテキストデータに対応する場合には、パターンデバイスの加熱により生じたパターニングデバイスのジオメトリ変形を特徴付ける、条項89に記載の方法。
91. 1つ又は複数のパラメータが、ジオメトリ変形及びコンテキストデータに関連する1つ又は複数の変形モードを含む、条項90に記載の方法。
92. コンテキストデータが、プロセスを受ける基板の処理履歴、リソグラフィ装置によって照明されるパターニングデバイス上のエリアのサイズ、1つ又は複数の基板の処理中にパターニングデバイス上のエリアが受ける強度又はドーズ、パターニングデバイスの透過のうちの1つ又は複数を含む、条項90又は91に記載の方法。
93. 測定パラメータデータが、パターニングデバイスに提供される複数のフィーチャに関連する位置データを含む、条項92に記載の方法。
94. 1つ又は複数のパラメータの第1の値が、少なくとも部分的に、履歴測定パラメータデータに基づく、条項81~93の何れか一項に記載の方法。
95. 1つ又は複数のパラメータの第1の値又は第2の値のどちらが測定パラメータデータにより良く一致するかに応じて、1つ又は複数のパラメータの第1又は第2の値をデータベース構造に保存することをさらに含む、条項81~94の何れか一項に記載の方法。
96. 1つ又は複数のパラメータの第1の値又は第2の値のどちらかに基づいて、プロセスの実施で使用される装置を構成することをさらに含む、条項81~95の何れか一項に記載の方法。
97. 装置が、リソグラフィ装置であり、プロセスが、半導体製造プロセスである、条項96に記載の方法。
98. 測定パラメータデータを受け取ることが、対応するコンテキストデータに関する要件に基づく、受け取った測定パラメータデータのフィルタリングをさらに含み、機械学習モデルが、フィルタリングされた測定パラメータデータを使用して訓練される、条項81~97の何れか一項に記載の方法。
100. 訓練制御入力が、動作条件を含む、条項1~54の何れか一項に記載の一時的コンピュータ可読媒体又は方法。
101. 訓練制御入力が、パターニングプロセスで使用されるレチクルの透過、レチクルの照明に使用されるリソグラフィ装置のフィールドサイズ、レチクルの照明に使用される放射の強度のうちの1つ又は複数である、条項100に記載の一時的コンピュータ可読媒体又は方法。
102. 訓練制御出力が、パターニングプロセスの時間依存挙動を特徴付ける1つ又は複数のパラメータの値である、条項100又は101に記載の一時的コンピュータ可読媒体又は方法。
103. リソグラフィ装置の状態及び/又は設定が動作条件に対応する場合には、1つ又は複数のパラメータが、レチクルの加熱によって生じるレチクルのジオメトリ変形を特徴付ける、条項102に記載の一時的コンピュータ可読媒体又は方法。
[000207] 本明細書では、リソグラフィ装置をICの製造で使用することが具体的に参照されているが、本明細書に記載のリソグラフィ装置は、他の用途を有し得ることが理解されるべきである。可能な他の用途として、一体型光学系、磁区メモリのガイダンスパターン及び検出パターン、平面パネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造がある。
[000208] 本明細書では、本発明の実施形態をリソグラフィ装置に関連して具体的に参照している場合があるが、本発明の実施形態は、他の装置で使用され得る。本発明の実施形態は、マスク検査装置、メトロロジ装置或いはウェーハ(若しくは他の基板)又はマスク(若しくは他のパターニングデバイス)等の物体を測定又はプロセスする任意の装置の一部をなし得る。これらの装置は、まとめてリソグラフィツールと呼ばれ得る。そのようなリソグラフィツールは、真空条件又は周囲(非真空)条件を用い得る。
[000209] 本発明の実施形態を光リソグラフィに関連して使用することをここまで具体的に参照してきたが、本発明は、文脈が許す限り、光リソグラフィに限定されず、他の用途で使用され得、例えばインプリントリソグラフィで使用され得ることが理解される。
[000210] 状況が許せば、本発明の実施形態は、ハードウェア、ファームウェア、ソフトウェア、又はそれらの任意の組み合わせで実装されてもよい。本発明の実施形態は、機械可読媒体に保存された命令として実装されることも可能であり、命令は、1つ又は複数のプロセッサによって読み出されて、実行され得る。本明細書に記載される通り、機械可読媒体は、機械(例えば、コンピューティングデバイス)によって読み取り可能な形態で情報の保存又は伝送を行うための任意の機構を含み得る。例えば、機械可読媒体は、読出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気記憶媒体、光記憶媒体、フラッシュメモリデバイス、伝搬信号の電気的、光学的、音響的、又は他の形態(例えば、搬送波、赤外線信号、デジタル信号など)などを含み得る。さらに、ファームウェア、ソフトウェア、ルーチン、命令は、本明細書では、特定の動作を行うと説明される場合がある。しかしながら、そのような説明は、単に便宜上のものであり、そのような動作は、実際には、コンピューティングデバイス、プロセッサ、コントローラ、又はファームウェア、ソフトウェア、ルーチン、命令などを実行し、その際に、アクチュエータ又は他のデバイスに物理世界とインタラクトさせ得る他のデバイスに起因することが理解されるものとする。
[000211] ここまで本発明の特定の実施形態について説明してきたが、当然のことながら、本発明は、説明された以外の方法で実施され得る。上述の説明は、限定的ではなく、例示的であるものとする。したがって、当業者であれば明らかなように、以下に示される特許請求項の範囲から逸脱しない限り、記載された本発明に対する修正形態がなされ得る。

Claims (20)

  1. 命令を有する非一時的コンピュータ可読媒体であって、前記命令が、コンピュータによって実行されると、
    制御入力を受け取ることであって、前記制御入力が、パターニングプロセスを制御するためのものであり、前記制御入力が、前記パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、
    訓練された機械学習モデルを用いて、前記制御入力に基づいて、前記パターニングプロセスの制御出力を生成することであって、前記機械学習モデルが、前記パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練されたものであり、前記訓練データが、1)前記パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力であって、前記パターニングプロセスの前記複数の動作条件が、経時的な前記パターニングプロセスの動作条件に特有の挙動に関連する、複数の訓練制御入力と、2)前記複数の訓練制御入力に対応した複数の訓練制御出力であって、各訓練制御出力が、入力として対応する訓練制御入力を有する物理的モデルを使用して生成される、複数の訓練制御出力と、を含む、生成することと、
    を前記コンピュータに行わせる、非一時的コンピュータ可読媒体。
  2. 経時的な前記パターニングプロセスの前記動作条件に特有の挙動が、経時的な前記パターニングプロセスにおけるドリフトを含む、請求項1に記載の媒体。
  3. 前記機械学習モデルが、人工ニューラルネットワーク、畳み込みニューラルネットワーク、及び/又はリカレントニューラルネットワークを含む、請求項1に記載の媒体。
  4. 前記1つ又は複数のパラメータが、リソグラフィ装置、光学メトロロジ検査ツール、及び/又は電子ビーム検査ツールパラメータ、及び/又は関連するリソグラフィ及び/又は検査プロセスパラメータの1つ又は複数を含む、請求項1に記載の媒体。
  5. 前記制御入力が、回折パターン画像、モーションセットポイント、又はウェーハ及び/若しくはレチクルのロードシーケンスを含む、請求項1に記載の媒体。
  6. 前記制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御に関連する1つ又は複数のパラメータの調整を含む、請求項1に記載の媒体。
  7. 前記パターニングプロセス装置の1つ又は複数のコンポーネントの動作制御が、1)リソグラフィ装置のウェーハ及び/又はレチクルステージの移動を制御すること、2)前記リソグラフィ装置のレンズにおける屈曲波加熱要素を制御すること、及び/又は3)瞳整形及び/又は露光フィールドディストーション制御で使用される可動ミラーなどの、前記リソグラフィ装置の1つ若しくは複数のミラーを制御することの一方又は両方による、前記リソグラフィ装置の作動を制御することを含む、請求項6に記載の媒体。
  8. 前記制御出力が、パターニングプロセス装置の1つ又は複数のコンポーネントの熱膨張に関連する1つ又は複数のパラメータの調整を含む、請求項1に記載の媒体。
  9. 前記制御出力が、ウェーハ加熱制御調整、レチクル加熱制御調整、及び/又はミラー加熱制御調整を含む、請求項8に記載の媒体。
  10. 前記制御出力を決定することが、オーバーレイフィンガープリント及び/又はフォーカスフィンガープリントを予測することと、前記予測されたオーバーレイフィンガープリント及び/又はフォーカスフィンガープリントに基づいて、前記ウェーハ加熱制御調整を決定することと、を含む、請求項9に記載の媒体。
  11. 前記制御出力が、レンズ加熱制御調整を含み、前記制御出力を決定することが、
    レンズ加熱に関連するミュータウパラメータ値、レンズ加熱フィードフォワード時間系列、及び/又はレンズ加熱フィールド時間系列を予測すること、
    前記ミュータウ値、レンズ加熱フィードフォワード値、及び/又はレンズ加熱フィールド値に基づいて、レンズ加熱費用関数を決定すること、
    前記レンズ加熱費用関数に基づいて、機械学習モデルパラメータの重みを決定すること、並びに
    前記機械学習モデルによるレンズ加熱予測に基づいて、前記レンズ加熱制御調整を決定すること、
    のうちの1つを含む、請求項9に記載の媒体。
  12. 前記パターニングプロセスからのシミュレートした、及び/又は実際のプロセス訓練データを用いて前記機械学習モデルを訓練することが、初期較正を含み、
    前記機械学習モデルが、前記パターニングプロセスからの新しい実際のプロセスデータを用いて前記機械学習モデルを再訓練することによって、経時的に更新されるように構成され、
    前記再訓練が、前記パターニングプロセスで経時的に生じるドリフトを考慮に入れるように構成された1つ又は複数のドリフト較正により微調整されるように前記機械学習モデルを構成することを含む、請求項1に記載の媒体。
  13. 前記訓練データが、前記物理的モデルを使用して生成された複数の訓練制御入力及び対応する訓練制御出力ペアを含み、
    前記機械学習モデルが、訓練制御入力に基づいて、予測制御出力を予測するように構成され、
    前記機械学習モデルが、前記機械学習モデルの1つ又は複数の構成を更新するためにフィードバックとして訓練制御出力を使用するように構成され、前記1つ又は複数の構成が、前記訓練制御出力と前記予測制御出力の比較に基づいて更新される、請求項1に記載の媒体。
  14. 前記訓練制御入力が、前記動作条件を含む、請求項1に記載の媒体。
  15. 前記訓練制御入力が、前記パターニングプロセスで使用されるレチクルの透過、前記レチクルの照明に使用されるリソグラフィ装置のフィールドサイズ、前記レチクルの照明に使用される放射の強度のうちの1つ又は複数である、請求項14に記載の媒体。
  16. 前記訓練制御出力が、前記パターニングプロセスの前記時間依存挙動を特徴付ける1つ又は複数のパラメータの値である、請求項14又は15に記載の媒体。
  17. 前記リソグラフィ装置の前記状態及び/又は設定が前記動作条件に対応する場合には、前記1つ又は複数のパラメータが、前記レチクルの加熱によって生じる前記レチクルのジオメトリ変形を特徴付ける、請求項16に記載の媒体。
  18. パターニングプロセスの制御出力を生成する方法であって、前記方法が、
    制御入力を受け取ることであって、前記制御入力が、パターニングプロセスを制御するためのものであり、前記制御入力が、前記パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、
    訓練された機械学習モデルを用いて、前記制御入力に基づいて、前記パターニングプロセスの制御出力を生成することであって、前記機械学習モデルが、前記パターニングプロセスのシミュレーション及び/又は実際のプロセスデータから生成された訓練データを用いて訓練されたものであり、前記訓練データが、1)前記パターニングプロセスの複数の動作条件に対応する複数の訓練制御入力であって、前記パターニングプロセスの前記複数の動作条件が、経時的な前記パターニングプロセスの動作条件に特有の挙動に関連する、複数の訓練制御入力と、2)前記複数の訓練制御入力に対応した複数の訓練制御出力であって、各訓練制御出力が、入力として対応する訓練制御入力を有する物理的モデルを使用して生成される、複数の訓練制御出力と、を含む、生成することと、
    を含む、方法。
  19. 前記訓練制御入力が、前記動作条件を含み、前記パターニングプロセスで使用されるレチクルの透過、前記レチクルの照明に使用されるリソグラフィ装置のフィールドサイズ、前記レチクルの照明に使用される放射の強度のうちの1つ又は複数であり、前記訓練制御出力が、前記パターニングプロセスの前記時間依存挙動を特徴付ける1つ又は複数のパラメータの値である、請求項18に記載の方法。
  20. 命令を有する非一時的コンピュータ可読媒体であって、前記命令が、コンピュータによって実行されると、
    複数の対応する動作条件に関するパターニングプロセスを制御するための複数の制御入力を受け取ることであって、前記制御入力のそれぞれが、前記パターニングプロセスで使用される1つ又は複数のパラメータを含む、受け取ることと、
    前記1つ又は複数のパラメータの1つ又は複数の調整に関連する複数の制御出力を生成すること、又は受け取ることであって、前記複数の制御出力が、前記複数の動作条件を受ける前記パターニングプロセスの挙動のシミュレーションで使用される物理的モデルの前記出力に基づく、生成すること、又は受け取ることと、
    前記受け取った複数の制御入力及び複数の生成された又は受け取った制御出力を入力することによって、新しい制御入力に対応する新しい制御出力を推論するように構成された機械学習モデルを訓練することと、
    を前記コンピュータに行わせる、非一時的コンピュータ可読媒体。
JP2022580736A 2020-07-09 2021-06-14 パターニングプロセスの調整方法 Pending JP2023533491A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
CNPCT/CN2020/101030 2020-07-09
CN2020101030 2020-07-09
EP20186710.8A EP3944020A1 (en) 2020-07-20 2020-07-20 Method for adjusting a patterning process
EP20186710.8 2020-07-20
EP21172961.1 2021-05-10
EP21172961 2021-05-10
PCT/EP2021/065947 WO2022008174A1 (en) 2020-07-09 2021-06-14 Method for adjusting a patterning process

Publications (1)

Publication Number Publication Date
JP2023533491A true JP2023533491A (ja) 2023-08-03

Family

ID=76422011

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022580736A Pending JP2023533491A (ja) 2020-07-09 2021-06-14 パターニングプロセスの調整方法

Country Status (6)

Country Link
US (1) US20230273529A1 (ja)
JP (1) JP2023533491A (ja)
KR (1) KR20230023789A (ja)
CN (1) CN115777085A (ja)
TW (1) TWI782597B (ja)
WO (1) WO2022008174A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4216106A1 (en) * 2022-01-19 2023-07-26 ASML Netherlands B.V. Method for controlling a production system and method for thermally controlling at least part of an environment
EP4261618A1 (en) * 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
CN117313640A (zh) * 2022-06-14 2023-12-29 腾讯科技(深圳)有限公司 光刻掩膜生成模型的训练方法、装置、设备及存储介质
WO2024132326A1 (en) * 2022-12-19 2024-06-27 Asml Netherlands B.V. Method to infer and estimate reticle temperature based on reticle shape measurements
WO2024132447A1 (en) * 2022-12-23 2024-06-27 Asml Netherlands B.V. Methods and systems for determining reticle deformations
WO2024132427A1 (en) * 2022-12-23 2024-06-27 Asml Netherlands B.V. Methods and systems for determining reticle deformations
WO2024132448A1 (en) * 2022-12-23 2024-06-27 Asml Netherlands B.V. Methods and systems for determining reticle deformations

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
KR102649158B1 (ko) * 2018-12-03 2024-03-20 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정의 수율을 예측하는 방법

Also Published As

Publication number Publication date
CN115777085A (zh) 2023-03-10
TWI782597B (zh) 2022-11-01
KR20230023789A (ko) 2023-02-17
WO2022008174A1 (en) 2022-01-13
US20230273529A1 (en) 2023-08-31
TW202209095A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
JP2023533491A (ja) パターニングプロセスの調整方法
EP3807720B1 (en) Method for configuring a semiconductor manufacturing process, a lithographic apparatus and an associated computer program product
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
KR102059018B1 (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
KR20180072768A (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
KR20180072760A (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
EP3944020A1 (en) Method for adjusting a patterning process
JP7503196B2 (ja) 人工ニューラルネットワークを使用する動き制御
TWI791321B (zh) 用於組態採樣架構產生模型之方法及電腦程式
TWI814370B (zh) 用於程序控制之因果卷積網路
TWI777678B (zh) 概念漂移減輕之方法及設備
EP4216106A1 (en) Method for controlling a production system and method for thermally controlling at least part of an environment
EP4105719A1 (en) Causal convolution network for process control
EP4261618A1 (en) A method of determining a correction for control of a lithography and/or metrology process, and associated devices
TW202347035A (zh) 用於判定半導體製造程序中之至少一控制參數之校正之方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240415

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240618