KR20230023789A - 패터닝 공정 조정 방법 - Google Patents

패터닝 공정 조정 방법 Download PDF

Info

Publication number
KR20230023789A
KR20230023789A KR1020237001600A KR20237001600A KR20230023789A KR 20230023789 A KR20230023789 A KR 20230023789A KR 1020237001600 A KR1020237001600 A KR 1020237001600A KR 20237001600 A KR20237001600 A KR 20237001600A KR 20230023789 A KR20230023789 A KR 20230023789A
Authority
KR
South Korea
Prior art keywords
patterning process
control
training
machine learning
learning model
Prior art date
Application number
KR1020237001600A
Other languages
English (en)
Inventor
차오췬 궈
사테즈 수바시 케데카
안잔 프라사드 간타파라
천시 린
헨드리쿠스 요제프 카스텔린스
훙웨이 천
스티븐 헨리 본드
자오쩌 리
세예드 이만 모사뱃
이 저우
알렉산더 이프마
유핑 장
제럴드 디커
에바우트 클라스 스타인마이어
버켈 쿠스 반
주스트 요한 볼더
아르노 위보
안드리 바실리오비치 흘로드
우에스카 후안 마누엘 곤잘레스
프란스 베르나르드 아르덴
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20186710.8A external-priority patent/EP3944020A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230023789A publication Critical patent/KR20230023789A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Weting (AREA)
  • Materials For Photolithography (AREA)

Abstract

패터닝 공정을 위한 제어 출력을 생성하는 것이 설명된다. 제어 입력이 수신된다. 제어 입력은 패터닝 공정을 제어하기 위한 것이다. 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다. 제어 출력은 제어 입력을 기반으로, 트레이닝된 기계 학습 모델로 생성된다. 기계 학습 모델은 패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된다. 트레이닝 데이터는 1) 패터닝 공정의 복수의 작동 조건에 대응하는 복수의 트레이닝 제어 입력 (여기서 패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동과 연관된다), 및 2) 트레이닝 제어 입력을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.

Description

패터닝 공정 조정 방법
관련 출원에 대한 상호 참조
본 출원은 2020년 7월 9일에 출원된 PCT 출원 PCT/CN2020/101030, 2020년 7월 20일에 출원된 EP 출원 20186710.8 및 2021년 5월 10일에 출원된 EP 출원 21172961.1의 우선권을 주장하며, 이들은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은 패터닝 공정을 조정하기 위한 시스템, 제품 및 방법에 관한 것이다.
리소그래피 장치는 원하는 패턴을 기판 상으로 적용하도록 구성된 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 이용될 수 있다. 리소그래피 장치는, 예를 들어 패터닝 디바이스 (예를 들어, 마스크)의 패턴 (또한, 흔히 "디자인 레이아웃" 또는 "디자인"으로 지칭됨)을 기판 (예를 들어, 웨이퍼) 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로 투영시킬 수 있다.
반도체 제조 공정이 계속 발전함에 따라, 통상적으로 "무어(Moore)의 법칙"으로 지칭되는 추세에 따라 회로 요소의 치수는 지속적으로 감소되고 있는 반면, 디바이스마다 트랜지스터와 같은 기능적 요소의 양은 수십 년 동안 꾸준히 증가하고 있다. 무어의 법칙을 따르기 위해, 반도체 산업은 갈수록 더 작은 피처를 생성하는 것을 가능하게 하는 기술을 추구하고 있다. 패턴을 기판 상에 투영하기 위하여, 리소그래피 장치는 전자기 방사선을 이용할 수 있다. 이 방사선의 파장은 기판 상에 패터닝되는 피처의 최소 크기를 결정한다. 현재 이용되고 있는 전형적인 파장은 365㎚ (i-라인), 248㎚, 193㎚ 및 13.5㎚이다. 4㎚ 내지 20㎚ 범위 내의, 예를 들어 6.7㎚ 또는 13.5㎚의 파장을 갖는 극자외(EUV) 방사선을 이용하는 리소그래피 장치가, 예를 들어 193㎚의 파장을 갖는 방사선을 이용하는 리소그래피 장치보다 기판 상에 더 작은 피처를 형성하기 위해 이용될 수 있다.
저(low)-k1 리소그래피는 리소그래피 장치의 전형적인 분해능 한계보다 작은 치수를 갖는 피처를 처리하기 위해 이용될 수 있다. 이러한 공정에서, 분해능 공식은
Figure pct00001
로 표현될 수 있으며, 여기서 λ는 이용되는 방사선의 파장, NA는 리소그래피 장치 내의 투영 광학계의 개구수, CD는 "임계 치수" (일반적으로, 프린트되는 가장 작은 피처 크기이나, 이 경우에서는 반분-피치), 그리고 k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의하여 계획된 형상 및 치수와 유사한 패턴을 기판 상에 재현하는 것이 더 어려워진다.
이 어려움을 극복하기 위하여, 정교한 미세 조정(fine-tuning) 단계가 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 이는, 예를 들어 NA의 최적화, 맞춤 조명 스킴(customized illumination schemes), 위상 시프팅 패터닝 디바이스의 이용, 설계 레이아웃에서의 광학 근접 보정 (OPC, 때로는 "광학 및 공정 보정"으로도 지칭됨)과 같은 설계 레이아웃의 다양한 최적화, 또는 일반적으로 "분해능 향상 기술"(RET)로서 규정된 다른 방법을 포함하지만, 이에 제한되지는 않는다. 대안적으로, 리소그래피 장치의 안정성을 제어하기 위한 엄격한 제어 루프가 이용되어 저-k1에서 패턴의 재현을 개선할 수 있다.
리소그래피 공정에서, 예를 들어 공정 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 이러한 측정을 수행하기 위한 툴은 전형적으로 계측 툴 또는 검사 툴로 불린다. 주사 전자 현미경 또는 다양한 형태의 스캐터로미터 계측 툴을 포함하는, 이러한 측정을 수행하기 위한 상이한 유형의 계측 툴이 알려져 있다. 스캐터로미터는, 스캐터로미터의 대물렌즈(objective)의 퓨필(pupil) 또는 퓨필과의 공액 평면에 센서를 가짐으로써 (이 측정은 통상적으로 퓨필 기반 측정으로서 지칭됨), 또는 이미지 평면 또는 이미지 평면과의 공액 평면에 센서를 가짐으로써 (이 경우 측정은 통상적으로 이미지 또는 필드 기반 측정으로서 지칭됨) 리소그래피 공정의 매개변수의 측정을 허용하는 다목적 기구이다. 이러한 스캐터로미터 및 연관된 측정 기술은 특허 출원 US2010/0328655, US2011/102753A1, US2012/0044470A, US2011/0249244, US2011/0026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이들은 전체적으로 원용에 의해 본 명세서에 포함된다. 앞서 언급된 스캐터로미터는, 연질 x-선과 가시광선으로부터 근적외선 파장 범위까지의 광을 이용하여 격자를 측정할 수 있다.
물리적 모델은 장치의 하나 이상의 구성 요소, 공정 및/또는 일련의 공정을 모델링하기 위해, 및/또는 다른 모델링을 수행하기 위해 이용될 수 있다. 물리적 모델링은 계산적으로 고가일 수 있으며, 주어진 장치, 구성 요소 및/또는 공정 조건 세트가 변화할 때 흔히 조정을 필요로 한다. 이러한 변화는 장치의 하나 이상의 구성 요소의 동작의 변화, 변화하는 외란력, 상이한 구성 요소 가열, 및/또는 시간에 따라 변하는 다른 패터닝 공정 조건을 포함할 수 있다. 물리적 모델링은 흔히 물리적 모델의 정확성과 계산적 비용 간의 트레이드-오프(trade-off)를 필요로 한다.
본 발명의 목적은 동작, 외란력, 가열, 및/또는 시간에 따라 변하는 다른 패터닝 공정 조건을 보다 정확하게 모델링하도록 구성된 시스템 및 방법을 제공하는 것이다.
이전 시스템과 대조적으로, 본 시스템 및 방법은 트레이닝된 기계 학습 모델로 패터닝 공정에 대한 제어 출력을 생성하도록 구성된다. 기계 학습 모델은, 패터닝 공정의 복수의 작동 조건에 대응하는 복수의 트레이닝 제어 입력을 포함하는 트레이닝 데이터로 트레이닝된다. 패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동 (예를 들어, 시간에 따른 드리프트 등과 같은 패터닝 공정의 변화)과 연관된다.
다른 장점들 중에서도, 이는 특히 동작, 외란력, 가열 및/또는 시간에 따라 변하는 다른 패터닝 공정 조건에 관한 모델의 정확도를 향상시킨다. 반도체 제조에서, 이는 향상된 디바이스 치수 정확도, 더 높은 수율, 감소된 공정 설정 시간, 더 빠른 처리량, 더 정확한 오버레이 및/또는 다른 공정 제어 측정의 결과를 가져올 수 있으며 및/또는 다른 효과를 가질 수 있다.
적어도 위의 사항을 고려하면, 본 발명의 실시예에 따르면, 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체가 제공된다. 명령어는 컴퓨터에 의해 실행될 때 컴퓨터가 제어 입력을 수신하도록 한다. 제어 입력은 패터닝 공정을 제어하기 위한 것이다. 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다. 명령어는 컴퓨터가 제어 입력을 기반으로, 트레이닝된 기계 학습 모델로 패터닝 공정을 위한 제어 출력을 생성하도록 한다. 기계 학습 모델은 패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된다. 트레이닝 데이터는 1) 패터닝 공정의 복수의 작동 조건에 대응하는 복수의 트레이닝 제어 입력을 포함한다. 패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동과 연관된다. 트레이닝 데이터는 2) 트레이닝 제어 입력 및/또는 패터닝 공정의 복수의 작동 조건을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.
실시예에서, 제어 출력은 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 시간에 따른 패터닝 공정의 작동 조건 특정 거동은 시간에 따른 패터닝 공정에서의 드리프트를 포함한다.
실시예에서, 기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 추가로 구성된다.
실시예에서, 재-트레이닝은 미세 조정을 포함한다.
실시예에서, 제어 입력은 패터닝 공정을 모니터링하는 것 및/또는 진단하는 것과 연관된다.
실시예에서, 기계 학습 모델은 매개변수화된 모델을 포함한다.
실시예에서, 기계 학습 모델은 인공 신경망, 콘볼루션 신경망(convolutional neural network) 및/또는 순환 신경망을 포함한다.
실시예에서, 패터닝 공정은 패터닝 공정 장치로 수행된다. 장치는 반도체 리소그래피 장치, 광학 계측 검사 툴 또는 e-빔 검사 툴을 포함한다. 명령어는 또한 컴퓨터가 적어도 부분적으로 제어 출력을 기반으로 패터닝 공정 장치를 제어하게 한다.
실시예에서, 하나 이상의 매개변수는 하나 이상의 리소그래피 장치, 광학 계측 검사 툴 및/또는 e-빔 검사 툴 매개변수, 및/또는 연관된 리소그래피 및/또는 검사 공정 매개변수를 포함한다.
실시예에서, 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다.
실시예에서, 제어 입력은 회절 패턴 이미지, 동작 설정점, 또는 웨이퍼 및/또는 레티클에 대한 부하 시퀀스(load sequence)를 포함한다.
실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어는 1) 스캐너의 웨이퍼 및/또는 레티클 스테이지의 이동을 제어하는 것, 2) 스캐너의 렌즈의 플렉스-웨이브(flex-wave) 가열 요소를 제어하는 것, 및/또는 3) 스캐너의 하나 이상의 미러를 제어하는 것 중 하나 또는 둘을 통하여 스캐너의 작동을 제어하는 것을 포함한다. 미러의 예는 퓨필 형상 및/또는 필드 왜곡 프로파일을 (유연하게) 규정하는 데 이용되는 미러이다.
실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 열팽창과 연관된 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 제어 출력은 웨이퍼 가열 제어 조정, 레티클 가열 제어 조정 및/또는 미러 가열 제어 조정을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼 가열 제어 조정을 결정하는 것을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문을 예측하는 것을 포함하며, 웨이퍼 가열 제어 조정을 결정하는 것은 예측된 오버레이 지문을 기반으로 한다.
실시예에서, 제어 출력은 렌즈 가열 제어 조정을 포함한다.
실시예에서, 제어 출력을 결정하는 것은, 렌즈 가열, 렌즈 가열 피드포워드 시간 시퀀스 및/또는 렌즈 가열 필드 시간 시퀀스와 연관된 뮤 타우(mu tau) 매개변수 값을 예측하는 것; 뮤 타우 값, 렌즈 가열 피드포워드 값 및/또는 렌즈 가열 필드 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것; 렌즈 가열 비용 함수를 기반으로 기계 학습 모델 매개변수 가중치를 결정하는 것; 및 기계 학습 모델에 의한 렌즈 가열 예측을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문, 초점 지문 및/또는 이미징 지문을 예측하는 것, 및 예측된 오버레이 지문, 초점 지문 및/또는 이미징 지문을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 마찰-기계적 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼, 레티클, 렌즈/미러 조정을 결정하는 것을 포함한다.
실시예에서, 패터닝 공정으로부터의 시뮬레이션된 및/또는 실제 공정 트레이닝 데이터로 기계 학습 모델을 트레이닝시키는 것은 초기 교정을 포함하며, 기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성되고, 재-트레이닝시키는 것은 시간에 따라 패터닝 공정에서 발생하는 드리프트를 설명하도록 구성된 하나 이상의 드리프트 교정으로 기계 학습 모델을 미세 조정되도록 구성하는 것을 포함한다.
실시예에서, 트레이닝 및/또는 업데이트는 오프-라인, 온라인, 또는 오프-라인과 온라인 조합으로 수행된다.
실시예에서, 시뮬레이션된 트레이닝 데이터는 물리적 모델을 이용하여 생성된 복수의 벤치마크 트레이닝 제어 입력 및 대응하는 트레이닝 제어 출력 쌍을 포함한다. 기계 학습 모델은 트레이닝 제어 입력을 기반으로, 예측된 제어 출력을 예측하도록 구성된다. 기계 학습 모델은 트레이닝 제어 출력을 피드백으로 이용하도록 구성되어 기계 학습 모델의 하나 이상의 구성을 업데이트한다. 하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 간의 비교를 기반으로 업데이트된다.
실시예에서, 명령어는 기계 학습 모델을 패터닝 공정으로부터의 새로운 실제 공정 데이터로 미세 조정함으로써 시간에 따라 업데이트되도록 컴퓨터가 기계 학습 모델을 구성하게 하도록 추가로 구성되며, 따라서 기계 학습 모델은 제1 업데이트된 모델 매개변수 값을 결정하기 위해 기계 학습 모델과 함께 생산 환경 국부 패터닝 공정과 연관된 국부 실제 공정 데이터를 수신하도록; 기계 학습 모델에 적어도 부분적으로 외부 트레이닝 데이터-외부 트레이닝 데이터는 장치 변동에 대한 패터닝 공정 장치를 나타냄-를 제공함으로써 획득된 제2 업데이트된 모델 매개변수 값을 수신하도록; 그리고 제1 및/또는 제2 업데이트된 모델 매개변수 값으로 초기 모델 매개변수 값을 업데이트함으로써 기계 학습 모델을 조정하도록 구성된다.
실시예에서, 조정하는 것은 시간에 따른 국부 패터닝 공정에서의 드리프트를 설명한다.
또 다른 실시예에 따르면, 패터닝 공정을 위한 제어 출력을 생성하기 위한 방법이 제공된다. 본 방법은 제어 입력을 수신하는 것을 포함한다. 제어 입력은 패터닝 공정을 제어하기 위한 것이다. 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다. 본 방법은 제어 입력을 기반으로, 트레이닝된 기계 학습 모델로 패터닝 공정에 대한 제어 출력을 생성하는 것을 포함한다. 제어 출력은 하나 이상의 매개변수의 조정을 포함한다. 기계 학습 모델은 패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된다. 트레이닝 데이터는 1) 패터닝 공정의 복수의 작동 조건에 대응하는 복수의 트레이닝 제어 입력을 포함한다. 패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동과 연관된다. 트레이닝 데이터는 2) 트레이닝 제어 입력 및/또는 패터닝 공정의 복수의 작동 조건을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.
실시예에서, 시간에 따른 패터닝 공정의 작동 조건 특정 거동은 시간에 따른 패터닝 공정에서의 드리프트를 포함한다.
실시예에서, 본 방법은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 기계 학습 모델을 업데이트하는 것을 더 포함한다.
실시예에서, 재-트레이닝은 미세 조정을 포함한다.
실시예에서, 제어 입력은 패터닝 공정을 모니터링하는 것 및/또는 진단하는 것과 연관된다.
실시예에서, 기계 학습 모델은 매개변수화된 모델을 포함한다.
실시예에서, 기계 학습 모델은 인공 신경망, 콘볼루션 신경망 및/또는 순환 신경망을 포함한다.
실시예에서, 패터닝 공정은 패터닝 공정 장치로 수행된다. 본 장치는 반도체 리소그래피 장치, 광학 계측 검사 툴 또는 e-빔 검사 툴을 포함한다. 본 방법은 또한 적어도 부분적으로 제어 출력을 기반으로 패터닝 공정 장치를 제어하는 것을 더 포함한다.
실시예에서, 하나 이상의 매개변수는 하나 이상의 리소그래피 장치, 광학 계측 검사 툴 및/또는 e-빔 검사 툴 매개변수, 및/또는 연관된 리소그래피 및/또는 검사 공정 매개변수를 포함한다.
실시예에서, 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다.
실시예에서, 제어 입력은 회절 패턴 이미지, 동작 설정점, 또는 웨이퍼 및/또는 레티클에 대한 부하 시퀀스를 포함한다.
실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어는 1) 스캐너의 웨이퍼 및/또는 레티클 스테이지의 이동을 제어하는 것, 2) 스캐너의 렌즈의 플렉스-웨이브 가열 요소를 제어하는 것, 및/또는 3) 스캐너의 하나 이상의 미러를 제어하는 것 중 하나 또는 둘을 통하여 스캐너의 작동을 제어하는 것을 포함한다.
실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 열팽창과 연관된 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 제어 출력은 웨이퍼 가열 제어 조정, 레티클 가열 제어 조정 및/또는 미러 가열 제어 조정을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼 가열 제어 조정을 결정하는 것을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문을 예측하는 것을 포함하며, 웨이퍼 가열 제어 조정을 결정하는 것은 예측된 오버레이 지문을 기반으로 한다.
실시예에서, 제어 출력은 렌즈 가열 제어 조정을 포함한다.
실시예에서, 제어 출력을 결정하는 것은, 렌즈 가열, 렌즈 가열 피드포워드 시간 시퀀스 및/또는 렌즈 가열 필드 시간 시퀀스와 연관된 뮤 타우(mu tau) 매개변수 값을 예측하는 것; 뮤 타우 값, 렌즈 가열 피드포워드 값 및/또는 렌즈 가열 필드 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것; 렌즈 가열 비용 함수를 기반으로 기계 학습 모델 매개변수 가중치를 결정하는 것; 및 기계 학습 모델에 의한 렌즈 가열 예측을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문, 초점 지문 및/또는 이미징 지문을 예측하는 것, 및 예측된 오버레이 지문, 초점 지문 및/또는 이미징 지문을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 마찰-기계적 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
실시예에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼, 레티클, 렌즈/미러 조정을 결정하는 것을 포함한다.
실시예에서, 패터닝 공정으로부터의 시뮬레이션된 및/또는 실제 공정 트레이닝 데이터로 기계 학습 모델을 트레이닝시키는 것은 초기 교정을 포함하며, 기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성되고, 그리고 재-트레이닝시키는 것은 시간에 따라 패터닝 공정에서 발생하는 드리프트를 설명하도록 구성된 하나 이상의 드리프트 교정으로 기계 학습 모델을 미세 조정되도록 구성하는 것을 포함한다.
실시예에서, 트레이닝 것 및/또는 업데이트는 오프-라인, 온라인, 또는 오프-라인과 온라인 조합으로 수행된다.
실시예에서, 시뮬레이션된 트레이닝 데이터는 물리적 모델을 이용하여 생성된 복수의 벤치마크 트레이닝 제어 입력 및 대응하는 트레이닝 제어 출력 쌍을 포함한다. 기계 학습 모델은 트레이닝 제어 입력을 기반으로, 예측된 제어 출력을 예측하도록 구성된다. 기계 학습 모델은 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위하여 트레이닝 제어 출력을 피드백으로서 이용하도록 구성되며, 여기서 하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 간의 비교를 기반으로 업데이트된다.
실시예에서, 본 방법은 기계 학습 모델을 패터닝 공정으로부터의 새로운 실제 공정 데이터로 미세 조정함으로써 시간에 따라 업데이트되도록 기계 학습 모델을 구성하는 것을 더 포함하며, 따라서 기계 학습 모델은 제1 업데이트된 모델 매개변수 값을 결정하기 위해 기계 학습 모델과 함께 생산 환경 국부 패터닝 공정과 연관된 국부 실제 공정 데이터를 수신하도록; 기계 학습 모델에 적어도 부분적으로 외부 트레이닝 데이터-외부 트레이닝 데이터는 장치 변동에 대한 패터닝 공정 장치를 나타냄-를 제공함으로써 획득된 제2 업데이트된 모델 매개변수 값을 수신하도록; 그리고 제1 및/또는 제2 업데이트된 모델 매개변수 값으로 초기 모델 매개변수 값을 업데이트함으로써 기계 학습 모델을 조정하도록 구성된다.
실시예에서, 조정하는 것은 시간에 따른 국부 패터닝 공정의 드리프트를 설명한다.
또 다른 실시예에 따르면, 기계 학습 모델을 트레이닝시키기 위한 방법이 제공된다. 본 방법은 패터닝 공정을 시뮬레이션함으로써 트레이닝 데이터를 생성하는 것을 포함한다. 트레이닝 데이터는 복수의 트레이닝 제어 입력 및 대응 트레이닝 제어 출력을 포함한다. 트레이닝 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함하며, 트레이닝 제어 출력은 하나 이상의 매개변수의 조정을 포함한다. 본 방법은 예측된 제어 출력을 생성하기 위해 트레이닝 제어 입력을 기본 기계 학습 모델에 제공하는 것; 및 기본 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위해 트레이닝 제어 출력을 피드백으로서 이용하는 것을 포함한다. 하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 간의 비교를 기반으로 업데이트되며, 따라서 기계 학습 모델은 새로운 제어 입력을 기반으로 새로운 제어 출력을 생성하도록 구성된다.
실시예에서, 기계 학습 모델은 패터닝 공정으로부터의 실제 및/또는 시뮬레이션된 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성된다.
실시예에서, 패터닝 공정은 물리적 모델로 시뮬레이션된다.
실시예에서, 기계 학습 모델은 인공 신경망이다.
또 다른 실시예에 따르면, 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체가 제공된다. 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가, 복수의 대응하는 작동 조건에 대하여 패터닝 공정을 제어하기 위한 복수의 제어 입력-제어 입력의 각각은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하도록; 하나 이상의 매개변수의 하나 이상의 조정과 연관된 복수의 제어 출력-복수의 제어 출력은 복수의 작동 조건의 대상인 패터닝 공정의 거동을 시뮬레이션하는 데 이용되는 물리적 모델의 출력을 기반으로 함-을 생성하거나 수신하도록; 그리고 복수의 수신된 제어 입력 및 복수의 생성된 또는 수신된 제어 출력을 입력함으로써 새로운 제어 입력에 대응하는 새로운 제어 출력을 추론하도록 구성된 기계 학습 모델을 트레이닝시키도록 한다.
본 발명의 실시예가 첨부된 개략적인 도면을 참조하여 단지 예로서 설명될 것이며, 도면에서:
도 1은 실시예에 따른 리소그래피 장치의 개략적인 개요를 도시하고 있다.
도 2는 실시예에 따른 도 1의 리소그래피 장치의 일부의 상세도를 도시하고 있다.
도 3은 실시예에 따른 제어 시스템을 개략적으로 도시하고 있다.
도 4는 실시예에 따른 리소그래피 셀의 개략적인 개요를 개략적으로 도시하고 있다.
도 5는 실시예에 따른, 반도체 제조를 최적화하기 위한 3개의 핵심 기술 간의 협력을 나타내는 홀리스틱 리소그래피의 개략도이다.
도 6은 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 7은 실시예에 따른, 패터닝 공정을 위한 제어 출력을 생성하는 방법을 도시하고 있다.
도 8은 실시예에 따른, 초기 트레이닝 그리고 드리프트 보정을 도시하고 있다.
도 9는 실시예에 따른, 물리적 모델로 웨이퍼 가열 보정을 모델링하기 위한 대리 모델링 기법으로서 이용되는 현재의 기계 학습 모델을 도시하고 있다.
도 10은 실시예에 따른, 전이 및/또는 연합 학습 그리고 가상 컴퓨팅 플랫폼을 이용하여 현재의 기계 학습 모델을 트레이닝 및 업데이트/미세-조정/재-트레이닝시키는 것을 도시하고 있다.
도 11은 실시예에 따른, 기준 동적 렌즈 가열 분석기 모델을 모방하기 위해, 시뮬레이션을 통해 생성된 트레이닝 데이터가 어떻게 이용되어 현재의 기계 학습 모델을 트레이닝시킬 수 있는지를, 그리고 트레이닝된 기계 학습 모델을 미세-조정하기 위해, 자동화된 렌즈 가열 교정 데이터 (예를 들어, 실제 패터닝 공정으로부터의 인라인 측정 데이터)가 어떻게 이용되어 전이 학습을 수행할 수 있는지를 도시하고 있다.
도 12는 실시예에 따른 심층 콘벌루션 신경망으로서 형성된 본 기계 학습의 예를 도시하고 있다.
도 13은 실시예에 따른, 예측된 뮤(mu) 타우(tau) 및/또는 LHFF 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것을 도시하고 있다.
도 14는 기계 학습 모델과 물리적 모델의 출력들을 비교하는 판별기 모델로부터 보상을 받음으로써 기계 학습 모델을 트레이닝시키기 위한 방법을 도시하고 있다.
장치의 하나 이상의 구성 요소를 제어할 때 피드포워드 제어 신호가 이용된다. 이러한 신호는 웨이퍼 스테이지, 레티클 이동 구성 요소, 렌즈, 미러 및/또는 다른 구성 요소를 포함하는 구성 요소들에 대한 많은 제어 시스템에서 이용된다. 정확한 피드포워드 제어 신호는 흔히 동작 설정점, 외란력, 구성 요소 가열 및/또는 다른 요인의 모델링에 좌우된다. 구성 요소 가열은 주어진 구성 요소와 접촉하고, 이를 통과하며 및/또는 그 근처를 지나는 방사선에 의하여 야기될 수 있으며 및/또는 다른 원인을 가질 수 있다. 외란력은 장치의 다양한 구성 요소의 이동, 장치에 이용되는 구성 요소의 유형, 장치의 위치, 구성 요소 마모 및/또는 기타 유사한 요인으로 인해 발생하는 힘일 수 있다. 예를 들어 외란력은 모터 정류, 케이블 슬래브, 시스템 드리프트 등과 관련될 수 있다. 동작 설정점은 장치의 구성 요소의 규정된 동작을 설명할 수 있다. 설정점은 시간에 따른 구성 요소의 동작의 위치, 속도, 가속도 및/또는 다른 매개변수 (예를 들어, 이러한 매개변수의 더 높은 차수 시간 도함수 등)를 규정할 수 있다.
반도체 제조 패터닝 공정에서 및/또는 기타 적용에서, 구성 요소 가열, 동작 설정점 및 외란력은 흔히 시간에 따라 변경된다. 예를 들어, 구성 요소는 공정마다 매일 같은 방식으로 가열 및/또는 냉각되지 않을 수 있다. 상이한 필드 크기를 지원하는 것; 웨이퍼 가열, 레티클 가열 및/또는 미러/렌즈 가열을 보정하기 위해 오버레이 보정을 위한 실시간 또는 근 실시간 변경과 같은 여러 이유로; 및/또는 다른 이유로 설정점이 달라질 수 있다. 외란력은 장치마다 변할 수 있으며 및/또는 장치에서 이용되는 구성 요소의 유형, 장치의 위치, 구성 요소 마모 및/또는 다른 유사한 요인을 기반으로 변할 수 있다.
실제로, 물리적 모델은 장치의 하나 이상의 구성 요소, 한 공정 및/또는 일련의 공정을 모델링하기 위해 및/또는 다른 모델링을 수행하기 위해 이용될 수 있다. 물리적 모델은 물리적 방정식을 푸는 시뮬레이션을 기반으로 한다. 일부 실시예에서, 물리적 모델은 하나 이상의 물리학 기반 방정식, FEM, 동적 렌즈 가열 분석기, 및/또는 다른 물리적 모델일 수 있으며 및/또는 이를 포함할 수 있다. 예를 들어, 물리적 모델은 주어진 장치, 장치 구성 요소 및/또는 공정 조건에 대한 제어 신호를 생성하기 위해 이용될 수 있다. 그러나 이러한 모델링은 계산적으로 고가이며, 주어진 장치, 구성 요소 및/또는 공정 조건 세트가 변화할 때 흔히 조정을 필요로 한다. 위에서 설명한 것처럼 이 항목들은 시간에 따라 변경된다. 불행하게도, 가능한 공정 조건들 (각각은 자신의 대응하는 구성 요소 가열, 설정점, 외란력 및/또는 시간에 따른 다른 변동을 갖는다)의 수는 너무 많아 각 변동에 대한 물리적 모델을 개별적으로 보정할 수 없다 (예를 들어, 이는 계산적으로 고가이기 때문에 엄두도 못 낼 정도이다). 계산적인 비용 관점에서 여전히 달성 가능하면서 합리적인 양의 개별 변동 (예를 들어, 조건)을 모델링하는 것은 물리적 모델의 정확도와 계산적 비용 간의 트레이드오프(tradeoff)를 필요로 한다.
종래의 시스템과 대조적으로, 본 시스템 및 방법은 패터닝 공정을 제어하기 위한 제어 입력을 수신하도록 구성된다. 제어 입력을 기반으로, 패터닝 공정에 대한 제어 출력이 트레이닝된 기계 학습 모델로 생성된다. 기계 학습 모델은 패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된다. 트레이닝 데이터는 패터닝 공정의 복수의 작동 조건에 대응하는 복수의 트레이닝 제어 입력을 포함한다. 패터닝 공정의 복수의 작동 조건은 전형적으로 패터닝 공정에서 이용되는 하나 이상의 툴의 설정(settings)이며, 이는 패터닝 공정의 거동을 시간에 따라, 예를 들어 드리프트 및 가열 효과가 시간에 따라 어떻게 나타나는지를 적어도 어느 정도까지 결정한다. 트레이닝 데이터는 또한 트레이닝 제어 입력 및/또는 패터닝 공정의 복수의 작동 조건을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.
다른 장점들 중에서, 이는 특히 설정점, 외란력, 구성 요소 가열 및/또는 시간에 따라 변하는 다른 패터닝 공정 조건과 관련하여 모델링의 정확도를 향상시킨다. 정확도 향상은 매우 많은 공정 조건에 대한 교정 가능성/능력과 관련이 있다. 반도체 제조에서, 이는 향상된 디바이스 치수 정확도, 더 높은 수율, 감소된 공정 설정 시간, 더 빠른 처리량, 더 정확한 오버레이 및/또는 다른 공정 제어 측정의 결과를 가져올 수 있으며 및/또는 다른 효과를 가질 수 있다.
간략한 소개를 통해, 본 명세서에서는 제어 출력의 생성이 집적 회로 및/또는 반도체 제조의 맥락에서 설명된다. 이는 제한하는 것으로 의도되지 않는다. 본 기술 분야의 숙련된 자는 본 명세서에서 설명된 원리를 다른 맥락에서 적용할 수 있다.
본 문맥을 고려할 때, 용어 "방사선" 및 "빔"은 (예를 들어, 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외 방사선 및 EUV (예를 들어, 5 내지 100㎚ 범위의 파장을 갖는 극자외 방사선)을 포함하는, 모든 유형의 전자기 방사선을 포함하도록 이용된다. 본 명세서에서 이용되는 바와 같은 용어 "마스크" 또는 "패터닝 디바이스"는 입사 방사선 빔에, 기판의 타겟 부분에 생성될 패턴에 대응하는 패터닝된 횡단면을 부여하기 위해 이용될 수 있는 포괄적인 패터닝 디바이스를 지칭하는 것으로 광범위하게 해석될 수 있다. 용어 "광 밸브(light valve)" 또한 이 맥락에서 이용될 수 있다. 고전적인 마스크 (투과식 또는 반사식, 바이너리, 위상-시프팅, 하이브리드 등) 외에, 다른 이러한 패터닝 디바이스의 예는 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 어레이를 포함한다:
도 1은 리소그래피 장치(LA)를 개략적으로 도시하고 있다. 리소그래피 장치(LA)는 방사선 빔(B) (예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 조절하도록 구성된 조명 시스템 (또한 일루미네이터로 지칭됨)(IL), 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되며 특정 매개변수에 따라 패터닝 디바이스(MA)를 정확히 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 마스크 지지체 (예를 들어, 마스크 테이블)(MT), 기판 (예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지시키도록 구성되며 특정 매개변수에 따라 기판 지지체를 정확히 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 지지체 (예를 들어, 웨이퍼 테이블)(WT), 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여되는 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영하도록 구성된 투영 시스템 (예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함하고 있다.
작동 시, 조명 시스템(IL)은 방사선 소스(SO)로부터, 예를 들어 빔 전달 시스템(BD)을 통하여 방사선 빔을 받아들인다. 조명 시스템(IL)은 방사선을 지향시키고 성형하고 및/또는 제어하기 위하여, 굴절형, 반사형, 자기형, 전자기형, 정전형, 및/또는 다른 유형의 광학 구성 요소 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 요소를 포함할 수 있다. 일루미네이터(IL)는 패터닝 디바이스(MA)의 평면에서의 그의 횡단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 조정하기 위해 이용될 수 있다.
본 명세서에서 이용되는 용어 "투영 시스템"(PS)은 이용되고 있는 노광 방사선에, 및/또는 침지 액체의 이용 또는 진공의 이용과 같은 다른 요인에 적절한, 굴절형, 반사형, 반사 굴절형(catadioptric), 애너포믹(anamorphic), 자기형, 전자기형 및/또는 정전형 광학 시스템, 또는 이들의 임의의 조합을 포함하는 다양한 유형의 투영 시스템을 포함하는 것으로 광범위하게 해석되어야 한다. 본 명세서에서의 용어 "투영 렌즈"의 임의의 이용은 더 포괄적인 용어 "투영 시스템"(PS)과 동의어로 간주될 수 있다
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위하여 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 덮일 수 있는 유형 -이는 또한 침지 리소그래피로 지칭됨-일 수 있다. 침지 기술에 관한 더 많은 정보가 미국 특허 제6,952,253호에 제공되며, 이 특허는 원용에 의해 본 명세서에 포함된다.
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT)를 갖는 유형 (또한 "이중 스테이지"로 명명된다)일 수 있다. 이러한 "다중 스테이지" 기계에서, 기판 지지체(WT)들이 동시에 이용될 수 있으며, 및/또는 기판(W)의 후속 노광의 준비 단계가 기판 지지체(WT)들 중 하나 위에 위치된 기판(W) 상에서 수행될 수 있는 반면에, 다른 기판 지지체(WT) 상의 또 다른 기판(W)은 다른 기판(W) 상에 패턴을 노광시키기 위해 이용되고 있다.
기판 지지체(WT)에 더하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지시키도록 배열된다. 센서는 투영 시스템(PS)의 특성 또는 방사선 빔(B)의 특성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 유지시킬 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 침지 액체를 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)으로부터 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
작동 시, 방사선 빔(B)은 마스크 지지체(MT) 상에 유지되는 패터닝 디바이스(MA), 예를 들어 마스크에 입사되며, 패터닝 디바이스(MA) 상에 존재하는 패턴 (디자인 레이아웃)에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지른 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속시킨다. 제2 포지셔너(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는, 예를 들어 집속되고 정렬된 위치에서 방사선 빔(B)의 경로에 상이한 타겟 부분(C)들을 위치시키기 위해, 정확하게 이동될 수 있다. 이와 유사하게, 제1 포지셔너(PM) 및 가능하면 (도 1에는 명확하게 도시되지 않은) 또 다른 위치 센서는 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확히 위치시키기 위해 이용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용 타겟 부분을 점유하고 있지만, 이들은 타겟 부분들 사이의 공간에 위치될 수 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟 부분(C)들 사이에 위치될 때 스크라이브 레인 정렬 마크로서 알려져 있다.
본 발명을 명확하게 하기 위하여, 직교 좌표계(Cartesian coordinate system)가 이용된다. 직교 좌표계는 3개의 축, 즉 x-축, y-축 및 z-축을 갖는다. 3개의 축의 각각은 다른 2개의 축과 직교한다. x-축을 중심으로 하는 회전은 Rx-회전으로서 지칭된다. y-축을 중심으로 하는 회전은 Ry-회전으로서 지칭된다. z-축을 중심으로 하는 회전은 Rz-회전으로서 지칭된다. x-축과 y-축은 수평 평면을 규정하는 반면에, z축은 수직 방향이다. 직교 좌표계는 본 발명을 제한하지 않으며 명확함을 위해서만 이용된다. 대신에, 원통형 좌표계와 같은 또 다른 좌표계가 본 발명을 명확하게 하기 위해 이용될 수 있다. 직교 좌표계의 배향은, 예를 들어 z-축이 수평 평면을 따르는 성분을 갖도록 상이할 수 있다.
도 2는 도 1의 리소그래피 장치(LA)의 일부의 보다 상세한 도면을 보여주고 있다. 리소그래피 장치(LA)는 베이스 프레임(BF), 균형 질량체(balance mass)(BM), 계측 프레임(MF) 및 진동 절연 시스템(IS)을 구비할 수 있다. 계측 프레임(MF)은 투영 시스템(PS)을 지지한다. 부가적으로, 계측 프레임(MF)은 위치 측정 시스템(PMS)의 일부를 지지할 수 있다. 계측 프레임(MF)은 진동 절연 시스템(IS)을 통해 베이스 프레임(BF)에 의해 지지되어 있다. 진동 절연 시스템(IS)은 진동이 베이스 프레임(BF)으로부터 계측 프레임(MF)으로 전파되는 것을 방지하도록 또는 감소시키도록 배열되어 있다.
제2 포지셔너(PW)는 기판 지지체(WT)와 균형 질량체(BM) 사이에 구동력을 제공함으로써 기판 지지체(WT)를 가속시키도록 배열되어 있다. 구동력은 기판 지지체(WT)를 원하는 방향으로 가속시킨다. 운동량의 보존으로 인하여, 구동력은 또한 균형 질량체(BM)에 동일한 크기로, 그러나 원하는 방향과 반대 방향으로 가해진다. 전형적으로, 균형 질량체(BM)의 질량은 제2 포지셔너(PW)의 이동부 및 기판 지지체(WT)의 질량보다 상당히 크다.
실시예에서, 제2 포지셔너(PW)는 균형 질량체(BM)에 의해 지지된다. 예를 들어, 제2 포지셔너(PW)는 평면 모터(planar motor)를 포함하여 기판 지지체(WT)를 균형 질량체(BM) 위로 부양시킨다. 또 다른 실시예에서, 제2 포지셔너(PW)는 베이스 프레임(BF)에 의해 지지된다. 예를 들어, 제2 포지셔너(PW)는 선형 모터를 포함하며, 제2 포지셔너(PW)는 가스 베어링과 같은 베어링을 포함하여 기판 지지체(WT)를 베이스 프레임(BF) 위로 부양시킨다.
리소그래피 장치(LA)는 도 3에서 개략적으로 도시된 바와 같은 위치 제어 시스템(PCS)을 포함하고 있다. 위치 제어 시스템(PCS)은 설정점(setpoint) 생성기(SP), 피드포워드(feedforward) 컨트롤러(FF) 및 피드백 컨트롤러(FB)를 포함하고 있다. 위치 제어 시스템(PCS)은 액추에이터(ACT)에 구동 신호를 제공한다. 액추에이터(ACT)는 제1 포지셔너(PM) 또는 제2 포지셔너(PW)의 액추에이터 및/또는 리소그래피 장치(LA)의 다른 이동 구성 요소일 수 있다. 예를 들어, 액추에이터(ACT)는 기판 지지체(WT) 또는 마스크 지지체(MT)를 포함할 수 있는 플랜트(plant)(P)를 구동할 수 있다. 플랜트(P)의 출력은 위치, 또는 속도 또는 가속도, 또는 위치의 또 다른 고차 시간 도함수와 같은 위치량(position quantity)이다. 위치량은 위치 측정 시스템(PMS)으로 측정된다. 위치 측정 시스템(PMS)은 플랜트(P)의 위치량을 나타내는 위치 신호인 신호를 생성한다. 설정점 생성기(SP)는 플랜트(P)의 원하는 위치량을 나타내는 기준 신호인 신호를 생성한다. 예를 들어, 기준 신호는 기판 지지체(WT)의 원하는 궤적을 나타낸다. 기준 신호와 위치 신호 간의 차이는 피드백 컨트롤러(FB)에 대한 입력을 형성한다. 입력을 기반으로, 피드백 컨트롤러(FB)는 액추에이터(ACT)에 대한 구동 신호의 적어도 일부를 제공한다. 기준 신호는 피드포워드 컨트롤러(FF)에 대한 입력을 형성할 수 있다. 입력을 기반으로, 피드포워드 컨트롤러(FF)는 액추에이터(ACT)에 대한 구동 신호의 적어도 일부를 제공한다. 피드포워드 컨트롤러(FF)는 질량, 강성, 공명 모드 및 고유 주파수와 같은, 플랜트(P)의 동적 특성에 관한 정보를 이용할 수 있다. 도 3에서 보여지는 시스템의 부가적인 세부 사항이 아래에서 설명된다.
도 4에서 보여지는 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(LC)의 일부를 형성할 수 있으며, 이는 때때로 리소셀 또는 (리소) 클러스터로도 지칭되고, 흔히 기판(W) 상에서 노광 전 및 노광 후 공정을 수행하기 위한 장치도 포함한다. 통상적으로, 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 예를 들어, 기판(W)의 온도를 조정하기 위한, 예를 들어 레지스트 층 내의 용매를 조정하기 위한 냉각 플레이트(CH) 및 베이크 플레이트(BK)를 포함하고 있다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하고, 기판을 상이한 공정 장치 사이에서 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(LB)에 전달한다. 흔히 통칭적으로 트랙으로도 지칭되는, 리소셀 내의 디바이스는 전형적으로, 자체가 감독 제어 시스템(SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있으며, 감독 제어 시스템은 또한 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록 하기 위하여, 기판을 검사하여 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은, 패터닝된 구조체의 특성을 측정하는 것이 바람직하다. 이 목적을 위하여, 검사 툴 (보이지 않음)이 리소셀(LC) 내에 포함될 수 있다. 오차가 검출된다면, 특히 동일 배치(batch) 또는 로트(lot)의 다른 기판(W)이 아직 노광 또는 처리되기 전에 검사가 수행된다면, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있다.
계측 장치로도 지칭될 수 있는 검사 장치는 기판(W)의 특성을 결정하기 위해, 그리고 특히 상이한 기판(W)들의 특성이 어떻게 달라지는지 또는 동일한 기판(W)의 상이한 층들과 연관된 특성이 층마다 어떻게 달라지는지를 결정하기 위해 이용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 확인하도록 구성될 수 있으며, 또한 예를 들어 리소셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수 있다. 검사 장치는 잠상 (노광 후의 레지스트 층의 이미지) 또는 반-잠상 (노광 후 베이크 단계(PEB) 후의 레지스트 층의 이미지), 또는 (레지스트의 노광된 또는 노광되지 않은 부분이 제거된) 현상된 레지스트 이미지, 또는 심지어 (에칭과 같은 패턴 전사 단계 이후의) 에칭된 이미지에 관한 특성을 측정할 수 있다.
전형적으로, 리소그래피 장치(LA)에서의 패터닝 공정은 기판(W) 상의 구조체의 치수 설정 및 배치의 높은 정확도를 필요로 하는 처리에 있어서 가장 중요한 단계들 중 하나이다. 이 높은 정확도를 보장하기 위해, 도 5에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "홀리스틱(holistic)" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 계측 툴(MT)(제2 시스템)에 그리고 컴퓨터 시스템(CL)(제3 시스템)에 (가상적으로) 연결된 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 핵심은 이 3개의 시스템 간의 협력을 최적화하여 전체적인 공정 윈도우를 향상시키는 것 및 리소그래피 장치(LA)에 의해 수행되는 패터닝이 공정 윈도우 내에 유지되는 것을 보장하기 위해 엄격한 제어 루프를 제공하는 것이다. 공정 윈도우는 특정 제조 공정이 규정된 결과 (예를 들어, 기능 반도체 디바이스)를 생성하는 공정 매개변수 (예를 들어, 선량, 초점, 오버레이)의 범위를 규정한다 -일반적으로 리소그래피 공정 또는 패터닝 공정의 공정 매개변수가 이 범위 내에서 달라지도록 허용된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 이용하여 어느 분해능 향상 기법을 이용할지 예측할 수 있으며 그리고 어느 마스크 레이아웃 및 리소그래피 장치 설정(setting)이 (도 5에서 제1 스케일(SC1) 내의 이중 화살표로 도시된) 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정하기 위해 컴퓨터 리소그래피 시뮬레이션 및 계산을 수행할 수 있다. 전형적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 마련된다. 컴퓨터 시스템(CL)은 또한 (예를 들어, 계측 툴(MT)로부터의 입력을 이용하여) 공정 윈도우 내에서 리소그래피 장치(LA)가 현재 작동하고 있는 것을 검출하기 위해 이용되어 예를 들어 (도 5에서 제2 스케일(SC2) 내의 "0"을 가리키는 화살표로 도시된) 차선의 처리로 인하여 결함이 존재할 수 있는지 여부를 예측할 수 있다.
계측 툴(MT)은 컴퓨터 시스템(CL)에 입력을 제공하여 정확한 시뮬레이션 및 예측을 가능하게 할 수 있으며, 또한 리소그래피 장치(LA)에 피드백을 제공하여 예를 들어 (도 5에서 제3 스케일(SC3) 내에서 다수의 화살표로 도시된) 리소그래피 장치(LA)의 교정 상태에서의 가능한 드리프트를 확인할 수 있다.
도 1 내지 도 5를 참조하여 위에서 언급된 바와 같이, 리소그래피 장치, 계측 툴 및/또는 리소셀은 전형적으로 기준 또는 또 다른 구성 요소에 대해 시편, 기판, 마스크 또는 센서 배열체를 위치시키기 이용되는 복수의 스테이지 시스템을 포함한다. 이의 예는 마스크 지지체(MT)와 제1 포지셔너(PM), 기판 지지체(WT)와 제2 포지셔너(PW), 센서 및/또는 세정 디바이스를 유지시키도록 배열된 측정 스테이지, 및 검사 툴(MT) 내에서 이용되는 스테이지이며, 검사 툴에서 기판(W)은 예를 들어 주사 전자 현미경 또는 일종의 스캐터로미터에 대하여 위치된다. 이 장치는 레티클 스테이지, 웨이퍼 스테이지, 미러, 렌즈 요소, 광원 (예를 들어, 구동 레이저, EUV 소스 등), 레티클 마스킹 스테이지, 웨이퍼 최상부 냉각기, 웨이퍼 및 레티클 핸들러, 진동 절연 시스템, 스테이지 토크 보상기, (이러한 구성 요소를 제어 및/또는 포함하는) 소프트웨어 및/또는 하드웨어 모듈, 및/또는 다른 구성 요소와 같은 여러 다른 이동 구성 요소를 포함할 수 있다. 이 예는 제한하는 것으로 의도되지 않는다.
도 6 은 실시예에 따른 예시적인 컴퓨터 시스템(CL)의 블록도이다. 컴퓨터 시스템(CL)은 본 명세서에 개시된 방법, 흐름 또는 장치를 구현하는 것을 도울 수 있다. 컴퓨터 시스템(CL)은 정보를 전달하기 위한 버스(BS) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위하여 버스(BS)와 결합된 프로세서(PRO) (또는 다수의 프로세서)를 포함하고 있다. 컴퓨터 시스템(CL)은 또한 정보 및 프로세서(PRO)에 의해 실행될 명령어를 저장하기 위하여 버스(BS)에 연결된, 랜덤 액세스 메모리(RAM) 또는 다른 동적 저장 디바이스와 같은 메인 메모리(MM)를 포함하고 있다. 메인 메모리(MM)는 또한, 예를 들어 프로세서(PRO)에 의하여 실행될 명령어의 실행 동안 일시적 변수 또는 다른 중간 정보를 저장하기 위해 이용될 수 있다. 컴퓨터 시스템(CL)은 프로세서(PRO)에 대한 정적 정보 및 명령어를 저장하기 위하여 버스(BS)에 연결된 판독 전용 메모리(ROM)(ROM) 또는 다른 정적 저장 디바이스를 더 포함한다. 정보 및 명령어를 저장하기 위하여, 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(SD)가 제공되며 버스(BS)에 연결되어 있다.
컴퓨터 이용자에게 정보를 디스플레이하기 위하여 컴퓨터 시스템(CL)은 버스(BS)를 통해, 음극선관(CRT) 또는 플랫 패널 또는 터치 패널 디스플레이와 같은 디스플레이(DS)에 연결될 수 있다. 정보 및 명령어 선택을 프로세서(PRO)에 전달하기 위하여, 영숫자 및 다른 키를 포함하는 입력 디바이스(ID)가 버스(BS)에 연결되어 있다. 또 다른 유형의 이용이자 입력 디바이스는, 방향 정보 및 명령어 선택을 프로세서(PRO)에 전달하기 위한 그리고 디스플레이(DS) 상에서의 커서 이동을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 제어부(CC)이다. 이 입력 디바이스는 전형적으로 2개의 축, 제1 축 (예를 들어, x)과 제2 축 (예를 들어, y)에서 2개의 자유도를 갖고 있으며, 이는 디바이스가 평면에서의 위치를 특정하는 것을 허용한다. 터치 패널 (스크린) 디스플레이 또한 입력 디바이스로 이용될 수 있다.
일부 실시예에서, 본 명세서에서 설명된 하나 이상의 방법의 부분들은 메인 메모리(MM)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서(PRO)에 응답하여 컴퓨터 시스템(CL)에 의해 수행될 수 있다. 이러한 명령어는 저장 디바이스(SD)와 같은 또 다른 컴퓨터 판독-가능한 매체로부터 메인 메모리(MM)로 판독될 수 있다. 메인 메모리(MM)에 포함된 명령어의 시퀀스의 실행은 프로세서(PRO)가 본 명세서에 설명된 공정 단계를 수행하게 한다. 다중 처리 배열체 내의 하나 이상의 프로세서는 또한 메인 메모리(MM)에 포함된 명령어의 시퀀스를 실행하기 위해 이용될 수 있다. 일부 실시예에서, 하드-와이어드(hard-wired) 회로가 소프트웨어 명령어 대신에 또는 그와 조합하여 이용될 수 있다. 따라서, 본 명세서 내의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
본 명세서에서 이용되는 바와 같은 용어 "컴퓨터-판독 가능한 매체"는 실행을 위하여 프로세서(PRO)에 명령어를 제공하는 것에 참여하는 임의의 매체를 지칭한다. 이러한 매체는, 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하는, 그러나 이에 제한되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는, 예를 들어 저장 디바이스(SD)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(MM)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(BS)를 구성하는 와이어를 포함하는 동축 케이블, 구리 와이어 및 광섬유를 포함한다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것과 같은 음향파 또는 광파의 형태를 취할 수 있다. 컴퓨터-판독 가능한 매체는 비일시적 매체, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍의 패턴을 갖는 임의의 다른 물리적 매체, 램(RAM), 피롬(PROM) 및 이피롬(EPROM), 플래시-이피롬(FLASH-EPROM), 임의의 다른 메모리 칩 또는 카트리지일 수 있다. 비일시적 컴퓨터-판독 가능한 매체는 기록된 명령어를 가질 수 있다. 명령어는 컴퓨터에 의하여 실행될 때 본 명세서에서 설명된 특징들 중 임의의 것을 구현할 수 있다. 일시적 컴퓨터-판독 가능한 매체는 반송파 또는 다른 전파 전자기 신호를 포함할 수 있다.
다양한 형태의 컴퓨터-판독 가능한 매체가 실행을 위하여 하나 이상의 명령어의 하나 이상의 시퀀스를 프로세서(PRO)로 전달하는데 관여할 수 있다. 예를 들어, 명령어는 초기에 원격 컴퓨터의 자기 디스크에 유지(borne)될 수 있다. 원격 컴퓨터는 명령어를 그의 동적 메모리 내로 로딩할 수 있으며, 명령어를 모뎀을 이용하여 전화선을 통해 보낼 수 있다. 컴퓨터 시스템(CL)에 로컬인 모뎀은 전화선으로 데이터를 수신할 수 있으며 적외선 송신기를 이용하여 데이터를 적외선 신호로 변환시킬 수 있다. 버스(BS)에 연결된 적외선 검출기는 적외선 신호로 운반된 데이터를 수신할 수 있으며 데이터를 버스(BS)에 배치할 수 있다. 버스(BS)는 데이터를 메인 메모리(MM)로 운반하며, 프로세서(PRO)는 메인 메모리로부터 명령어를 검색하고 실행한다. 메인 메모리(MM)에 의해 수신된 명령어는 프로세서(PRO)에 의한 실행 전 또는 실행 후에 저장 디바이스(SD)에 선택적으로 저장될 수 있다
컴퓨터 시스템(CL)은 또한 버스(BS)에 연결되어 있는 통신 인터페이스(CI)를 포함할 수 있다. 통신 인터페이스(CI)는 로컬 네트워크(LAN)에 연결되어 있는 네트워크 링크(NDL)에 대한 양방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(CI)는 대응하는 유형의 전화선에 대한 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 또 다른 예로서, 통신 인터페이스(CI)는 호환 가능한 LAN에 대한 데이터 통신 연결을 제공하기 위한 근거리 통신 네트워크(LAN) 카드일 수 있다. 무선 링크 또한 구현될 수 있다. 임의의 이러한 구현 형태에서, 통신 인터페이스(CI)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기, 전자기 또는 광학 신호를 송신 및 수신한다.
네트워크 링크(NDL)는 전형적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(NDL)는 로컬 네트워크(LAN)를 통해 호스트 컴퓨터(HC)에 대한 연결을 제공할 수 있다. 이는 현재 흔히 "인터넷"(INT)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스를 포함할 수 있다. 로컬 네트워크(LAN)(인터넷)는 디지털 데이터 스트림을 운반하는 전기, 전자기 또는 광학 신호를 이용한다. 컴퓨터 시스템(CL)으로 그리고 컴퓨터 시스템으로부터 디지털 데이터를 운반하는, 다양한 네트워크를 통한 신호 및 네트워크 데이터 링크(NDL) 상의 그리고 통신 인터페이스(CI)를 통한 신호는 정보를 운반하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(CL)은 네트워크(들), 네트워크 데이터 링크(NDL), 및 통신 인터페이스(CI)를 통하여 메시지를 보낼 수 있으며 또한 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷 예에서, 호스트 컴퓨터(HC)는 인터넷(INT), 네트워크 데이터 링크(NDL), 로컬 네트워크(LAN) 및 통신 인터페이스(CI)를 통하여 응용 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 애플리케이션은 본 명세서에서 설명된 방법의 전부 또는 일부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(PRO)에 의해 실행될 수 있으며 및/또는 추후 실행을 위하여 저장 디바이스(SD) 또는 다른 비휘발성 저장부에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(CL)은 반송파의 형태의 애플리케이션 코드를 획득할 수 있다.
도 7은 패터닝 공정을 위한 제어 출력을 생성하는 예시적인 방법(700)을 도시하고 있다. 패터닝 공정은 패터닝 공정 장치 및/또는 다른 장치로 수행된다. 일부 실시예에서, 장치는 스캐너와 같은 반도체 리소그래피 장치, 스캐터로미터와 같은 광학 계측 검사 툴, 주사 전자 현미경과 같은 e-빔 검사 툴, 및/또는 다른 장치를 포함한다. 본 방법(700)은 기계 학습 모델과 같은 매개변수화된 모델을 트레이닝시키는 것(702); 제어 입력을 수신하는 것(704); 매개변수화된 모델로 제어 출력을 결정하는 것(706); 적어도 제어 출력을 기반으로 장치를 제어하는 것(708); 모델을 업데이트, 미세-조정 및/또는 재-트레이닝시키는 것(710), 및/또는 다른 작동을 포함한다. 일부 실시예에서, 본 방법(700)은 예를 들어 반도체 제조 공정을 위해 (또는 그의 일부로서) 수행된다.
아래에 제시된 방법(700)의 동작은 예시적인 것으로 의도된다. 일부 실시예에서, 본 방법(700)은 설명되지 않은 하나 이상의 추가 작동으로 및/또는 논의된 작동들 중 하나 이상 없이 달성될 수 있다. 예를 들어, 본 방법(700)은 매개변수화된 (기계 학습) 모델을 트레이닝시키는 것을 요구하지 않을 수 있다 (예를 들어, 모델은 사전 트레이닝될 수 있다). 또 다른 예로서, 본 방법(700)은 장치를 실제로 제어하는 것(708) 및/또는 모델을 업데이트하는 것(710)을 포함할 수 있거나 포함하지 않을 수 있다. 부가적으로, 도 7에 도시되는 그리고 아래에 설명되는, 본 방법(700)의 작동이 순서는 제한하는 것으로 의도되지 않는다.
일부 실시예에서, 본 방법(700)의 하나 이상의 부분은 하나 이상의 처리 디바이스 (예를 들어, 하나 이상의 프로세서)에서 (예를 들어, 시뮬레이션, 모델링 등에 의하여) 구현될 수 있다. 하나 이상의 처리 디바이스는 전자 저장 매체에 전자적으로 저장된 명령어에 응답하여 본 방법(700)의 작동들 중 일부 또는 전부를 실행하는 하나 이상의 디바이스를 포함할 수 있다. 예를 들어, 하나 이상의 처리 디바이스는 본 방법(700)의 작동들 중 하나 이상의 실행을 위해 특별히 설계될 하드웨어, 펌웨어 및/또는 소프트웨어를 통해 구성된 하나 이상의 디바이스를 포함할 수 있다.
위에서 설명된 바와 같이, 본 방법(700)은 기계 학습 모델을 트레이닝시키는 것(702)을 포함한다. 기계 학습 모델은 임의의 매개변수화된 모델일 수 있다. 일부 실시예에서, 기계 학습 모델은 신경망 및/또는 다른 기계 학습 모델일 수 있으며 및/또는 이를 포함할 수 있다. 예를 들어, 기계 학습 모델은 입력 층, 출력 층 및 하나 이상의 중간 층 또는 은닉 층을 갖는 하나 이상의 인공 신경망일 수 있으며 및/또는 이 인공 신경망을 포함할 수 있다. 일부 실시예에서, 하나 이상의 인공 신경망은 심층 신경망 (예를 들어, 입력 층과 출력 층 사이에 하나 이상의 중간 층 또는 은닉 층을 갖는 신경망)일 수 있으며 및/또는 이를 포함할 수 있다. 일부 실시예에서, 하나 이상의 인공 신경망은 하나 이상의 콘볼루션 신경망(convolutional neural network)(CNN), 하나 이상의 순환 신경망(recurrent neural network)(RNN) 및/또는 다른 신경망을 포함할 수 있다.
예로써, 하나 이상의 인공 신경망은 대규모 집단(collection)의 신경 유닛 (또는 인공 뉴런(neuron))을 기반으로 할 수 있다. 하나 이상의 신경망은 생물학적 뇌(brain)가 (예를 들어, 축삭 돌기(axons)에 의해 연결된 생물학적 뉴런의 큰 클러스터를 통해) 작동하는 방식을 엄밀하지 않게 모방할 수 있다. 인공 신경망의 각 신경 유닛은 신경망의 다른 많은 신경 유닛과 연결될 수 있다. 이러한 연결부는 연결된 신경 유닛들의 활성화 상태에 미치는 영향을 강제하거나 억제할 수 있다. 일부 실시예에서, 각 개별 신경 유닛은 그의 모든 입력의 값들을 함께 조합하는 합산 기능을 가질 수 있다. 일부 실시예에서, 각 연결부 (또는 신경 유닛 자체)는 신호가 다른 신경 유닛으로 전파되도록 허용되기 전에 임계값을 초과해야 하도록 임계값 기능을 가질 수 있다. 이 신경망 시스템은 명시적으로 프로그래밍되기 보다는 자체 학습 및 트레이닝될 수 있으며, 또한 전형적인 컴퓨터 프로그램과 비교하여, 특정 분야의 문제 해결을 상당히 더 잘 수행할 수 있다. 일부 실시예에서, 하나 이상의 인공 신경망은 다수의 층을 포함할 수 있다 (예를 들어, 여기서 신호 경로는 전면 층에서 후면 층으로 가로지른다). 일부 실시예에서, 후면 전파 기술은 인공 신경망에 의해 활용될 수 있으며, 여기서 전방 자극은 "전방" 신경 유닛에 대한 가중치 및/또는 바이어스를 재설정하기 위해 이용된다. 일부 실시예에서, 하나 이상의 신경망에 대한 자극 및 억제는 더 자유로운 흐름일 수 있으면서, 연결부들은 더 무질서하고 복잡한 방식으로 상호작용한다. 일부 실시예에서, 하나 이상의 인공 신경망의 중간 층은 하나 이상의 콘볼루션 층(convolutional layer), 하나 이상의 순환 층(recurrent layer) 및/또는 다른 층을 포함한다. 비제한적인 예로서, 인공 신경망은 입력 층, 은닉 층들 및 출력 층 사이에 분포된 다수의 뉴런을 가질 수 있다. 이러한 인공 신경망은 다차원의 비선형성을 캡처하기에 그리고 전형적인 컴퓨팅 시스템 (예를 들어, 랩톱(laptop))에서 패터닝 공정에 적합한 샘플링 레이트로 제어 신호를 계산하기에 충분한 자유도를 가질 수 있다.
하나 이상의 신경망은 (예를 들어, 본 명세서에 설명된 바와 같이) 트레이닝 데이터 세트를 이용하여 트레이닝될 수 있다 (즉, 그의 매개변수가 결정된다). 트레이닝 데이터는 복수의 벤치마크 트레이닝 제어 입력 및 대응하는 트레이닝 제어 출력 쌍을 포함할 수 있다. 트레이닝 데이터는 트레이닝 샘플 세트를 포함할 수 있다. 각 샘플은 (흔히 특징 벡터(feature vector)로 불릴 수 있는 벡터로 형식화된) 입력 객체와 (감시 신호(supervisory signal)로도 불리는) 원하는 출력 값을 포함하는 쌍(pair)일 수 있다. 신경망 (예를 들어, 기계 학습 모델)은 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위하여 트레이닝 제어 출력을 피드백으로서 이용하도록 구성되며, 여기서 하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 간의 비교를 기반으로 업데이트된다.
트레이닝 알고리즘은 트레이닝 데이터를 분석하며 또한 트레이닝 데이터를 기반으로 인공 신경망의 매개변수 (예를 들어, 하나 이상의 층의 가중치, 바이어스 등 및/또는 다른 매개변수)를 조정함으로써 인공 신경망의 거동을 조정한다. 예를 들어, x는 i번째 샘플의 특징 벡터이고 y는 그의 감시 신호이도록
Figure pct00002
형식의 N 개의 트레이닝 샘플 세트를 고려해볼 때, 트레이닝 알고리즘은 신경망
Figure pct00003
를 구하며, 여기서 X는 입력 공간이고 Y는 출력 공간이다. 특징 벡터는 일부 객체 (예를 들어, 하나 이상의 패터닝 공정 매개변수, 회절 패턴 이미지, 동작 설정점, 웨이퍼 및/또는 레티클 등에 대한 부하 시퀀스 등과 같은 제어 입력 또는 피드포워드 신호 등과 같은 제어 출력)를 나타내는 수치형 특징의 n-차원 벡터이다. 이 벡터와 연관된 벡터 공간은 흔히 특징 공간 또는 잠재 공간으로 불린다. 트레이닝 후, 신경망은 새로운 샘플 (예를 들어, 상이한 패터닝 공정 매개변수들, 상이한 회절 패턴 이미지들, 설정점들, 부하 시퀀스 및/또는 다른 제어 입력)을 이용하여 예측하기 위해 이용될 수 있다.
일부 실시예에서, 패터닝 공정을 제어하기 위하여 제어 입력이 이용된다. 일부 실시예에서, 제어 입력은 패터닝 공정을 모니터링하는 것 및/또는 진단하는 것과 연관된다. 예를 들어, 제어 입력은 패터닝 공정을 제어하기 위해 이용되는 하나 이상의 매개변수, 패터닝 공정을 모니터링하기 위해 이용되는 계측 매개변수, 패터닝 공정을 진단하기 위해 이용되는 오차 (예를 들어, 피드백) 매개변수, 및/또는 다른 정보를 포함할 수 있다. 하나 이상의 매개변수는 회절 패턴 이미지, 웨이퍼 가열, 렌즈 가열, 미러 가열, 동작 설정점, 웨이퍼 및/또는 레티클에 대한 부하 시퀀스, 및/또는 패터닝 공정의 다른 양태를 규정할 수 있으며 및/또는 그렇지 않으면 이와 연관될 수 있다. 일부 실시예에서, 하나 이상의 매개변수는 하나 이상의 리소그래피 장치, 광학 계측 검사 툴, e-빔 검사 툴, 및/또는 다른 장치 매개변수, 및/또는 연관된 리소그래피 및/또는 검사 공정 매개변수, 예를 들어 임계 치수, 오버레이, 초점, 파면 수차 및/또는 다른 기타 매개변수를 포함할 수 있다.
트레이닝 제어 입력은 패터닝 공정의 복수의 작동 조건에 대응한다. 패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 특정 거동 (패터닝 공정의 변화)을 일으키는 패터닝 공정의 특정 구성, 모드, 설정 및 상태에 연관된다. 이는 공정 드리프트, 장치 구성 요소 가열 및/또는 냉각, 장치 구성 요소 위치, 이동 및/또는 변형, 및/또는 다른 변경 및/또는 거동과 관련될 수 있다. 일부 실시예에서, 트레이닝 제어 입력은 공정 극단 값(extremes), 이 공정 극단 값이 시간에 따라 어떻게 변하는지를, 및/또는 다른 데이터를 나타내도록 구성될 수 있다. 일부 실시예에서, 실제 공정 매개변수, 측정치 및/또는 다른 데이터가 시간에 따른 패터닝 공정의 작동 조건 특정 거동 (예를 들어, 시간에 따른 패터닝 공정의 변화)을 나타내도록 실제 공정 매개변수, 측정치 및/또는 다른 데이터는 상이한 시점에 대한 패터닝 공정을 위해 수집될 수 있으며 트레이닝 제어 입력으로서 이용될 수 있다. 일부 실시예에서, 트레이닝 제어 입력은 (예를 들어, 공지된 이전 패터닝 공정 정보를 기반으로) 시뮬레이션될 수 있다. 일부 실시예에서, 트레이닝 제어 입력은 (예를 들어, 본 명세서에 설명된 바와 같은 컴퓨팅 시스템의 일부로서 포함된 이용이자 인터페이스를 통해) 이용자에 의해 입력 및/또는 선택될 수 있다.
트레이닝 제어 출력은 패터닝 공정의 시뮬레이션, 실제 공정 데이터 및/또는 다른 정보로부터 생성된 데이터를 포함한다. 트레이닝 데이터를 생성하기 위한 패터닝 공정의 시뮬레이션은, 예를 들어 물리적 모델로 수행될 수 있다. 시뮬레이션은 주어진 제어 입력과 시뮬레이션된 제어 출력이 위에서 설명된 바와 같은 트레이닝 쌍을 형성하도록 트레이닝 제어 입력을 기반으로 한다. 또 다른 예에서, 시뮬레이션은 패터닝 공정의 작동 조건의 정보를 기반으로 한다. 일부 실시예에서 시뮬레이션은 패터닝 공정의 물리적 모델에 의해 수행된다. 일부 실시예에서, 물리적 모델은 순전히 작동 조건 입력을 기반으로 제어 출력을 시뮬레이션할 수 있다.
일부 실시예에서, 주어진 제어 입력으로 패터닝 공정의 이전 성능을 기반으로 이루어진 측정 및/또는 다른 결정을 포함하는 실제 공정 데이터가 시뮬레이션된 제어 출력 대신 및/또는 이에 더하여 이용될 수 있다. 트레이닝 제어 출력은 처리 장치의 하나 이상의 구성 요소의 시뮬레이션된 거동, 시뮬레이션된 피드포워드 신호, 및/또는 다른 정보를 포함할 수 있다. 이들은 장치의 하나 이상의 구성 요소의 복수의 시뮬레이션된 가열 및/또는 냉각 특성; 시뮬레이션된 힘, 토크, 전류, 전하, 전압, 및/또는 복수의 동작 설정점에 대응하는 구성 요소에 대한 다른 정보 (예를 들어, 변화하는 타겟 매개변수), 및/또는 다른 정보를 포함할 수 있으며 및/또는 이들을 기반으로 생성될 수 있다.
트레이닝된 기계 학습 모델은 제어 입력을 기반으로, 패터닝 공정에 대한 제어 출력을 결정하도록 구성된다. 제어 입력이 트레이닝 데이터의 범위 밖에 있는지 여부에 관계없이 기계 학습 모델이 제어 출력을 결정하도록 기계 학습 모델은 트레이닝 데이터로 트레이닝된다. 예를 들어, 이는 기계 학습 모델이 알려진 제어 입력과 대응 제어 출력 사이를 보간할 수 있으며 및/또는 알려진 제어 입력과 출력을 넘어 외삽할 수 있다는 것을 의미한다. 이는 또한 처리 조건들 간의 보간 및/또는 외삽을 효과적으로 가능하게 한다 (예를 들어, 모델이 레티클 A, C 및 D에 대해 교정된다면, 그후 기계 학습 모델은 (물리적 모델링 없이) 레티클 B에 대한 예상 제어 출력을 기반으로 제어 입력을 추론할 수 있다).
본 방법(700)은 패터닝 공정을 제어하기 위한 제어 입력을 수신하는 것(704)을 포함한다. 위에서 설명된 바와 같이, 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수, 및/또는 다른 정보를 포함할 수 있다. 일부 실시예에서, 제어 입력은 패터닝 공정을 모니터링 및/또는 진단하기 위한 매개변수와 연관된다. 예를 들어, 하나 이상의 매개변수는 회절 패턴 이미지, 웨이퍼 가열, 렌즈 가열, 미러 가열, 동작 설정점, 웨이퍼 및/또는 레티클에 대한 부하 시퀀스, 및/또는 패터닝 공정의 다른 양태를 규정할 수 있으며 및/또는 그렇지 않으면 이들과 연관될 수 있다. 일부 실시예에서, 예를 들어, 제어 입력은 회절 패턴 이미지, 동작 설정점, 웨이퍼 및/또는 레티클에 대한 부하 시퀀스, 및/또는 다른 제어 입력을 포함한다. 일부 실시예에서, 제어 입력은 장치 구성 요소의 규정된 이동을 나타낼 수 있다. 제어 입력은 (예를 들어, 이전 처리를 기반으로 결정된) 패터닝 공정과 연관된 장치 및/또는 컴퓨팅 시스템으로부터 전자적으로 수신될 수 있으며, (예를 들어, 본 명세서에서 설명된 바와 같이 컴퓨팅 시스템의 일부로서 포함된 이용이자 인터페이스를 통해) 이용자에 의하여 입력 및/또는 선택될 수 있고, 및/또는 다른 방식으로 수신될 수 있다.
본 방법(700)은 기계 학습 모델로 패터닝 공정에 대한 제어 출력을 생성하는 것(706)을 포함한다. 제어 출력은 제어 입력 및/또는 다른 정보를 기반으로, 트레이닝된 기계 학습 모델로 결정된다. 제어 출력은 하나 이상의 패터닝 공정 매개변수의 조정 및/또는 다른 정보일 수 있으며 및/또는 이를 포함할 수 있다. 일부 실시예에서, 제어 출력은 패터닝 공정을 모니터링하는 것 및/또는 진단하는 것과 연관된 하나 이상의 매개변수의 조정을 포함한다. 이는 어느 계측 매개변수가 측정되는지, 이들이 어떻게 측정되는지, 이들이 언제 측정되는지를 조정하는 것, 및/또는 다른 조정을 포함할 수 있다. 일부 실시예에서, 예를 들어 제어 출력은 피드포워드 신호를 포함할 수 있다. 피드포워드 신호는, 예를 들어 하나 이상의 매개변수의 조정을 특정할 수 있다. 이는 매개변수 값(예를 들어, 선량, 전력 등)을 한 레벨에서 또 다른 레벨로 변경하기 위한, 장치의 하나 이상의 구성 요소의 이동 (예를 들어, 클램프, 스테이지, 렌즈 등의 위치, 속도, 가속도 등; 미러 배열체 등)을 변경하기 위한, 공정 및/또는 이동 레시피를 변경 (예를 들어, 포함된 단계 변경)하기 위한 및/또는 다른 조정을 위한 명령어를 포함할 수 있다. 예를 들어, 일부 실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
일부 실시예에서, 제어 출력은 패터닝 공정 및/또는 패터닝 공정 장치 (및/또는 그 안에 포함된 하나 이상의 구성 요소)가 제어 입력을 기반으로 어떻게 변하는지에 대한 표시일 수 있으며 및/또는 이 표시를 포함할 수 있다. 예를 들어, 제어 출력은 렌즈, 웨이퍼, 및/또는 다른 구성 요소가 시간에 따라 어떻게 가열되는지 및/또는 및/또는 상이한 제어 입력에 따라 어떻게 상이하게 가열되는지에 대한 표시일 수 있으며 및/또는 이 표시를 포함할 수 있다.
본 방법(700)은 적어도 부분적으로 제어 출력을 기반으로 패터닝 공정 장치를 제어하는 것(708)을 포함한다. 패터닝 공정 장치를 제어하는 것(708)은 피드포워드 신호 및/또는 다른 전자 신호를 생성하는 것을 포함할 수 있다. 패터닝 공정 장치를 제어하는 것(708)은 피드포워드 신호 및/또는 다른 전자 신호를 패터닝 공정 장치 (및/또는 장치의 액추에이터와 같은 하나 이상의 개별 구성 요소)로 전송하는 것을 포함할 수 있다. 본 명세서에서 설명된 바와 같이, 기계 학습 모델은 제어 입력이 트레이닝 데이터의 범위 밖에 있는지 여부에 관계없이 제어 출력을 결정할 수 있다. 인공 신경망과 같은 기계 학습 모델은 보간(interpolation) 및 외삽(extrapolation)에 효과적이다.
본 방법(700)은 기계 학습 모델을 업데이트 및/또는 미세 조정하는 것(710)을 포함한다. 이는 패터닝 공정의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 기계 학습 모델을 업데이트 및/또는 미세 조정하는 것을 포함한다. 패터닝 공정으로부터 시뮬레이션된 및/또는 실제 공정 트레이닝 데이터로 기계 학습 모델을 트레이닝(702)시키는 것은, 예를 들어 초기 교정으로 생각될 수 있다. 재-트레이닝시키는 것은 시간에 따라 패터닝 공정에서 발생하는 드리프트를 처리(account for)하도록 구성된 하나 이상의 드리프트 교정으로 미세 조정되도록 기계 학습 모델을 구성하는 것을 포함한다. 트레이닝(702) 및/또는 업데이트/미세 조정(710)은 기계 학습 모델에 대한 하나 이상의 계수(coefficients)를 생성할 수 있다. 예를 들어, 하나 이상의 계수는 예를 들어 층 및/또는 개별 뉴런 가중치 및/또는 바이어스, 및/또는 다른 계수를 포함할 수 있다. 이 계수는 재-트레이닝/업데이트/조정되고 있는 모델, 이용자에 의한 수동 조정, 및/또는 다른 작동에 응답하여 시간에 따라 변경될 수 있다.
일부 실시예에서, 트레이닝(702) 및/또는 업데이트/미세 조정(710)은 오프-라인, 온라인 또는 오프-라인과 온라인 조합으로 수행된다. 오프-라인 트레이닝은 패터닝 공정 및/또는 패터닝 공정 장치와 별도로 일어나는 절차를 포함할 수 있다. 이는 기계 학습 모델을 트레이닝시키는 및/또는 업데이트하는 동안 기계 (장치) 생산(예를 들어, 반도체 제조)이 중단될 필요가 없다는 것을 의미한다. 온라인 트레이닝은 생산 제조가 진행되는 동안 기계(장치)로 트레이닝시키는 것을 포함한다. 기계 (장치)가 트레이닝 동작을 수행하는 것이 요구되기 때문에 이는 생산이 중단될 것을 요구할 수 있다.
일부 실시예에서, 기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 미세 조정함으로써 시간에 따라 업데이트되도록 구성된다. 일부 실시예에서, 기계 학습 모델은 제1 업데이트된 모델 매개변수 값을 결정하기 위해 기계 학습 모델과 함께 생산 환경 국부 패터닝 공정과 연관된 국부적인 실제 공정 데이터를 수신하기 위하여; 기계 학습 모델에 적어도 부분적으로 외부 트레이닝 데이터-외부 트레이닝 데이터는 장치 변화에 대해 패터닝 공정 장치를 나타냄-를 제공함으로써 획득된 제2 업데이트된 모델 매개변수 값을 수신하기 위하여; 그리고 제1 및/또는 제2 업데이트된 모델 매개변수 값으로 초기 모델 매개변수 값을 업데이트함으로써 기계 학습 모델을 조정하기 위하여 구성된다. 예를 들어, 국부적인 실제 공정 데이터는 국부 사이트(local site)에서 패터닝 공정을 실행하는 제조자에 의해 생성될 수 있다. 새로운 국부적인 실제 공정 데이터가 생성됨에 따라, 이는 모델의 재-리트레이닝 (예를 들어, 업데이트/미세 조정)을 용이하게 하기 위해 기계 학습 모델에 공급될 수 있다. 이는, 예를 들어 제1 업데이트된 모델 매개변수를 생성하는 것을 포함할 수 있다. 또한, 기계 학습 모델은 원래 모델을 생성한 외부 공급자 또는 프로그래머로부터 제2 업데이트된 모델 매개변수를 포함하는 업데이트를 수신하도록 구성될 수 있다. 이 매개변수는 예를 들어 국부 사이트 외부에서 생성된 데이터를 기반으로 결정될 수 있다.
본 방법(700) 및/또는 본 기계 학습 모델의 작동의 특정 예 및/또는 적용은 아래에서 논의되는 예 및 도면에 설명되어 있다.
예 1- 동작 설정점 및 드리프트
유리하게는, 본 기계 학습 모델은 (본 명세서에서 설명된 바와 같은) 다양한 제어 입력을 기반으로 트레이닝되도록 구성되어 (예를 들어, 웨이퍼 및/또는 레티클 스테이지 등의) 위치, 속도 및 가속도 등을 포함하는 매개변수 공간 내의 설정점 및 외란력의 관련 변화, 및 또한 리소그래피 장치 (예를 들어, 스캐너) 스테핑, 열 드리프트, 장기 드리프트, 냉각 후드(hood) 효과, 침지 후드 효과, 마찰-기계적 제어 효과 및/또는 패터닝 공정과 연관된 다른 효과의 관련 변화를 설명한다.
예를 들어, 스캐너와 같은 패터닝 공정 장치에서의 스테핑 동안의 반력은 스캔이 시작될 때, 특히 제로 설정 시간을 목표로 할 때 완전히 감쇠되지 않는 역학 관계(dynamics)를 여기시킨다. 이 효과는 스테핑 가속 (즉, 크기) 및 스테핑 시간(즉, 위상)으로 나타내어질 수 있으며 이들은 기계 학습 모델을 트레이닝시키기 위하여 이용되는 제어 입력 매개변수에 포함될 수 있다. (예를 들어, 액추에이터 및/또는 스캐너의 다른 구성 요소의) 작동 응답은 가열될 때 드리프트될 수 있으며, 이는 액추에이터의 냉각수 복귀 채널 내의 온도 센서에 의해 관찰될 수 있다. 냉각수 복귀 채널 내의 물 온도는 기계 학습 모델을 트레이닝시키기 위하여 이용되는 제어 입력 매개 변수에 포함될 수 있다. 패터닝 공정 장치 응답은 마모 및 오염과 같은 노화 효과로 인하여 시간에 따라 (예를 들어, 긴 시간이 지남에 따라) 변할 수 있다. 이 효과는 전형적으로 스텝 앤 스캔 동작(예를 들어, 마모)의 수 그리고 노광된 웨이퍼 (예를 들어, 레지스트 탈가스에 의한 오염)의 수와 관련이 있으며, 이들은 기계 학습 모델을 트레이닝시키기 위하여 이용되는 제어 입력 매개변수에 포함될 수 있다. 냉각 후드의 압력은 특히 e-핀 홀(e-pin hole) 및/또는 다른 유사한 피처 근처에서 웨이퍼를 변형시키며 웨이퍼 스테이지 제어에 영향을 미친다. 이 영향은 냉각 후드 압력 설정점으로 나타내어질 수 있으며, 이는 기계 학습 모델을 트레이닝시키기 위하여 이용되는 제어 입력 매개변수에 포함될 수 있다. 하나 이상의 침지 후드 제어 입력 매개변수가 또한 이용되어 기계 학습 모델을 트레이닝시킬 수 있다. 마찰 기계적 제어 입력 매개변수는 웨이퍼 슬립(slip), 레티클 슬립, 웨이퍼 부하 그리드(grid), 레티클 부하 그리드 및/또는 다른 매개변수와 관련된 매개변수를 포함할 수 있다. 이 예는 제한하는 것으로 의도되지 않는다. 하나 이상의 추가 제어 입력 매개변수는: 웨이퍼/레티클 부하의 수 및 속도와 압력 프로파일과 같은 웨이퍼 로드 매개변수와 관련될 수 있으며, 이들은 모두 웨이퍼/레티클 부하 제어 및 마모(드리프트)에 모두 관련된다.
이들 및/또는 다른 제어 입력 매개변수 (및 대응 트레이닝 제어 출력)로 트레이닝되면, 기계 학습 모델은 새로운 제어 입력에 대한 대응 제어 출력을 생성하도록 구성된다. 이러한 제어 출력 (예를 들어, 이들 및/또는 다른 매개변수들 중 하나 이상의 조정)은 패터닝 공정 장치의 하나 이상의 구성 요소의 향상된 동작 제어를 위해 이용될 수 있다. 예를 들어, 이는 스캐너의 웨이퍼 및/또는 레티클 스테이지의 이동, 패터닝 공정 장치의 하나 이상의 구성 요소의 마찰-기계적 제어와 연관된 하나 이상의 매개변수의 조정, 및/또는 다른 동작 제어 (이는 많은 가능한 다른 예 중에서 비제한적인 예이다)를 제어함으로써 스캐너의 작동을 제어하는 것을 포함할 수 있다.
이 예에서, 트레이닝은 초기 트레이닝 (예를 들어, 도 7에서 보여지는 702)과 드리프트 교정 (예를 들어, 도 7에서 보여지는 업데이트/미세 조정/재-트레이닝(710))으로 나누어질 수 있다. 이는 도 8에 도시되어 있다. 도 8은 패터닝 공정 설정(800), 대량 생산(802), 유지보수(804) 및 시간(806)에 따른 계속되는 대량 생산(802)을 도시하고 있다. 초기 제어 입력 및 출력(808) (예를 들어, 이 예에서 반복 학습 제어 데이터)이 기계 학습 모델에 제공되어 기계 학습 모델을 트레이닝시킨다(810). 기계 학습 모델이 그후 대량 생산(802) 동안 초기 기계 학습 모델 매개변수로 실행된다(812). 대량 생산(802)으로부터의 실제 데이터는 초기 입력 및 출력(808) 그리고 업데이트된 입력 및 출력(816)과 함께 기계 학습 모델에 제공되어(814) 기계 학습 모델을 업데이트/조정/재-트레이닝시킨다(818). 업데이트/조정/재-트레이닝된 기계 학습 모델은 그후 계속되는 대량 생산(802)을 위하여 이용될 수 있다(820). 예를 들어, 초기 트레이닝 또는 교정(810)에서, 기계 학습 모델의 성능에 중요한 매개변수는 고객에 의한 대량 생산 전에 교정된다. 업데이트/조정/재-트레이닝(818)은 드리프트 교정을 포함할 수 있으며, 여기에서 기계 학습 모델 매개변수는 대량 생산 기간 후에 재교정된다. 예를 들어, 이는 예정되어 있는 유지 관리 동안에 발생할 수 있다.
도 8에서 보여지는 바와 같이, 기계 학습 모델은 이전 데이터, 새로운 데이터, 드리프트 매개변수 및/또는 다른 정보로 트레이닝될 수 있다. 드리프트 매개변수의 예는, 1) 마모 및 오염이 마찰 특성에 미치는 영향 (예를 들어, 웨이퍼를 유지시키는 웨이퍼 테이블과 관련된 매개변수는 클램핑 오버레이 페널티 지문(clamping overlay penalty fingerprint)을 야기하며 이는 시간에 따라 "진화(evolve)"하여 오버레이 문제를 야기함), 2) (변화하는 습도 레벨을 갖는 공기의 대상일 때 대부분의 접착제가 변형됨에 따라) 접착제 연결부의 변형으로 인한 센서 드리프트, 3) (주간/야간 사이클 또는 기계 열원에 좌우되는) 열 드리프트, 및/또는 다른 예와 관련된 매개변수를 포함한다. 이 매개변수는 시간에 따른 성능 데이터 (즉, 오버레이) 및 선택적으로 온도, 파워 레벨과 같은 드리프트 유발 변수의 직접 측정 데이터를 이용하여 추정될 수 있다.
이러한 트레이닝 데이터를 이용하여, 기계 학습 모델은 지속적인 대량 생산에서 드리프트를 예측하는 것을 학습할 수 있다. 일부 실시예에서, 적용에 따라, 드리프트는 별도의 기계 학습 모델에 의해 모델링되거나, 위에서 설명된 것과 같은 드리프트와 관련된 상이한 매개 변수 세트를 이용하여 위에서 설명된 바와 같은 초기 모델에 통합될 수 있다. 일부 실시예에서, 트레이닝은 도 8에서 보여지는 "오프라인" 트레이닝에 더하여 및/또는 그 대신에 위에서 설명된 바와 같이 "온라인"으로 완료될 수 있다.
예 2-웨이퍼 가열 보정
일부 실시예에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 열팽창과 연관된 하나 이상의 매개변수의 조정을 포함한다. 일부 실시예에서, 제어 출력은 웨이퍼 가열 제어 조정을 포함하며, 여기서 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼 가열 제어 조정을 결정하는 것을 포함한다.
예를 들어, 웨이퍼 가열 효과는 흔히 크기가 최대 10㎚인, 웨이퍼 상의 오버레이 및 초점 지문에 중요한 기여자로 인식된다. 노출 동안의 웨이퍼 (및 클램프)의 변화하는 열 변형은 웨이퍼 (및 클램프)에 의한 EUV 및 IR 방사선의 흡수 그리고 냉각 가스의 연속적인 흐름과 냉각 채널을 통한 물의 흐름에 의한 웨이퍼 (및 클램프)의 냉각에 의하여 야기된다. 지문은 설계 레이아웃, 라우팅(routing) (웨이퍼에 걸친 스캐닝 동작의 특정 패턴) 그리고 여러 기계 특정 매개변수 (예를 들어, 방사선이 IR/EUV인지 여부, 소스 파워, 클램프에서 냉각수까지의 열 전달 계수, 접선 버얼(burl) 강성 등) 뿐만 아니라 다른 제품 특정 매개변수 (예를 들어, 제품 스택, 웨이퍼 코팅, 레티클 전송 매개변수, 선량 등)에 좌우된다.
오버레이 및 초점 지문을 예측하기 위하여 여러 형태의 물리적 시뮬레이션 모델이 개발되고 있다. 이 웨이퍼 가열 보정 모델은 위에서 언급된 매개변수를 입력으로 이용하며, 또한 스캐너 작동을 통해, 웨이퍼 가열 효과를 보상 및 보정하기 위해 피드포워드 신호를 조정함으로써 오버레이 및 초점 영향을 완화하는 데 도움을 준다.
전형적인 웨이퍼 가열 보정 모델은 입력 열 부하를 추정하며 웨이퍼와 클램프의 온도 진화를 계산한다. 이는 웨이퍼 (및 클램프)의 열-기계적 변형을 추정하기 위해 그리고 (예를 들어, 관련된 매개변수를 예측함으로써) 궁극적으로 오버레이와 초점 모두에 미치는 영향을 예측하기 위해 이용된다. 그후 노광마다의 보정(correction per exposure)(CPE)이 요구되는 스캐너 작동 피드포워드 신호 조정을 결정하기 위해 이용된다.
물리학 기반 (예를 들어, 물리적) 웨이퍼 가열 제어 모델의 가장 의미 있는 정확한 형태는 웨이퍼당 몇 초에서 몇 시간에 이르는 사소하지 않은 계산 비용을 갖는다. 또한, 물리적 모델 웨이퍼 가열 제어 시뮬레이션으로부터의 예측은 경우에 따라 약 2㎚ 오차가 날 수 있다.
예를 들어 인라인 웨이퍼 가열 피드포워드 보정(WHFF) 작동에서, 주어진 동적으로 변화하는 열 부하에 대해, 열 미분 방정식이 풀려지고, 웨이퍼의 대응 기계적 변형이 고가의(expensive) 매트릭스 곱셈을 통해 획득되면서, 큰 변형 매트릭스는 사전 계산된다. 이 소위 C-매트릭스는 버얼 (예를 들어, 웨이퍼 지지체) 강성에 좌우되며 ~ 9k×12k의 치수를 갖는다. 각 웨이퍼에 대해 새로운/상이한 계산이 필요하다.
또 다른 예로서, 웨이퍼 가열 교정 모델에 대하여, 고투과 레티클과 저투과 레티클의 조합을 이용하여, 예정된 라우팅으로 고정된 레이아웃에서 노광이 이루어진다. 이 테스트는 오버레이 데이터를 이용하여, 기계 및 클램프 특정인 물리적 모델 매개변수를 결정하기 위해 시도된다. 이는 열 진화와 웨이퍼 변형 사이의 관계 결정에 도움을 주며, 이는 그후 인라인 웨이퍼 가열 피드포워드 보정에 이용된다. 이 기계 및 클램프 특정 매개변수는 노출된 오버레이 데이터를 이용하여 최소 제곱 최적화를 통해 획득된다. 이 최적화는 계산적으로 고가이며 최적의 매개변수로 수렴하는 데 흔히 30분 이상이 걸린다.
제3 예로서, 웨이퍼 가열 보정 모델의 정확도의 개선은 더 많은 공정 물리학을 상세히 열거함으로써 또는 FEM 시뮬레이션에서 더 우수한 수치 분해능에 의해 달성될 수 있다. 이는 시뮬레이션의 계산 비용을 크게 늘린다.
본 기계 학습 모델은 웨이퍼 가열 보정을 물리적 모델로 모델링하기 위한 대리 모델링 기술로 이용될 수 있다. 이는 도 9에 도시되어 있다. 도 9는 일련(900)의 제어 입력 매개변수(900a ... 900n) (예를 들어, 선량, EUV 또는 IR 방사선의 지정, 디자인 레이아웃, 라우팅, 버얼 강성 등) 및 물리적 모델(908)을 이용하는 대신에 웨이퍼 가열 보정 (예를 들어, EUV 웨이퍼 가열 오버레이 지문)(906)을 모델링하기 위한 대리 모델링 기술(904)로서 본 기계 학습 모델(902)을 이용하는 것을 도시하고 있다. 물리적 모델(908)은 매우 정확한 예측을 위해 구성될 때 계산적으로 고가일 수 있다. 그에 반하여, 기계 학습 모델(902)은 상대적으로 계산적으로 저렴하며, 현재의 물리적 모델과 비교하여 유사한 또는 더 나은 정확도를 제공한다. 본 기계 학습 모델은 물리적 모델과 비교하여 모델링 속도와 정확도의 향상을 가능하게 하며, 모델링 성능을 더욱 개선하고 미세 조정할 몇 가지 가능성을 열어놓았다.
본 기계 학습 모델은 물리적 모델의 거동을 가능한 한 가깝게 에뮬레이트(emulated)하도록 트레이닝되는 반면에, 작동하기 위하여 상당히 계산적으로 저렴하다. 본 기계 학습 모델이 물리적 모델에 의해 생성된 시뮬레이션된 데이터 및/또는 위에서 설명한 실제 공정 데이터를 이용하여 트레이닝이되기 때문에 본 기계 학습 모델은 여전히 물리학 순응적 접근 방식이다. 이 예에서, 기계 학습 모델은 제어 입력 (패터닝 공정 매개변수)과 제어 출력 (예를 들어, 이 예에서는 오버레이/초점 예측) 간의 관계를 학습함으로써 웨이퍼 가열 문제의 관련 물리학을 학습한다.
유리하게는, 기계 학습 모델은 매우 광범위한 제어 입력 및 출력을 충실히 복제하도록 트레이닝될 수 있는 매우 유연한 모델링 접근 방식을 제공한다. 동시에, 기계 학습 모델로부터의 예측은 비선형 (활성화) 함수를 통해 변조된 (매우 많은) 매트릭스 계산을 포함하고 때문에, 제어 출력의 생성은 전형적으로 물리적 모델의 계산 비용의 아주 작은 부분으로 달성된다. 요약하면, 기계 학습 접근 방식은 이러한 모델 (관계)의 매우 큰 클래스(class)에 대한 입력-출력 관계의 매우 빠른 계산을 제공한다.
전술한 바와 같이, 일부 실시예에서, 기계 학습 모델은 많은 은닉 층을 갖는 인공 신경망(artificial neural networks)(ANN)일 수 있으며 및/또는 이를 포함할 수 있다. 이러한 네트워크의 아키텍처 (즉, 층들의 수, 각 층 내의 노드 수, 층들 간의 연결부 등)는 문제 (예를 들어, 이 예에서는 웨이퍼 가열 보정)에 따라 결정된다. 기계 학습 모델이 웨이퍼 가열 물리학을 정확하게 예측한다는 것을 보장하기 위해, 기계 학습 모델은 적어도 부분적으로 물리적 모델을 이용하여 생성된 많은 시뮬레이션된 트레이닝 제어 입력/출력 쌍 예를 이용하여 트레이닝될 수 있다. 트레이닝 제어 입력/출력 쌍은 공간 채움 무작위 설계(space-filling random design) (즉, 매개변수 공간에 큰 갭이 없음)에서 관련 패터닝 공정 매개변수 공간에 걸쳐 생성된다.
트레이닝되면, (예를 들어, 위에서 설명된 바와 같이 - 도 7에 도시된 작동 710 참조) 예측을 물리적 시뮬레이션으로부터의 예측과 비교함으로써, 기계 학습 모델로부터의 예측은 동일한 매개변수 공간에서 무작위로 선택된 포인트에 걸쳐 검증될 수 있다.
위에서 설명된 바와 같이, 기계 학습 모델을 트레이닝시키는 공정은 2개의 주요 단계: 적어도 부분적으로 물리적 시뮬레이션을 기반으로 생성된 제어 입력/출력 쌍을 이용하여 초기에 기계 학습 모델을 트레이닝시키는 것, 및 모델 매개변수를 향상시키기 위해 (예를 들어, 모델 예측을 더욱 정확하게 하기 위해) 모델을 업데이트/미세 조정/재-트레이닝시키는 것을 포함한다. 트레이닝/업데이트/미세 조정/재-트레이닝은 오프-라인 (예를 들어, 고성능 컴퓨팅 플랫폼 및/또는 클라우드 컴퓨팅 플랫폼(예를 들어, Google 클라우드)을 활용), 온라인 또는 오프-라인과 온라인의 조합으로 수행될 수 있다. 일부 실시예에서, 업데이트/미세 조정/재-트레이닝은 제조 환경으로부터의 실제 패터닝 공정 데이터 및/또는 다른 데이터를 이용하여 수행될 수 있다.
특정 제조 환경인 웨이퍼 가열 문제의 일부 측면 (예를 들어, 웨이퍼 잉곳(ingot), 레지스트, 코팅 특성 등)은 충분히 잘 알려져 있지 않거나 제조 환경 외부와 공유 가능하지 않을 수 있다 (예를 들어, 고객은 공급자 및/또는 다른 고객과 데이터를 공유하는 것을 원하지 않을 수 있다). 또한, 웨이퍼 가열 문제와 관련된 적어도 일부 물리학은 너무 복잡하여 (실제 공정 데이터가 기계 학습 모델을 트레이닝시키는데 도움이 되도록) 높은 정확도로 충분히 잘 시뮬레이션될 수 없다
일부 실시예에서, 전이 학습은 기계 학습 모델을 업데이트/미세 조정/재-트레이닝시키기 위하여 이용될 수 있다. 전이 학습은 민감한 정보를 공유하지 않고도 정확한 모델링을 용이하게 한다. 예를 들어, 인공 신경망의 마지막 몇 층에 대응하는 모델 매개변수만이 실제 (예를 들어, 국부) 공정 데이터를 이용하여 트레이닝되는 (예를 들어, 기계 학습 모델은 업데이트/미세 조정/재-트레이닝을 위하여 고객에게 전송되어 있다) 반면에, 기계 학습 모델의 다른 층에 대응하는 모델 매개변수 (예를 들어, 시뮬레이션된 물리적 관계 모델링)는 고정된 상태로 유지되도록 본 기계 학습 모델은 구성될 수 있다
일부 실시예에서, 연합 학습은 기계 학습 모델을 업데이트/미세 조정/재-트레이닝시키기 위해 이용될 수 있다. (예를 들어, 모델 공급자/프로그래머가 모델을 트레이닝시키기 위해 이용하도록) 실제 공정 데이터가 중앙 위치에 업로드되는 접근 방식과 대조적으로, 연합 학습은 모델 공급자/프로그래머와 그의 고객 간에 모델의 매개변수만을 교환하는 것을 추구하여 국부 고객 데이터에 대해 트레이닝되게 한다. 스캐너의 넓은 기반(base)과 고객에 걸친 연합 학습은 (예를 들어, 본 명세서에서 설명된 바와 같이 트레이닝된 기계 학습 모델을 통해) 스캐너 성능의 의미있는 개선을 가능하게 하기에 충분한 데이터를 생성한다.
일부 실시예에서, 전이 및/또는 연합 학습은 원격 위치에 (예를 들어, 고객 사이트에) 위치된 가상 컴퓨팅 플랫폼을 이용할 수 있다. 가상 컴퓨팅 플랫폼은 대량 제조 환경(HVM)에서 이용될 팹 애플리케이션(fab applications)의 채택을 지원하고 촉진하는, 확장 가능한 그리고 고 가용성 빅 데이터 준비 소프트웨어 플랫폼이다. 이는 가상 컴퓨팅 플랫폼을 이용하여 원격 위치에서 기계 학습 모델의 재-트레이닝을 용이하게 한다. 가상 컴퓨팅 플랫폼을 이용함으로써, 미세 조정된 모델의 성능은 추가로 모니터링될 수 있으며, 필요시 (예를 들어, 고객 공정 변경, 웨이퍼 테이블 교환 조치 등으로부터의) 새로운 로컬 데이터로 재-트레이닝될 수 있다. 마찬가지로, 연합 학습 접근 방식에 대해, 기계 학습 모델의 관련 매개변수는 고객과 교환될 수 있으며 가상 컴퓨팅 플랫폼에서 고객 데이터에 대해 트레이닝될 수 있다. 업데이트된 매개변수는 그후 모델 공급자/프로그래머와 다시 공유될 수 있다.
도 10은 전이 및/또는 연합 학습 및 가상 컴퓨팅 플랫폼(1007)을 이용하여 현재의 기계 학습 모델(1005)을 트레이닝시키는 것(1001)(예를 들어, 도 7에서 보여지는 작동(702)) 및 업데이트/미세 조정/재-트레이닝시키는 것(1003)(예를 들어, 도 7에 보여지는 작동(710))을 도시하고 있다. 도 10은 웨이퍼 가열 보정 (예를 들어, EUV 웨이퍼 가열 오버레이 지문)을 모델링(1011)하기 위하여 제어 입력 매개변수(1009)를 기계 학습 모델(1005)에 제공하는 것을 포함하는 트레이닝(1001)을 도시하고 있다. 이는 모델 제공자/프로그래머(1013)와 연관된 사이트에서 (예를 들어, 고객 제조 환경에 대한 원격 또는 외부 사이트) 및/또는 다른 위치에서 발생할 수 있다. 모델(1005)은 본 명세서에 설명된 바와 같이 가상 컴퓨팅 플랫폼(1007)을 통해 국부 고객 제조 환경(1015)에서 업데이트/미세 조정/재-트레이닝(예를 들어, 개인화)(1003)될 수 있다. 업데이트/미세 조정/재-트레이닝(1003)은 국부 스캐너 데이터(1121), 국부 계측 데이터(1123) (예를 들어, 웨이퍼 가열 제어 잔차), 및/또는 다른 국부 정보를 기반으로 수행될 수 있다. 도 10에서 보여지는 바와 같이, 일부 실시예에서, 전이 및/또는 연합 학습이 이용되어 기계 학습 모델을 업데이트/미세 조정/재-트레이닝시킬 수 있다. 가상 컴퓨팅 플랫폼을 이용함으로써, 기계 학습 모델의 관련 매개변수 (예를 들어, 더 깊은 층(1127)과 대조적으로 출력 층에 가장 가까운 층(1125)과 연관된 매개변수)는 가상 컴퓨팅 플랫폼에서 국부 고객 데이터에 대해 및/또는 다른 컴퓨팅 자원으로 트레이닝될 수 있다.
웨이퍼 가열이 위의 예에서 설명되었지만, 레티클 가열 및/또는 다른 패터닝 공정 장치 구성 요소의 가열의 정확한 모델링을 위하여 동일한 또는 유사한 원리가 적용될 수 있다는 점이 주목되어야 한다.
예 3- 동적 렌즈 가열
동적 렌즈 가열 모델은 전형적으로 렌즈 유형을 기반으로 렌즈 가열을 예측하기 위해 이용되는 물리적 모델이다. 동적 렌즈 가열 모델은 대응 렌즈 유형을 갖는 스캐너에 대해 주어진 열 부하가 있는 주어진 이용 사례에 대하여 미가공 렌즈 가열 매개변수 (예를 들어, 뮤(mu), 타우(tau) 및/또는 다른 매개변수)를 시뮬레이션하기 위해 이용될 수 있다. 시간에 걸친 필드 차수(field order) 당 시뮬레이션된 수차는 뮤 및 타우 매개변수에 의하여 매개변수화될 수 있다. 일부 실시예에서, 뮤 매개변수는 렌즈가 포화될 때 렌즈 가열이 얼마나 커질 수 있는지를 나타내는 스케일링 계수이다. 타우 매개변수는 렌즈가 얼마나 빨리 가열 또는 냉각될 수 있는지를 나타내는 시간 상수이다. 일부 실시예에서, 다른 미가공 렌즈 가열 매개변수가 시뮬레이션될 수 있으며 제르니케마다 그리고 필드 차수마다 mu/tau 값에 피팅될 수 있다. 이 뮤/타우 값은 스캐너 렌즈 가열 피드포워드 제어를 위하여 이용되어 노광 동안 렌즈 가열의 영향을 보상할 수 있다. 정확한 물리적 동적 렌즈 가열 모델은 (EUV) 렌즈 가열이 매우 비선형적이라는 사실을 포함한 여러 가지 이유로 계산적으로 고가이다.
그에 반하여, 본 기계 학습 모델은 비교적 계산적으로 저렴하다. 본 기계 학습 모델은 렌즈 가열 매개변수 예측 정확도를 개선하기 위해, 추가 렌즈 가열 물리적 모델 개발에 요구되는 개발 시간을 줄이기 위해 및/또는 다른 목적을 위해 이용될 수 있다. 본 명세서에 설명된 바와 같이, 기계 학습 모델은 시뮬레이션된 및/또는 실제 트레이닝 데이터 (예를 들어, 대응하는 트레이닝 제어 입력 및 출력)로 트레이닝될 수 있으며, 그 후 패터닝 공정으로부터의 실제 데이터 및/또는 다른 정보로 업데이트/미세 조정/재-트레이닝될 수 있다. 이 예에서, 트레이닝 데이터는 (예를 들어, 이러한 매개변수에 대한 및/또는 이를 기반으로 하는 모델링 조정을 포함하는) 정확한 동적 렌즈 가열 매개변수 모델링을 위하여 맞춰질 수 있다.
이 예에서, 트레이닝 데이터는 물리적 렌즈 가열 분석기(Dynamic Lens Heating Analyzer) (DyLHan) 모델로 생성될 수 있다. 이용 가능한 자체 DyLHan 모델을 갖는 렌즈 유형에 대해, 트레이닝 데이터는 그 DyLHan 모델로 생성될 수 있다. 교정된 자체 DyLHan 모델이 없는 렌즈 유형에 대해, 유사한 렌즈 디자인을 가진 또 다른 렌즈 유형을 위한 알려진 DyLHan 모델로 트레이닝 데이터가 생성될 수 있다. 동일한 렌즈 유형을 갖는 렌즈에 대해, 렌즈 대 렌즈 변동이 고려된다면, 렌즈 특정 데이터는 DyLHan +렌즈 특정 교정(LSC) 모델로 생성될 수 있다.
이 예에서, 시뮬레이션을 통해 생성된 트레이닝 데이터는 기계 학습 모델을 사전-트레이닝하기 위해 이용되어 기본 DyLHan 모델을 모방할 수 있다. 일부 실시예에서, 회절 패턴 이미지 (및/또는 회절 패턴 이미지와 관련된 매개변수)는 기계 학습 모델 제어 입력으로 이용될 수 있으며, 기계 학습 모델은 (아래에 설명된 바와 같이 이용될 수 있는) 뮤/타우 매개변수 값, 다른 매개변수 및/또는 이러한 매개변수에 대한 조정을 출력할 수 있다. 일부 실시예에서, 회절 패턴 이미지 및 이력 렌즈 가열 시퀀스 (및/또는 이 데이터와 관련된 매개변수)는 입력으로서 이용될 수 있으며, 기계 학습 모델은 미가공 렌즈 가열 거동 매개변수, 뮤/타우 매개변수, 다른 매개변수, 및/또는 이러한 매개변수에 대한 조정을 출력할 수 있다. 이 예에서, 기계 학습 모델은 심층 콘벌루션 신경망, 순환 신경망, 및/또는 다른 신경망 중 하나 이상을 포함할 수 있다.
일부 실시예에서, 자동 렌즈 가열 교정 데이터 (예를 들어, 실제 패터닝 공정으로부터의 인라인 측정 데이터)는 전이 학습을 수행하기 위해 이용되어 트레이닝된 기계 학습 모델을 미세 조정할 수 있다. 본 명세서에서 설명된 바와 같이, 이는 모델 예측과 측정 현실 간의 일치를 개선한다. 일부 실시예에서, 단일 패터닝 공정 장치 (예를 들어, 스캐너)로부터의 측정 데이터는 그 특정 패터닝 공정 장치에 대한 모델을 미세 조정하기 위해 이용될 수 있다. 일부 실시예에서, 다수의 패터닝 공정 장치 (예를 들어, 스캐너)로부터의 측정 데이터는 기계 학습 모델을 미세 조정하기 위해 이용될 수 있다. 렌즈 대 렌즈 변동이 고려된다면, 렌즈 특정 데이터가 DyLHan+렌즈 특정 교정(LSC) 모델로 생성될 수 있으며 미세 조정을 위하여 이용될 수 있다.
비제한적인 예로서, 도 11은 기준 동적 렌즈 가열 분석기 모델(1100)을 모방하기 위해, 시뮬레이션을 통해 생성된 트레이닝 데이터(1102)가 어떻게 이용되어 현재의 기계 학습 모델(1104)을 트레이닝시킬 수 있는지를, 그리고 트레이닝된 기계 학습 모델을 미세-조정(1108)하기 위해, 자동화된 렌즈 가열 교정 데이터(1106) (예를 들어, 실제 패터닝 공정으로부터의 인라인 측정 데이터)가 어떻게 이용되어 전이 학습을 수행할 수 있는지를 도시하고 있다. 제2 비제한적 예로서, 도 12는 심층 콘볼루션 신경망(1200)으로 형성된 본 기계 학습의 예를 도시한다. 도 12에서 보여지는 바와 같이, 심층 콘벌루션 신경망 모델은 회절 패턴 이미지(1202)를 뮤/타우(1204)에 의해 매개변수화된 필드 차수 당 렌즈 가열 거동에 맵핑하기 위해 이용될 수 있다 (예를 들어, 뮤/타우 매개변수가 모델에 의해 출력된다). 신경망(1200)은 기계 학습 모델이 본 명세서에 설명된 바와 같은 역할을 하는 것을 허용하는 임의의 수의 층(1206) 및/또는 (예를 들어, 도 12의 각 층에서 지적된 바와 같이) 층마다 임의의 수의 노드(node)를 가질 수 있다.
일부 실시예에서, 트레이닝된 기계 학습 모델은 렌즈 가열 제어 조정을 포함하는 제어 출력을 생성하기 위해 이용될 수 있다. 이는 렌즈 가열 및/또는 다른 제어 출력과 연관된 비용 함수의 하나 이상의 매개변수의 조정을 포함할 수 있다. 예로서, 제어 출력은 스캐너의 렌즈 내의 플렉스-웨이브 가열 요소를 제어하는 것과 연관된 하나 이상의 매개변수의 조정 및/또는 다른 조정을 포함할 수 있다.
일부 실시예에서, 이러한 제어 출력을 결정하는 것은: 렌즈 가열, 렌즈 가열 피드포워드 시간 시퀀스 및/또는 렌즈 가열 필드 시간 시퀀스와 연관된 뮤 타우 매개변수 값을 예측하는 것; 뮤 타우 값, 렌즈 가열 피드포워드 값 및/또는 렌즈 가열 필드 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것; 렌즈 가열 비용 함수를 기반으로 기계 학습 모델 매개변수 가중치를 결정하는 것; 기계 학습 모델에 의한 렌즈 가열 예측 및/또는 다른 작동을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다. 일부 실시예에서, 비용 함수는 기계 학습 모델의 가중치를 결정하기 위해 이용된다. 미세 조정은 모델 학습이 수렴된 후 최종 모델 예측을 기반으로 수행된다.
예를 들어, 도 13은 뮤 타우 및/또는 렌즈 가열 피드포워드(LHFF) 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것을 도시하고 있다. 이 예에서 기계 학습 모델은 개별 제르니케/필드 차수 조합에 대한 뮤/타우 매개변수 값을 예측하도록 구성된다. 뮤 타우 및/또는 렌즈 가열 피드포워드 기반 비용 함수가 구현되어 피팅 아티팩트(fitting artifacts)의 영향을 완화시킬 수 있다. 예시적인 초기 함수가 도 13의 박스(1300)에 보여지고 있다. 이 예는 50개의 웨이퍼 (웨이퍼 노광의 시작과 끝을 설명함)에 대해 총 100개의 시간 단계를 갖는 패터닝 공정의 일부를 기반으로 생성되었다. 이 방정식에서, LHFF는 예측된 렌즈 가열 피드포워드 제어 신호를 나타내며, Zn_m은 슬릿을 가로지르는 필드 위치를 통하여 Zn 계수(n번째 제르니케 다항식)에서 분해된 각 필드 차수를 나타낸다. 예를 들어, Z5_0, Z5_l, Z5_2 및 Z5_3은 필드 위치를 통하여 Z5에서 분해된 오프셋, 기울기, 곡률 및 3차 차수를 나타낸다. "true"는 물리적 (예를 들어, 동적 렌즈 분석기) 모델에서 계산된 Ground Truth를 나타낸다. "pred"는 기계 학습 렌즈 가열 모델의 예측을 나타낸다. "start"는 각 웨이퍼 노광의 시작을 나타낸다. "end"는 각 웨이퍼 노광의 끝을 나타낸다. LHFF 비용 내의 예측된 LHFF는 뮤(mu)1, 뮤2, 타우(tau)1 및 타우2의 명시 함수(explicit function)로서 쓰여질 수 있다. 이 방정식은 도 13의 참조 번호 1302에서 보여지고 있다. 일부 실시예에서, LHFF 맵핑에 대한 뮤, 타우의 축퇴 특성(degenerating nature)은 뮤/타우 기반 비용 함수에 대한 기계 학습 모델의 차선 트레이닝으로 이어질 수 있다. 일부 실시예에서, 가중 계수가 비용 함수에 추가될 수 있으며 원래의 뮤/타우 기반 항에 대해 LHFF 비용 항을 가중하도록 조정될 수 있다. 이는 도 13에서 참조 번호 1304, 1306 및 1308로 보여지고 있다. 구체적으로, 뮤/타우를 출력으로 이용하여, LHFF 항에 대한 최적의 가중치를 갖는 뮤/타우 기반 함수를 넘어서는 하이브리드 비용 함수가 도입되어 다음 방정식에 따라 모델 오차를 효과적으로 줄인다:
Figure pct00004
여기서 Costmu_tau(Zn_m)는 예측된 mu tau 값과 연관된 비용이며, w는 가중 항이고, 그리고 Cost LHFF(Zn_m)는 렌즈 가열 피드포워드 제어 신호와 연관된 비용이다. 일부 실시예에서, 모델은 순환 신경망 접근법을 직접 이용하여 LHFF 필드 차수 시간 시퀀스 또는 미가공 LHFF 필드 데이터 스루-슬릿(through-slit) 시간 시퀀스를 예측하도록 구성될 수 있다. 이 실시예에서, 비용 함수는, 예를 들어 제2 항 (순수 LHFF 항)만을 포함한다.
위의 예에서 렌즈 가열이 설명되었지만, 미러 가열, 레티클 가열 및/또는 다른 패터닝 공정 장치 구성 요소의 가열의 정확한 모델링을 위해 동일한 또는 유사한 원리가 적용될 수 있다는 점이 주목되어야 한다.
또 다른 예에서, 외란을 야기하는 레티클 가열의 (피드포워드) 제어에 이용되는 매개변수를 최적화하는 방법이 개시된다.
현재의 피드포워드 제어 메커니즘은 패터닝 디바이스 (레티클)의 가열 유도 변형을 보정하기 위해 배치되어 있어 상기 가열 유도 변형으로 인한 잠재적인 오버레이 오차를 완화시킨다. 현재의 방법은 레티클의 가열 이력 및 레티클 이용의 추가 상황(context); 예를 들어 레티클의 투과, 노광 시퀀스 동안 레티클을 조명하는 광 스폿의 세기 및 레티클을 비추는 광 스폿의 크기(필드 크기)를 기반으로, 시간에 따라 예정된 레티클 변형 모드의 예상되는 진화를 기반으로 피드포워드 메커니즘을 이용한다. 기하학적 (평면 내) 변형 모드는 가열 유도 변형 기하학적 구조의 주요 모드로서 해석될 수 있으며, 이 기하학적 구조는 예를 들어 관심 대상 레티클과 관련된 상황의 대상인 관심 대상 레티클의 노광 시퀀스의 유한 요소 방법(FEM) 기반 시뮬레이션을 수행함으로써 결정될 수 있다. 본질적으로, 현재의 레티클 가열 피드포워드 보정 메커니즘은 레티클 가열 공정의 물리적(FEM) 모델링에 의하여 획득된 변형 모드를 기반으로 한다. 피드포워드 보정 프레임워크는 변형 모드들의 각각의 시간 의존 거동에 관한 (출력) 매개변수, 예를 들어 변형 모드들의 각각에 대한 타우/뮤 값 및 가중 계수를 더 포함할 수 있다. 물리적 모델의 출력 매개변수를 기반으로, 리소그래피 장치는 레티클 가열 영향을 고려하여 노광 공정을 제어할 수 있다.
실제로, 상기 물리적 모델 기반 레티클 가열 변형 모드의 정확도는 물리적 모델 매개변수, 레티클 가열 이력에 대한 불확실성 및 가정된 컨텍스트 매개변수(필드 크기, 광 세기, 레티클 투과)의 정확도의 제한으로 인해 제한된다는 것이 관찰되었다. 또한, 레티클의 초기 온도와 같은 레티클의 초기 상태는 흔히 알려지지 않으며 레티클 가열 공정의 임의의 물리적 모델링의 정확도를 상당히 제한할 수 있다. 이 요인들 모두는 결정된 레티클 가열 변형 모드의 정확도를 상당히 감소시킬 수 있으며, 결과적으로 (하나 이상의 노광 시퀀스 동안) 추정된 레티클 가열 유도 변형 진화의 정확도를 감소시킬 수 있다. 후자는 피드포워드 보정 메커니즘이 도입된 부정확성으로 인해 어려움을 겪기 때문에 오버레이 정확도를 상당히 손상시킬 수 있다.
언급된 부정확성 문제에 대한 한 가지 해결책은 물리적으로 모델링된 변형 진화를 보완하기 위해 데이터 구동 방법의 포함일 수 있다. 이러한 데이터의 예는 (전형적으로 레티클을 노광시키는 데 이용되는 리소그래피 툴과 연관된) 기준 좌표계에 대한 레티클 상의 선택된 계측 마크의 위치 데이터를 포함하는 실제 측정된 레티클 정렬(Reticle Align)(RA) 데이터일 수 있다. 충분히 긴 노광 시퀀스 동안 다수의 시간 간격으로 RA 데이터를 획득하는 것은 특정 레티클 및 특정 컨텍스트 (매개변수 세트)와 연관된 레티클 가열 유도 변형 모드의 실제 진화 및 실제 기하학적 구조에 대한 상세한 (실험적, 경험적) 이해(insight)를 제공할 수 있다.
본 명세서에 제안된 상기 데이터 구동 방법의 구현 형태는 물리적 모델과 함께 기계 학습(ML) 모델 (예를 들어 오토인코더 또는 생성적 대립 신경망(GAN) 구성에 따른 신경망(NN) 기반)의 이용을 포함한다.
제안된 구성에서, 물리적 모델은 초기 모델(출발점)로서 이용되며, 물리적 모델은 상기 RA 데이터를 이용하는 모델 매개변수의 미세 조정을 더 기반으로 하여 물리적으로 모델링된 레티클 가열 거동 (예를 들어, 변형 모드)과 측정된 레티클 가열 거동 사이의 일관성을 보장할 수 있다.
ML 모델에 관하여, 기계 학습 기반 모델링의 일부는 NN 기반 생성기/자동 인코더 알고리즘에 대한 입력을 제공하는 기능 공간을 규정한다. NN 기반 알고리즘은 이력 특징 및 레티클 가열 매개변수 데이터의 (제한된) 세트에 대해 트레이닝되며, 레티클 가열 매개변수는 예를 들어 복수의 변형 모드 -하나 이상은 레티클 가열 공정과 연관된 시간 상수 또는 가열 유도 레티클 변형을 특징짓는 임의의 다른 매개변수임-이다. 물리적 모델과 ML 모델은 모두 레티클 가열 매개변수 데이터를 생성한다. 물리적 모델 기반 및 ML 기반 매개변수 데이터 모두는 RA 또는 레티클 가열 유도 레티클 변형 (웨이퍼 정렬, 웨이퍼 오버레이, 레티클 정렬 데이터)을 나타내는 임의의 다른 계측 데이터와 비교된다.
비교는 전형적으로 판별기 모델에 의하여 수행되며, 판별기 모델은 물리적 모델 기반 매개변수 예측 또는 ML 기반 예측이 계측 데이터 기반 레티클 변형 데이터와 더 일치하는지 여부를 결정한다. 판별기 모델은 물리적 모델 데이터를 입력하는 것을 기반으로 레티클 가열 기반 레티클 변형 (매개변수)을 예측하도록 트레이닝된 신경망 또는 또 다른 모델일 수 있다. 판별기 모델은, 예를 들어 이력적 RA 및 물리적 모델 기반 레티클 변형 예측 데이터를 이용하여 트레이닝될 수 있다.
도 14는 본 발명의 실시예를 그래픽적으로 도시하고 있다. 제1 데이터(102)가 획득되며, 이 데이터(102)는 바람직하게는 레티클 가열 유도 패턴 배치 변동 (웨이퍼 대 웨이퍼, 필드 대 필드) 대상인 웨이퍼들의 하나 이상의 로트(lot)를 대표한다. 선택적으로 제1 데이터(102)는 그 목적을 대표하지 않는 데이터를 포함하며 데이터 선택 단계(104)는 특정 관련 레티클 가열 거동과 연관된 데이터만이 선택되는 것을 보장하기 위해 수행된다. 단계 104는, 예를 들어 차가운 레티클 (예를 들어, 로트의 노출이 시작되기 전에 레티클은 특정 요구 최소 레벨로 냉각되었다)로 시작된 웨이퍼의 로트로부터의 데이터만를 선택하는 것일 수 있다. 선택적 데이터 준비 단계(104) 후, 측정된 레티클 가열 유도 레티클 변형 데이터 (예를 들어, 레티클 정렬 측정 기반)와 전형적으로 레티클 가열 거동의 피드포워드 예측을 위한 입력으로서 이용되는 컨텍스트 매개변수(106) 모두를 포함하는 대표 데이터 세트가 이용 가능하다. 컨텍스트 매개변수(106)의 예는 로트의 노출 시간, 관심 대상 레티클의 투과, 리소그래피 툴에 의해 조명되는 레티클 상의 영역의 크기 및 레티클의 상기 조명 동안 이용되는 방사선의 세기이다.
컨텍스트 매개변수(106)는 물리적 모델(112)에 대한 입력의 역할 그리고 기계 학습 모델(134)에 대한 트레이닝 입력의 역할을 한다. 기계 학습 모델(134)은 생성적 대립 신경망(GAN) 또는 인코더-디코더 기반 프레임워크 내의 생성기로서 구성된 신경망일 수 있다. 가열 특성 및 가열 이력이 컨텍스트 매개변수(106)에 의하여 설명된 바와 같은 구성에 대응한다는 점을 고려하면 물리적 모델(112)은 레티클 가열 유도 변형을 모델링하도록 구성된다. 모델링하는 것은 전형적으로 유한 요소 모델링(FEM)을 기반으로 하며 가열로 인한 레티클의 면내 변형의 하나 이상의 기하학적 구조를 설명하는 하나 이상의 변형 모드를 전달한다. 더 일반적으로, 물리적 모델은 레티클 (평면 내) 변형을 설명하는 매개변수를 도출함으로써 레티클 가열 유도 변형을 특징짓기 위하여 이용된다.
기계 학습 모델(134)은 컨텍스트 매개변수(106)를 이용하여 데이터 세트(102) 내에 포함된 다양한 컨텍스트 매개변수 값이 투영되는 잠재 공간을 규정한다. 그후 상기 컨텍스트 매개변수(106)는 잠재 공간 내에서 표현되며, 그후 예를 들어 인코더-디코더 프레임워크 또는 생성기 내의 디코더 네트워크인 신경망에 의하여, 레티클 가열 유도 레티클 변형을 특징짓는 하나 이상의 매개변수로 맵핑(132)된다. 하나 이상의 매개변수는, 예를 들어 앞서 설명된 기하학적 변형 모드일 수 있다.
모델(134)의 트레이닝 동안, 단계 132에서 제공된 예측된 매개변수는 판별기 모델(비교기)(122)에 의하여, 물리적 모델(112)에 의해 획득된 매개변수와 비교된다. 예를 들어 양 모델에 의해 제공된 변형 모드를 데이터 세트(102) 내에 포함된 측정 데이터 (예를 들어, 레티클 정렬 측정으로부터 획득된 변형 데이터)와 비교함으로써, 판별기 모델(122)은 기계 학습 기반 매개변수 또는 물리적 모델 기반 매개변수가 레티클 가열 거동을 가장 정확하게 설명하고 있는지 여부를 평가한다. 판별기(122)는 향후 이용을 위해 참고될 수 있는 라이브러리(142)에 매개변수, 바람직하게는 레티클 변형 모드를 추가로 저장하도록 구성될 수 있다.
판별기(122)는 예측(132)이 물리적 모델(112) 기반 예측에 유리하게 비교되는 경우 기계 학습 모델(134)을 보상하도록 구성된 에이전트(agent)로서의 역할을 하도록 더 구성될 수 있다. 처음에는 물리적 모델(112) 기반 예측이 더 정확하다는 것이 예상되지만, 모델(134)의 충분한 트레이닝 후에 균형은 점차적으로 기계 학습 기반 예측(132)으로 이동할 것이다. 기계 학습 기반 예측은 시간에 따라 더 일반적으로 되기 때문에 거동 예측에 있어서 흔히 더 우수해지는 것으로 관찰된다; 예를 들어 기계 학습 기반 예측은 흔히 물리적 모델에 의해 정확하게 모델링되지 않는 컨텍스트 매개변수 및 다른 환경적 매개변수의 미묘한 변화에서 발생하는 거동을 가져오기 위해 더 적합하다.
실시예에서, 데이터(102)는 컨텍스트 매개변수 데이터(106) 및 관찰된 가열 거동을 나타내는 측정된 데이터 모두를 포함한다. 데이터(102) 및 컨텍스트 매개변수(106)는 (리소그래피 패터닝 공정과 같은) 반도체 제조 공정의 작동 동안 계속해서 공급될 수 있다. 작동 동안, 기계 학습 모델(134)의 연속적인 트레이닝은 (레티클, 렌즈 또는 기판 가열과 같은) 가열 거동의 기계 학습 기반(132) 및 물리적 모델 기반(112) 특징화의 연속적인 비교(122)와 결합된다. 양 모델에 대한 입력은 데이터(102)에 포함된 컨텍스트 매개변수 데이터이다. 기계 학습 모델은 데이터(102)를 기반으로 연속적으로 트레이닝된다. 기계 학습 모델은 물리적 모델(112)보다 더 정확한 예측된 가열 거동을 제공하는 경우 비교 단계(122)를 수행하는 에이전트로부터 보상을 받는다.
기계 학습 프레임워크(132, 134)가 물리적 모델(112)을 능가하는 것은 모델(134)의 충분한 트레이닝 후에만이다. 상기 충분한 트레이닝 후에, 새로운 컨텍스트 데이터(106)는 기계 학습 기반 예측(132)을 수행하는 모델에 의해 직접 이용되어 물리적 모델 기반 가열 예측에 의존하는 대신, 변형 모드와 같은 원하는 매개변수를 제공할 수 있다.
기계 학습 모델의 충분한 트레이닝 후에도, 일반적으로 새로 제공된 가열 관련 데이터, 컨텍스트 데이터 및 필요한 경우 물리적 모델에 의하여 생성된 데이터에 대해 계속 트레이닝될 것이며, 따라서 일반적으로 제안된 기계 학습 구현 형태는 지속적으로 트레이닝된 구현 형태이다.
가열 거동에 더하여, 기계적 드리프트, 마모 영향, 느린 진동 또는 성능 매개변수의 시간 종속 거동을 야기하는 임의의 다른 영향과 같은 다른 드리프트 관련 현상 또한 위에서 설명된 바와 같은 모델링 프레임워크에 따라 모델링될 수 있다.
실시예에서, 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체가 제공되며, 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가, 공정의 시간 종속 거동과 연관된 측정된 매개변수 데이터 및 시간 종속 거동 동안의 공정의 상태와 연관된 컨텍스트 데이터를 수신하도록; 공정의 물리적 모델에 컨텍스트 데이터를 입력하는 것을 기반으로 시간 종속 거동을 특징짓는 하나 이상의 매개변수의 제1 값을 결정하도록; 이력 측정 매개변수 데이터 및 이력 컨텍스트 데이터에 대해 트레이닝된 기계 학습 모델에 컨텍스트 데이터를 제공함으로써 하나 이상의 매개변수의 제2 값을 결정하도록; 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하도록; 그리고 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 측정된 매개변수 데이터와 컨텍스트 데이터를 이용하여 기계 학습 모델을 트레이닝시키도록 한다.
실시예에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하기 위한 명령어는 기계 학습 모델에 대한 에이전트처럼 작용하도록 구성되며, 에이전트는 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 기계 학습 모델을 보상한다.
실시예에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하기 위한 명령어는 추가 기계 학습 모델로서 구현된다.
실시예에서, 추가 기계 학습 모델은 상기 기계 학습 모델에 대한 에이전트가 되도록 구성되며, 에이전트는 i) 상기 제1 및 제2 값 그리고 측정된 매개변수 데이터를 입력으로 이용하도록, 그리고 ⅱ) 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 기계 학습 모델에 보상을 제공하도록 구성된다..
실시예에서, 기계 학습 모델 및 추가 기계 학습 모델은 신경망 아키텍처를 기반으로 한다.
실시예에서, 기계 학습 모델은 생성적 분기부로서 구성되며, 추가 기계 학습 모델은 생성적 대립 신경망(Generative Adversarial Network)(GAN)의 판별적 분기부로서 구성된다.
실시예에서, 기계 학습 모델은 콘볼루션 신경망(CNN) 또는 인코더-디코더 기반 모델 중 하나를 포함한다.
실시예에서, 인코더-디코더 모델은 컨텍스트 데이터를 잠재 공간에 맵핑하도록 구성된 인코더 및 맵핑된 컨텍스트 데이터를 기반으로 하나 이상의 매개변수를 재구성하도록 구성된 디코더를 포함한다.
실시예에서, 측정된 매개변수 데이터와 시간 종속 거동은 에칭 장치 또는 리소그래피 장치 내에서의 가열 유도 거동과 연관되며, 컨텍스트 데이터는 리소그래피 또는 에칭 장치의 상태 및/또는 설정과 연관된다.
실시예에서, 가열 유도 거동은 리소그래피 장치에 의해 조명되는 패터닝 디바이스의 가열과 연관되며, 하나 이상의 매개변수는 리소그래피 장치의 상태 및/또는 설정이 컨텍스트 데이터에 대응하는 경우 패터닝 디바이스의 상기 가열에 의해 야기되는 패터닝 디바이스의 기하학적 변형을 특징짓는다.
실시예에서, 하나 이상의 매개변수는 기하학적 변형 및 컨텍스트 데이터와 연관된 하나 이상의 변형 모드를 포함한다.
실시예에서, 컨텍스트 데이터는 공정 대상인 기판의 처리 이력, 리소그래피 장치에 의해 조명되는 패터닝 디바이스 상의 영역의 크기, 하나 이상의 기판의 처리 동안 패터닝 디바이스 상의 영역에 의해 받아들여지는 세기 또는 선량, 패터닝 디바이스의 투과 중 하나 이상을 포함한다.
실시예에서, 측정된 매개변수 데이터는 패터닝 디바이스에 제공된 복수의 피처와 연관된 위치 데이터를 포함한다.
실시예에서, 하나 이상의 매개변수의 제1 값은 이력적인 측정 매개변수 데이터를 적어도 부분적으로 기반으로 한다.
실시예에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부에 따라 하나 이상의 매개변수의 제1 또는 제2 값을 데이터베이스 구조에 저장하기 위하여 추가 명령어가 제공된다.
실시예에서, 하나 이상의 매개변수의 제1 또는 제2 값을 기반으로, 공정을 수행하는데 이용되는 장치를 구성하기 위하여 추가 명령어가 제공된다.
실시예에서, 장치는 리소그래피 장치이며, 공정은 반도체 제조 공정이다.
실시예에서, 측정된 매개변수 데이터를 수신하기 위한 명령어는 대응하는 컨텍스트 데이터에 대한 요구 조건을 기반으로, 수신된 측정된 매개변수 데이터를 필터링하기 위한 명령어를 더 포함하며, 기계 학습 모델은 필터링된 측정된 매개변수 데이터를 이용하여 트레이닝된다.
위에서 설명된 바와 같은 기계 학습 프레임워크는 기계 학습 모델 및 상기 기계 학습 모델을 트레이닝할 때 에이전트로서의 역할을 하는 추가적인 기계 학습 모델을 포함할 수 있다. 트레이닝 단계 동안, 기계 학습 프레임워크는 복수의 작동 조건과 연관된 복수의 트레이닝 제어 입력에 대응하는 트레이닝 제어 출력을 수신할 수 있다. 작동 조건은 필드 크기, 세기, 레티클 투과와 같은 컨텍스트 데이터에 포함될 수 있으며, 상기 작동 조건은 전형적으로 리소그래피 공정을 제어하기 위해 리소그래피 장치에 대한 입력의 역할을 한다. 트레이닝 제어 출력은 공정의 물리적 모델링, 예를 들어 가열 시 레티클의 하나 이상의 모델링된 변형 모드를 기반으로 할 수 있다. 기계 학습 프레임워크는 (트레이닝 제어 입력을 이용하여) 복수의 기계 학습 생성 제어 출력을 생성하며, 이 제어 출력은 물리적 모델에 의해 생성된 수신된 트레이닝 제어 출력과 (예를 들어, 추가 기계 학습 모델을 이용하여) 비교된다. 물리적 모델 기반 제어 출력에 대한 기계 학습 생성 제어 출력의 비교는 이것이 기계 학습 모델의 개선된 트레이닝을 허용하기 때문에 본 발명의 중요한 양태이다.
실시예에서, 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체가 제공되며, 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가 패터닝 공정을 제어하기 위한 제어 입력 -제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하도록; 그리고 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된 기계 학습 모델을 이용하여, 제어 입력을 기반으로 패터닝 공정에 대한 제어 출력을 생성하도록 하며, 여기서 트레이닝 데이터는 1) 패터닝 공정의 복수의 작동 조건 -패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동과 연관됨-에 대응하는 복수의 트레이닝 제어 입력, 및 2) 트레이닝 제어 입력 및/또는 패터닝 공정의 복수의 작동 조건을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.
실시예에서, 트레이닝 제어 입력은 작동 조건을 포함한다.
실시예에서, 트레이닝 제어 입력은 패터닝 공정에서 이용되는 레티클의 투과, 레티클을 조명하는 데 이용되는 리소그래피 장치의 필드 크기, 레티클을 조명하는 데 이용되는 방사선의 세기 중 하나 이상이다.
실시예에서, 트레이닝 제어 출력은 패터닝 공정의 시간 의존적 거동을 특징짓는 하나 이상의 매개변수의 값이다.
실시예에서, 하나 이상의 매개변수는 리소그래피 장치의 상태 및/또는 설정이 작동 조건에 대응하는 경우에 레티클의 가열에 의해 야기되는 레티클의 기하학적 변형을 특징짓는다.
추가 실시예는 아래의 번호가 부여된 조항의 목록에 개시된다:
1. 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체에 있어서, 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가;
패터닝 공정을 제어하기 위한 제어 입력 -제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하도록; 그리고
패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된 기계 학습 모델을 이용하여, 제어 입력을 기반으로 패터닝 공정에 대한 제어 출력을 생성하도록 하며,
트레이닝 데이터는 1) 패터닝 공정의 복수의 작동 조건 -패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동과 연관됨-에 대응하는 복수의 트레이닝 제어 입력, 및 2) 트레이닝 제어 입력을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.
2. 조항 1의 매체에서, 시간에 따른 패터닝 공정의 작동 조건 특정 거동은 시간에 따른 패터닝 공정에서의 드리프트를 포함한다.
3. 조항 1 또는 2의 매체에서, 기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 추가로 구성된다.
4. 조항 3의 매체에서, 재-트레이닝은 미세 조정을 포함한다.
5. 조항 1 내지 4 중 어느 한 조항의 매체에서, 제어 입력은 패터닝 공정을 모니터링하는 것 및/또는 진단하는 것과 연관된다.
6. 조항 1 내지 5 중 어느 한 조항의 매체에서, 기계 학습 모델은 매개변수화된 모델을 포함한다.
7. 조항 1 내지 6 중 어느 한 조항의 매체에서, 기계 학습 모델은 인공 신경망, 콘볼루션 신경망 및/또는 순환 신경망을 포함한다.
8. 조항 1 내지 7 중 어느 한 조항의 매체에서, 패터닝 공정은 패터닝 공정 장치로 수행되며, 이 장치는 반도체 리소그래피 장치, 광학 계측 검사 툴 또는 e-빔 검사 툴을 포함하고, 명령어는 또한 컴퓨터가 적어도 부분적으로 제어 출력을 기반으로 패터닝 공정 장치를 제어하게 한다.
9. 조항 1 내지 8 중 어느 한 조항의 매체에서, 하나 이상의 매개변수는 하나 이상의 리소그래피 장치, 광학 계측 검사 툴 및/또는 e-빔 검사 툴 매개변수, 및/또는 연관된 리소그래피 및/또는 검사 공정 매개변수를 포함한다.
10. 조항 1 내지 9 중 어느 한 조항의 매체에서, 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다.
11. 조항 1 내지 10 중 어느 한 조항의 매체에서, 제어 입력은 회절 패턴 이미지, 동작 설정점, 또는 웨이퍼 및/또는 레티클에 대한 부하 시퀀스(load sequence)를 포함한다.
12. 조항 1 내지 11 중 어느 한 조항의 매체에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
13. 조항 12의 매체에서, 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어는 1) 스캐너의 웨이퍼 및/또는 레티클 스테이지의 이동을 제어하는 것, 2) 스캐너의 렌즈의 플렉스-웨이브 가열 요소를 제어하는 것, 및/또는 3) 퓨필 성형 및/또는 필드 왜곡 제어에 이용되는 가동 미러와 같은, 스캐너의 하나 이상의 미러를 제어하는 것 중 하나 또는 둘을 통하여 스캐너의 작동을 제어하는 것을 포함한다.
14. 조항 1 내지 13 중 어느 한 조항의 매체에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 열팽창과 연관된 하나 이상의 매개변수의 조정을 포함한다.
15. 조항 14의 매체에서, 제어 출력은 웨이퍼 가열 제어 조정, 레티클 가열 제어 조정 및/또는 미러 가열 제어 조정을 포함한다.
16. 조항 15의 매체에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼 가열 제어 조정을 결정하는 것을 포함한다.
17. 조항 16의 매체에서, 제어 출력을 결정하는 것은 오버레이 지문을 예측하는 것을 포함하며, 웨이퍼 가열 제어 조정을 결정하는 것은 예측된 오버레이 지문을 기반으로 한다.
18. 조항 14의 매체에서, 제어 출력은 렌즈 가열 제어 조정을 포함한다.
19. 조항 18의 매체에서, 제어 출력을 결정하는 것은,
렌즈 가열, 렌즈 가열 피드포워드 시간 시퀀스 및/또는 렌즈 가열 필드 시간 시퀀스와 연관된 뮤 타우 매개변수 값을 예측하는 것;
뮤 타우 값, 렌즈 가열 피드포워드 값 및/또는 렌즈 가열 필드 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것;
렌즈 가열 비용 함수를 기반으로 기계 학습 모델 매개변수 가중치를 결정하는 것; 및
기계 학습 모델에 의한 렌즈 가열 예측을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
20. 조항 18의 매체에서, 제어 출력을 결정하는 것은 오버레이 지문, 초점 지문 및/또는 이미징 지문을 예측하는 것, 및 예측된 오버레이 지문, 초점 지문 및/또는 이미징 지문을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
21. 조항 1 내지 20 중 어느 한 조항의 매체에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 마찰-기계적 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
22. 조항 21의 매체에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼, 레티클, 렌즈/미러 조정을 결정하는 것을 포함한다.
23. 조항 1 내지 22 중 어느 한 조항의 매체에서,
패터닝 공정으로부터의 시뮬레이션된 및/또는 실제 공정 트레이닝 데이터로 기계 학습 모델을 트레이닝시키는 것은 초기 교정을 포함하며,
기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성되고,
재-트레이닝시키는 것은 시간에 따라 패터닝 공정에서 발생하는 드리프트를 처리하도록 구성된 하나 이상의 드리프트 교정으로 기계 학습 모델을 미세 조정되도록 구성하는 것을 포함한다.
24. 조항 1 내지 23 중 어느 한 조항의 매체에서, 트레이닝 및/또는 업데이트는 오프-라인, 온라인 또는 오프-라인과 온라인 조합으로 수행된다.
25. 조항 1 내지 24 중 어느 한 조항의 매체에서,
시뮬레이션된 트레이닝 데이터는 물리적 모델을 이용하여 생성된 복수의 트레이닝 제어 입력 및 대응하는 트레이닝 제어 출력 쌍을 포함하며;
기계 학습 모델은 트레이닝 제어 입력을 기반으로, 예측된 제어 출력을 예측하도록 구성되고; 그리고
기계 학습 모델은 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위하여 트레이닝 제어 출력을 피드백으로서 이용하도록 구성되며, 하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 간의 비교를 기반으로 업데이트된다.
26. 조항 1 내지 25 중 어느 한 조항의 매체에서, 명령어는, 기계 학습 모델을 패터닝 공정으로부터의 새로운 실제 공정 데이터로 미세 조정함으로써 시간에 따라 업데이트되도록 컴퓨터가 기계 학습 모델을 구성하게 하도록 추가로 구성되며, 따라서 기계 학습 모델은
제1 업데이트된 모델 매개변수 값을 결정하기 위해 기계 학습 모델과 함께 생산 환경 국부 패터닝 공정과 연관된 국부 실제 공정 데이터를 수신하도록;
기계 학습 모델에 적어도 부분적으로 외부 트레이닝 데이터-외부 트레이닝 데이터는 장치 변동에 대한 패터닝 공정 장치를 나타냄-를 제공함으로써 획득된 제2 업데이트된 모델 매개변수 값을 수신하도록; 그리고
제1 및/또는 제2 업데이트된 모델 매개변수 값으로 초기 모델 매개변수 값을 업데이트함으로써 기계 학습 모델을 조정하도록 구성된다.
27. 조항 26의 매체에서, 조정하는 것은 시간에 따른 국부 패터닝 공정의 드리프트를 설명한다.
28. 패터닝 공정을 위한 제어 출력을 생성하는 방법에 있어서, 본 방법은,
패터닝 공정을 제어하기 위한 제어 입력 -제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하는 것; 및
패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된 기계 학습 모델을 이용하여, 제어 입력을 기반으로 패터닝 공정에 대한 제어 출력을 생성하는 것을 포함하며,
트레이닝 데이터는 1) 패터닝 공정의 복수의 작동 조건 -패터닝 공정의 복수의 작동 조건은 시간에 따른 패터닝 공정의 작동 조건 특정 거동과 연관됨-에 대응하는 복수의 트레이닝 제어 입력, 및 2) 트레이닝 제어 입력을 기반으로 물리적 모델을 이용하여 생성된 트레이닝 제어 출력을 포함한다.
29. 조항 28의 방법에서, 시간에 따른 패터닝 공정의 작동 조건 특정 거동은 시간에 따른 패터닝 공정에서의 드리프트를 포함한다.
30. 조항 28 또는 29의 방법은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 기계 학습 모델을 업데이트하는 것을 더 포함한다.
31. 조항 30의 방법에서, 재-트레이닝은 미세 조정을 포함한다.
32. 조항 28 내지 31 중 어느 한 조항의 방법에서, 제어 입력은 패터닝 공정을 모니터링하는 것 및/또는 진단하는 것과 연관된다.
33. 조항 28 내지 32 중 어느 한 조항의 방법에서, 기계 학습 모델은 매개변수화된 모델을 포함한다.
34. 조항 28 내지 33 중 어느 한 조항의 방법에서, 기계 학습 모델은 인공 신경망, 콘볼루션 신경망 및/또는 순환 신경망을 포함한다.
35. 조항 28 내지 34 중 어느 한 조항의 방법에서, 패터닝 공정은 패터닝 공정 장치로 수행되며, 이 장치는 반도체 리소그래피 장치, 광학 계측 검사 툴 또는 e-빔 검사 툴을 포함하고, 본 방법은 적어도 부분적으로 제어 출력을 기반으로 패터닝 공정 장치를 제어하는 것을 더 포함한다.
36. 조항 28 내지 35 중 어느 한 조항의 방법에서, 하나 이상의 매개변수는 하나 이상의 리소그래피 장치, 광학 계측 검사 툴 및/또는 e-빔 검사 툴 매개변수, 및/또는 연관된 리소그래피 및/또는 검사 공정 매개변수를 포함한다.
37. 조항 28 내지 36 중 어느 한 조항의 방법에서, 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함한다.
38. 조항 28 내지 37 중 어느 한 조항의 방법에서, 제어 입력은 회절 패턴 이미지, 동작 설정점, 또는 웨이퍼 및/또는 레티클에 대한 부하 시퀀스를 포함한다.
39. 조항 28 내지 38 중 어느 한 조항의 방법에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
40. 조항 39의 방법에서, 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어는 1) 스캐너의 웨이퍼 및/또는 레티클 스테이지의 이동을 제어하는 것, 2) 스캐너의 렌즈의 플렉스-웨이브 가열 요소를 제어하는 것, 및/또는 3) 스캐너의 하나 이상의 미러를 제어하는 것 중 하나 또는 둘을 통하여 스캐너의 작동을 제어하는 것을 포함한다.
41. 조항 28 내지 40 중 어느 한 조항의 방법에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 열팽창과 연관된 하나 이상의 매개변수의 조정을 포함한다.
42. 조항 41의 방법에서, 제어 출력은 웨이퍼 가열 제어 조정, 레티클 가열 제어 조정, 및/또는 미러 가열 제어 조정을 포함한다.
43. 조항 42의 방법에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼 가열 제어 조정을 결정하는 것을 포함한다.
44. 조항 43의 방법에서, 제어 출력을 결정하는 것은 오버레이 지문을 예측하는 것을 포함하며, 웨이퍼 가열 제어 조정을 결정하는 것은 예측된 오버레이 지문을 기반으로 한다.
45. 조항 41의 방법에서, 제어 출력은 렌즈 가열 제어 조정을 포함한다.
46. 조항 45의 방법에서, 제어 출력을 결정하는 것은,
렌즈 가열, 렌즈 가열 피드포워드 시간 시퀀스 및/또는 렌즈 가열 필드 시간 시퀀스와 연관된 뮤 타우(mu tau) 매개변수 값을 예측하는 것;
뮤 타우 값, 렌즈 가열 피드포워드 값 및/또는 렌즈 가열 필드 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것;
렌즈 가열 비용 함수를 기반으로 기계 학습 모델 매개변수 가중치를 결정하는 것; 및
기계 학습 모델에 의한 렌즈 가열 예측을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
47. 조항 45의 방법에서, 제어 출력을 결정하는 것은 오버레이 지문, 초점 지문 및/또는 이미징 지문을 예측하는 것, 및 예측된 오버레이 지문, 초점 지문 및/또는 이미징 지문을 기반으로 렌즈 가열 제어 조정을 결정하는 것을 포함한다.
48. 조항 28 내지 47 중 어느 한 조항의 방법에서, 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 마찰-기계적 제어와 연관된 하나 이상의 매개변수의 조정을 포함한다.
49. 조항 48의 방법에서, 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 웨이퍼, 레티클, 렌즈/미러 조정을 결정하는 것을 포함한다.
50. 조항 28 내지 49 중 어느 한 방법에서,
패터닝 공정으로부터의 시뮬레이션된 및/또는 실제 공정 트레이닝 데이터로 기계 학습 모델을 트레이닝시키는 것은 초기 교정을 포함하며,
기계 학습 모델은 패터닝 공정으로부터의 새로운 실제 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성되고, 그리고
재-트레이닝시키는 것은 시간에 따라 패터닝 공정에서 발생하는 드리프트를 처리하도록 구성된 하나 이상의 드리프트 교정으로 미세 조정되도록 기계 학습 모델을 구성하는 것을 포함한다.
51. 조항 28 내지 50 중 어느 한 방법에서, 트레이닝 및/또는 업데이트는 오프-라인, 온라인 또는 오프-라인과 온라인 조합으로 수행된다.
52. 조항 28 내지 51 중 어느 한 조항의 방법에서,
시뮬레이션된 트레이닝 데이터는 물리적 모델을 이용하여 생성된 복수의 트레이닝 제어 입력 및 대응하는 트레이닝 제어 출력 쌍을 포함하며;
기계 학습 모델은 트레이닝 제어 입력을 기반으로, 예측된 제어 출력을 예측하도록 구성되고; 그리고
기계 학습 모델은 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위하여 트레이닝 제어 출력을 피드백으로서 이용하도록 구성되며, 하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 간의 비교를 기반으로 업데이트된다.
53. 조항 28 내지 52 중 어느 한 조항의 방법은 기계 학습 모델을 패터닝 공정으로부터의 새로운 실제 공정 데이터로 미세 조정함으로써 시간에 따라 업데이트되도록 기계 학습 모델을 구성하는 것을 더 포함하며, 따라서 기계 학습 모델은
제1 업데이트된 모델 매개변수 값을 결정하기 위해 기계 학습 모델과 함께 생산 환경 국부 패터닝 공정과 연관된 국부 실제 공정 데이터를 수신하도록;
기계 학습 모델에 적어도 부분적으로 외부 트레이닝 데이터-외부 트레이닝 데이터는 장치 변동에 대한 패터닝 공정 장치를 나타냄-를 제공함으로써 획득된 제2 업데이트된 모델 매개변수 값을 수신하도록; 그리고
제1 및/또는 제2 업데이트된 모델 매개변수 값으로 초기 모델 매개변수 값을 업데이트함으로써 기계 학습 모델을 조정하도록 구성된다.
54. 조항 53의 방법에서, 조정하는 것은 시간에 따른 국부 패터닝 공정에서의 드리프트를 설명한다.
55. 기계 학습 모델을 트레이닝시키는 방법에 있어서, 본 방법은,
패터닝 공정을 시뮬레이션함으로써 트레이닝 데이터를 생성하는 것-트레이닝 데이터는 복수의 트레이닝 제어 입력 및 대응 트레이닝 제어 출력을 포함하며, 트레이닝 제어 입력은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함하고, 그리고 트레이닝 제어 출력은 하나 이상의 매개변수의 조정을 포함함-;
예측된 제어 출력을 생성하기 위해 트레이닝 제어 입력을 기본 기계 학습 모델에 제공하는 것; 및
기본 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위해 트레이닝 제어 출력을 피드백으로서 이용하는 것을 포함하며,
하나 이상의 구성은 트레이닝 제어 출력과 예측된 제어 출력 사이의 비교를 기반으로 업데이트되며, 따라서 기계 학습 모델은 새로운 제어 입력을 기반으로 새로운 제어 출력을 생성하도록 구성된다.
56. 조항 55의 방법에서, 기계 학습 모델은 패터닝 공정으로부터의 실제 및/또는 시뮬레이션된 공정 데이터로 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성된다.
57. 조항 55의 방법에서, 패터닝 공정은 물리적 모델로 시뮬레이션된다.
58. 조항 55 내지 57 중 어느 한 조항의 방법에서, 기계 학습 모델은 인공 신경망이다.
59. 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체에 있어서, 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가;
복수의 대응하는 작동 조건에 대하여 패터닝 공정을 제어하기 위한 복수의 제어 입력-제어 입력의 각각은 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하도록;
하나 이상의 매개변수의 하나 이상의 조정과 연관된 복수의 제어 출력-복수의 제어 출력은 복수의 작동 조건 대상인 패터닝 공정의 거동을 시뮬레이션하는 데 이용되는 물리적 모델의 출력을 기반으로 함-을 생성하거나 수신하도록; 그리고
복수의 수신된 제어 입력 및 복수의 생성된 또는 수신된 제어 출력을 입력함으로써 새로운 제어 입력에 대응하는 새로운 제어 출력을 추론하도록 구성된 기계 학습 모델을 트레이닝시키도록 한다.
60. 조항 1 내지 54 중 어느 한 조항의 일시적 컴퓨터 판독 가능한 매체 또는 방법에서, 제어 출력은 하나 이상의 매개변수의 조정을 포함한다.
61. 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체에 있어서, 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가, 공정의 시간 종속 거동과 연관된 측정된 매개변수 데이터 및 시간 종속 거동 동안의 공정의 상태와 연관된 컨텍스트 데이터를 수신하도록; 공정의 물리적 모델에 컨텍스트 데이터를 입력하는 것을 기반으로 시간 종속 거동을 특징짓는 하나 이상의 매개변수의 제1 값을 결정하도록; 이력 측정 매개변수 데이터 및 이력 컨텍스트 데이터에 대해 트레이닝된 기계 학습 모델에 컨텍스트 데이터를 제공함으로써 하나 이상의 매개변수의 제2 값을 결정하도록; 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하도록; 그리고 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 측정된 매개변수 데이터와 컨텍스트 데이터를 이용하여 기계 학습 모델을 트레이닝시키도록 한다.
62. 조항 61의 컴퓨터 판독 가능한 매체에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하기 위한 명령어는 기계 학습 모델에 대한 에이전트처럼 작용하도록 구성되며, 에이전트는 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 기계 학습 모델을 보상한다.
63. 조항 61 또는 62의 컴퓨터 판독 가능한 매체에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하기 위한 명령어는 추가 기계 학습 모델로서 구현된다.
64. 조항 63의 컴퓨터 판독 가능한 매체에서, 추가 기계 학습 모델은 상기 기계 학습 모델에 대한 에이전트가 되도록 구성되며, 에이전트는 i) 상기 제1 및 제2 값 그리고 측정된 매개변수 데이터를 입력으로 이용하도록, 그리고 ⅱ) 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 기계 학습 모델에 보상을 제공하도록 구성된다.
65. 조항 63 또는 64의 컴퓨터 판독 가능한 매체에서, 기계 학습 모델 및 추가 기계 학습 모델은 신경망 아키텍처를 기반으로 한다.
66. 조항 65의 컴퓨터 판독 가능한 매체에서, 기계 학습 모델은 생성적 분기부로서 구성되며, 추가 기계 학습 모델은 생성적 대립 신경망(Generative Adversarial Network)(GAN)의 판별적 분기부로서 구성된다.
67. 조항 61 내지 66 중 어느 한 조항의 컴퓨터 판독 가능한 매체에서, 기계 학습 모델은 콘볼루션 신경망(CNN) 또는 인코더-디코더 기반 모델 중 하나를 포함한다.
68. 조항 67의 컴퓨터 판독 가능한 매체에서, 인코더-디코더 모델은 컨텍스트 데이터를 잠재 공간에 맵핑하도록 구성된 인코더 및 맵핑된 컨텍스트 데이터를 기반으로 하나 이상의 매개변수를 재구성하도록 구성된 디코더를 포함한다.
69. 조항 61 내지 68 중 어느 한 조항의 컴퓨터 판독 가능한 매체에서, 측정된 매개변수 데이터와 시간 종속 거동은 에칭 장치 또는 리소그래피 장치 내에서의 가열 유도 거동과 연관되며, 컨텍스트 데이터는 리소그래피 또는 에칭 장치의 상태 및/또는 설정과 연관된다.
70. 조항 69의 컴퓨터 판독 가능한 매체에서, 가열 유도 거동은 리소그래피 장치에 의해 조명되는 패터닝 디바이스의 가열과 연관되며, 하나 이상의 매개변수는 리소그래피 장치의 상태 및/또는 설정이 컨텍스트 데이터에 대응하는 경우 패터닝 디바이스의 상기 가열에 의해 야기되는 패터닝 디바이스의 기하학적 변형을 특징짓는다.
71. 조항 70의 컴퓨터 판독 가능한 매체에서, 하나 이상의 매개변수는 기하학적 변형 및 컨텍스트 데이터와 연관된 하나 이상의 변형 모드를 포함한다.
72. 조항 70 또는 71의 컴퓨터 판독 가능한 매체에서, 컨텍스트 데이터는 공정 대상인 기판의 처리 이력, 리소그래피 장치에 의해 조명되는 패터닝 디바이스 상의 영역의 크기, 하나 이상의 기판의 처리 동안 패터닝 디바이스 상의 영역에 의해 받아들여지는 세기 또는 선량, 패터닝 디바이스의 투과 중 하나 이상을 포함한다.
73. 조항 72의 컴퓨터 판독 가능한 매체에서, 측정된 매개변수 데이터는 패터닝 디바이스에 제공된 복수의 피처와 연관된 위치 데이터를 포함한다.
74. 조항 61 내지 73 중 어느 한 조항의 컴퓨터 판독 가능한 매체에서, 하나 이상의 매개변수의 제1 값은 이력적인 측정 매개변수 데이터를 적어도 부분적으로 기반으로 한다.
75. 조항 61 내지 74 중 어느 한 조항의 컴퓨터 판독 가능한 매체는 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부에 따라 하나 이상의 매개변수의 제1 또는 제2 값을 데이터베이스 구조에 저장하기 위한 명령어를 더 포함한다.
76. 조항 61 내지 75 중 어느 한 조항의 컴퓨터 판독 가능한 매체는 하나 이상의 매개변수의 제1 또는 제2 값을 기반으로, 공정을 수행하는데 이용되는 장치를 구성하기 위한 명령어를 더 포함한다.
77. 조항 76의 컴퓨터 판독 가능한 매체에서, 장치는 리소그래피 장치이며, 공정은 반도체 제조 공정이다.
78. 조항 61 내지 77중 어느 한 조항의 컴퓨터 판독 가능한 매체에서, 측정된 매개변수 데이터를 수신하기 위한 명령어는 대응하는 컨텍스트 데이터에 대한 요구 조건을 기반으로, 수신된 측정된 매개변수 데이터를 필터링하기 위한 명령어를 더 포함하며, 기계 학습 모델은 필터링된 측정된 매개변수 데이터를 이용하여 트레이닝된다.
79. 조항 61 내지 78 중 어느 한 조항의 컴퓨터 판독 가능한 매체는 리소그래피 장치에 대한 제어 입력으로서 하나 이상의 매개변수의 제1 및/또는 제2 값을 이용하기 위한 명령어를 더 포함한다.
80. 조항 61 내지 79 중 어느 한 조항의 컴퓨터 판독 가능한 매체는 리소그래피 장치의 제어 출력을 예측하기 위해 하나 이상의 매개변수의 제1 및/또는 제2 값을 이용하기 위한 명령어를 더 포함한다.
81. 본 발명의 방법은, 공정의 시간 종속 거동과 연관된 측정된 매개변수 데이터 및 시간 종속 거동 동안의 공정의 상태와 연관된 컨텍스트 데이터를 수신하는 것; 공정의 물리적 모델에 컨텍스트 데이터를 입력하는 것을 기반으로 시간 종속 거동을 특징짓는 하나 이상의 매개변수의 제1 값을 결정하는 것; 이력 측정 매개변수 데이터 및 이력 컨텍스트 데이터에 대해 트레이닝된 기계 학습 모델에 컨텍스트 데이터를 제공함으로써 하나 이상의 매개변수의 제2 값을 결정하는 것; 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하는 것; 그리고 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 측정된 매개변수 데이터와 컨텍스트 데이터를 이용하여 기계 학습 모델을 트레이닝시키는 것을 포함한다.
82. 조항 81의 방법에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하기 위한 명령어는 기계 학습 모델에 대한 에이전트처럼 작용하도록 구성되며, 에이전트는 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 기계 학습 모델을 보상한다.
83. 조항 81 또는 82의 방법에서, 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부를 결정하는 것은 추가 기계 학습 모델을 이용한다.
84. 조항 83의 방법에서, 추가 기계 학습 모델은 상기 기계 학습 모델에 대한 에이전트가 되도록 구성되며, 에이전트는 i) 상기 제1 및 제2 값 그리고 측정된 매개변수 데이터를 입력으로 이용하도록, 그리고 ⅱ) 하나 이상의 매개변수의 제2 값이 하나 이상의 매개변수의 제1 값보다 측정된 매개변수 데이터에 더 잘 대응하는 경우 기계 학습 모델에 보상을 제공하도록 구성된다.
85. 조항 83 또는 84의 방법에서, 기계 학습 모델 및 추가 기계 학습 모델은 신경망 아키텍처를 기반으로 한다.
86. 조항 85의 방법에서, 기계 학습 모델은 생성적 분기부로서 구성되며, 추가 기계 학습 모델은 생성적 대립 신경망(GAN)의 판별적 분기부로서 구성된다.
87. 조항 81 내지 86 중 어느 한 조항의 방법에서, 기계 학습 모델은 콘볼루션 신경망(convolutional neural network)(CNN) 또는 인코더-디코더 기반 모델 중 하나를 포함한다.
88. 조항 87의 방법에서, 인코더-디코더 모델은 컨텍스트 데이터를 잠재 공간에 맵핑하도록 구성된 인코더 및 맵핑된 컨텍스트 데이터를 기반으로 하나 이상의 매개변수를 재구성하도록 구성된 디코더를 포함한다.
89. 조항 81 내지 88 중 어느 한 조항의 방법에서, 측정된 매개변수 데이터와 시간 종속 거동은 에칭 장치 또는 리소그래피 장치 내에서의 가열 유도 거동과 연관되며, 컨텍스트 데이터는 리소그래피 또는 에칭 장치의 상태 및/또는 설정과 연관된다.
90. 조항 89의 방법에서, 가열 유도 거동은 리소그래피 장치에 의해 조명되는 패터닝 디바이스의 가열과 연관되며, 하나 이상의 매개변수는 리소그래피 장치의 상태 및/또는 설정이 컨텍스트 데이터에 대응하는 경우 패터닝 디바이스의 상기 가열에 의해 야기되는 패터닝 디바이스의 기하학적 변형을 특징짓는다.
91. 조항 90의 방법에서, 하나 이상의 매개변수는 기하학적 변형 및 컨텍스트 데이터와 연관된 하나 이상의 변형 모드를 포함한다.
92. 조항 90 또는 91의 방법에서, 컨텍스트 데이터는 공정 대상인 기판의 처리 이력, 리소그래피 장치에 의해 조명되는 패터닝 디바이스 상의 영역의 크기, 하나 이상의 기판의 처리 동안 패터닝 디바이스 상의 영역에 의해 받아들여지는 세기 또는 선량, 패터닝 디바이스의 투과 중 하나 이상을 포함한다.
93. 조항 92의 방법에서, 측정된 매개변수 데이터는 패터닝 디바이스에 제공된 복수의 피처와 연관된 위치 데이터를 포함한다.
94. 조항 81 내지 93 중 어느 한 조항의 방법에서, 하나 이상의 매개변수의 제1 값은 이력적인 측정 매개변수 데이터를 적어도 부분적으로 기반으로 한다.
95. 조항 81 내지 94 중 어느 한 조항의 방법은 하나 이상의 매개변수의 제1 또는 제2 값이 측정된 매개변수 데이터에 더 잘 대응하는지 여부에 따라 하나 이상의 매개변수의 제1 또는 제2 값을 데이터베이스 구조에 저장하는 것을 더 포함한다.
96. 조항 81 내지 95 중 어느 한 조항의 방법은 하나 이상의 매개변수의 제1 또는 제2 값을 기반으로, 공정을 수행하는데 이용되는 장치를 구성하는 것을 더 포함한다.
97. 조항 96의 방법에서, 장치는 리소그래피 장치이며, 공정은 반도체 제조 공정이다.
98. 조항 81 내지 97 중 어느 한 조항의 방법에서, 측정된 매개변수 데이터를 수신하는 것은 대응하는 컨텍스트 데이터에 대한 요구 조건을 기반으로, 수신된 측정된 매개변수 데이터를 필터링하는 것을 더 포함하며, 기계 학습 모델은 필터링된 측정된 매개변수 데이터를 이용하여 트레이닝된다.
100. 조항 1 내지 54 중 어느 한 조항의 일시적 컴퓨터 판독 가능한 매체 또는 방법에서, 트레이닝 제어 입력은 작동 조건을 포함한다.
101. 조항 100의 일시적 컴퓨터 판독 가능한 매체 또는 방법에서, 트레이닝 제어 입력은 패터닝 공정에서 이용되는 레티클의 투과, 레티클을 조명하는 데 이용되는 리소그래피 장치의 필드 크기, 레티클을 조명하는 데 이용되는 방사선의 세기 중 하나 이상이다.
102. 조항 100 또는 101의 일시적 컴퓨터 판독 가능한 매체 또는 방법에서, 트레이닝 제어 출력은 패터닝 공정의 시간 의존적 거동을 특징짓는 하나 이상의 매개변수의 값이다.
103. 조항 102의 일시적 컴퓨터 판독 가능한 매체 또는 방법에서, 하나 이상의 매개변수는 리소그래피 장치의 상태 및/또는 설정이 작동 조건에 대응하는 경우에 레티클의 가열에 의해 야기되는 레티클의 기하학적 변형을 특징짓는다.
본 명세서에서는 IC의 제조에서의 리소그래피 장치의 이용에 대하여 특정 참조가 이루어질 수 있지만, 본 명세서에서 설명된 리소그래피 장치는 다른 적용을 가질 수 있다는 점이 이해되어야 한다. 가능한 다른 적용은 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 플랫-패널 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조를 포함한다.
본 명세서에서는 리소그래피 장치의 맥락에서 본 발명의 실시예에 대해 특정 참조가 이루어질 수 있지만, 본 발명의 실시예는 다른 장치에서 이용될 수 있다. 본 발명의 실시예는 마스크 검사 장치, 계측 장치, 또는 웨이퍼 (또는 다른 기판) 또는 마스크 (또는 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이 장치들은 일반적으로 리소그래피 툴로 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 대기 (비진공) 조건을 이용할 수 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예의 이용에 대해 특정 참조가 위에서 이루어질 수 있지만, 문맥이 허용하는 경우, 본 발명은 광학 리소그래피에 제한되지 않으며 다른 적용, 예를 들어 임프린트 리소그래피에서 이용될 수 있다는 점이 인식될 것이다.
문맥이 허용하는 경우, 본 발명의 실시예는 하드웨어, 펌웨어, 소프트웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 본 발명의 실시예는 또한 기계-판독 가능한 매체에 저장된 명령어로서 구현될 수 있으며, 이 매체는 하나 이상의 프로세서에 의해 판독될 수 있고 실행될 수 있다. 본 명세서에서 설명된 바와 같이, 기계-판독 가능한 매체는 기계 (예를 들어, 컴퓨팅 디바이스(computing device))에 의해 판독 가능한 형태로 정보를 저장 또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계-판독 가능한 매체는 판독 전용 메모리(ROM); 랜덤 액세스 메모리(RAM); 자기 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 다른 형태의 전파 신호(propagated signal) (예를 들어, 반송파, 적외선 신호, 디지털 신호 등) 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine), 명령어는 특정 동작을 수행하는 것으로서 본 명세서에서 설명될 수 있다. 그러나 이러한 설명은 단지 편의를 위한 것이라는 점 그리고 이러한 동작은 사실은 컴퓨팅 디바이스, 프로세서, 컨트롤러, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스에 기인하며 또한 이렇게 하는 것은 액추에이터 또는 다른 디바이스를 물질계와 상호 작용할 수 있게 한다는 점이 인식되어야 한다.
본 발명의 특정 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과는 달리 실시될 수 있다는 점이 인식될 것이다. 위의 설명은 제한이 아닌, 예시를 위한 것이다. 따라서, 아래에 제시된 청구범위의 범위를 벗어남이 없이 설명된 바와 같이 본 발명에 대하여 수정이 이루어질 수 있다는 것이 당업자에게 명백할 것이다.

Claims (20)

  1. 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체에 있어서, 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가;
    패터닝 공정을 제어하기 위한 제어 입력 -상기 제어 입력은 상기 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하도록; 그리고
    상기 패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된 기계 학습 모델을 이용하여, 상기 제어 입력을 기반으로 상기 패터닝 공정에 대한 제어 출력을 생성하도록 하며,
    상기 트레이닝 데이터는 1) 상기 패터닝 공정의 복수의 작동 조건 -상기 패터닝 공정의 상기 복수의 작동 조건은 시간에 따른 상기 패터닝 공정의 작동 조건 특정 거동과 연관됨-에 대응하는 복수의 트레이닝 제어 입력, 및 2) 상기 복수의 트레이닝 제어 입력에 대응하는 복수의 트레이닝 제어 출력을 포함하고, 각 트레이닝 제어 출력은 대응하는 트레이닝 제어 입력을 입력으로서 갖는 물리적 모델을 이용하여 생성된, 비일시적 컴퓨터 판독 가능한 매체.
  2. 제1항에 있어서, 시간에 따른 상기 패터닝 공정의 상기 작동 조건 특정 거동은 시간에 따른 상기 패터닝 공정에서의 드리프트를 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  3. 제1항에 있어서, 상기 기계 학습 모델은 인공 신경망, 콘볼루션 신경망 및/또는 순환 신경망을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  4. 제1항에 있어서, 상기 하나 이상의 매개변수는 리소그래피 장치, 광학 계측 검사 툴 및/또는 e-빔 검사 툴 매개변수들, 및/또는 연관된 리소그래피 및/또는 검사 공정 매개변수들 중 하나 이상을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  5. 제1항에 있어서, 상기 제어 입력은 회절 패턴 이미지, 동작 설정점, 또는 웨이퍼 및/또는 레티클에 대한 부하 시퀀스(load sequence)를 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  6. 제1항에 있어서, 상기 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어와 연관된 하나 이상의 매개변수의 조정을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  7. 제6항에 있어서, 상기 패터닝 공정 장치의 하나 이상의 구성 요소의 동작 제어는 1) 리소그래피 장치의 웨이퍼 및/또는 레티클 스테이지의 이동을 제어하는 것, 2) 상기 리소그래피 장치의 렌즈의 플렉스-웨이브(flex-wave) 가열 요소를 제어하는 것, 및/또는 3) 퓨필 성형 및/또는 노광 필드 왜곡 제어에 이용되는 가동 미러와 같은, 상기 리소그래피 장치의 하나 이상의 미러를 제어하는 것 중 하나 또는 둘을 통하여 상기 리소그래피 장치의 작동을 제어하는 것을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  8. 제1항에 있어서, 상기 제어 출력은 패터닝 공정 장치의 하나 이상의 구성 요소의 열팽창과 연관된 하나 이상의 매개변수의 조정을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  9. 제8항에 있어서, 상기 제어 출력은 웨이퍼 가열 제어 조정, 레티클 가열 제어 조정 및/또는 미러 가열 제어 조정을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  10. 제9항에 있어서, 상기 제어 출력을 결정하는 것은 오버레이 지문 및/또는 초점 지문을 예측하는 것, 및 상기 예측된 오버레이 지문 및/또는 초점 지문을 기반으로 상기 웨이퍼 가열 제어 조정을 결정하는 것을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  11. 제9항에 있어서, 상기 제어 출력은 렌즈 가열 제어 조정을 포함하며, 상기 제어 출력을 결정하는 것은:
    렌즈 가열, 렌즈 가열 피드포워드 시간 시퀀스 및/또는 렌즈 가열 필드 시간 시퀀스와 연관된 뮤 타우(mu tau) 매개변수 값을 예측하는 것;
    상기 뮤 타우 값, 렌즈 가열 피드포워드 값 및/또는 렌즈 가열 필드 값을 기반으로 렌즈 가열 비용 함수를 결정하는 것;
    상기 렌즈 가열 비용 함수를 기반으로 기계 학습 모델 매개변수 가중치를 결정하는 것; 및
    상기 기계 학습 모델에 의한 렌즈 가열 예측을 기반으로 상기 렌즈 가열 제어 조정을 결정하는 것 중 하나 이상을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  12. 제1항에 있어서,
    상기 패터닝 공정으로부터의 시뮬레이션된 및/또는 실제 공정 트레이닝 데이터로 상기 기계 학습 모델을 트레이닝시키는 것은 초기 교정을 포함하며,
    상기 기계 학습 모델은 상기 패터닝 공정으로부터의 새로운 실제 공정 데이터로 상기 기계 학습 모델을 재-트레이닝시킴으로써 시간에 따라 업데이트되도록 구성되고, 그리고
    상기 재-트레이닝시키는 것은 시간에 따라 상기 패터닝 공정에서 발생하는 드리프트를 처리(account for)하도록 구성된 하나 이상의 드리프트 교정으로 미세 조정되도록 상기 기계 학습 모델을 구성하는 것을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  13. 제1항에 있어서,
    상기 트레이닝 데이터는 상기 물리적 모델을 이용하여 생성된 복수의 트레이닝 제어 입력 및 대응하는 트레이닝 제어 출력 쌍을 포함하며;
    상기 기계 학습 모델은 트레이닝 제어 입력을 기반으로, 예측된 제어 출력을 예측하도록 구성되고; 그리고
    상기 기계 학습 모델은 상기 기계 학습 모델의 하나 이상의 구성을 업데이트하기 위하여 트레이닝 제어 출력을 피드백으로서 이용하도록 구성되며, 상기 하나 이상의 구성은 상기 트레이닝 제어 출력과 상기 예측된 제어 출력 간의 비교를 기반으로 업데이트되는, 비일시적 컴퓨터 판독 가능한 매체.
  14. 제1항에 있어서, 상기 트레이닝 제어 입력은 상기 작동 조건을 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  15. 제14항에 있어서, 상기 트레이닝 제어 입력은 상기 패터닝 공정에서 이용되는 레티클의 투과, 레티클을 조명하는 데 이용되는 리소그래피 장치의 필드 크기, 레티클을 조명하는 데 이용되는 방사선의 세기 중 하나 이상인, 비일시적 컴퓨터 판독 가능한 매체.
  16. 제14항 또는 제15항에 있어서, 상기 트레이닝 제어 출력은 상기 패터닝 공정의 시간 의존적 거동을 특징짓는 하나 이상의 매개변수의 값인, 비일시적 컴퓨터 판독 가능한 매체.
  17. 제16항에 있어서, 상기 하나 이상의 매개변수는 상기 리소그래피 장치의 상태 및/또는 설정이 상기 작동 조건에 대응하는 경우에 상기 레티클의 가열에 의해 야기되는 상기 레티클의 기하학적 변형을 특징짓는, 비일시적 컴퓨터 판독 가능한 매체.
  18. 패터닝 공정을 위한 제어 출력을 생성하는 방법에 있어서,
    패터닝 공정을 제어하기 위한 제어 입력 -상기 제어 입력은 상기 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하는 것; 및
    상기 패터닝 공정의 시뮬레이션 및/또는 실제 공정 데이터로부터 생성된 트레이닝 데이터로 트레이닝된 기계 학습 모델을 이용하여, 상기 제어 입력을 기반으로 상기 패터닝 공정에 대한 제어 출력을 생성하는 것을 포함하며,
    상기 트레이닝 데이터는 1) 상기 패터닝 공정의 복수의 작동 조건 -상기 패터닝 공정의 상기 복수의 작동 조건은 시간에 따른 상기 패터닝 공정의 작동 조건 특정 거동과 연관됨-에 대응하는 복수의 트레이닝 제어 입력, 및 2) 상기 복수의 트레이닝 제어 입력에 대응하는 복수의 트레이닝 제어 출력을 포함하고, 각 트레이닝 제어 출력은 대응하는 트레이닝 제어 입력을 입력으로서 갖는 물리적 모델을 이용하여 생성된, 제어 출력 생성 방법.
  19. 제18항에 있어서, 상기 트레이닝 제어 입력은 패터닝 공정에서 이용되는 레티클의 투과, 상기 레티클을 조명하는 데 이용되는 리소그래피 장치의 필드 크기, 상기 레티클을 조명하는 데 이용되는 방사선의 세기 중 하나 이상이며, 상기 트레이닝 제어 출력은 상기 패터닝 공정의 시간 의존적 거동을 특징짓는 하나 이상의 매개변수의 값인 방법.
  20. 명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체에 있어서, 상기 명령어는 컴퓨터에 의하여 실행될 때 컴퓨터가;
    복수의 대응하는 작동 조건에 대하여 패터닝 공정을 제어하기 위한 복수의 제어 입력-상기 제어 입력의 각각은 상기 패터닝 공정에서 이용되는 하나 이상의 매개변수를 포함함-을 수신하도록;
    상기 하나 이상의 매개변수의 하나 이상의 조정과 연관된 복수의 제어 출력-상기 복수의 제어 출력은 상기 복수의 작동 조건의 대상인 상기 패터닝 공정의 거동을 시뮬레이션하는 데 이용되는 물리적 모델의 출력을 기반으로 함-을 생성하거나 수신하도록; 그리고
    상기 복수의 수신된 제어 입력 및 상기 복수의 생성된 또는 수신된 제어 출력을 입력함으로써 새로운 제어 입력에 대응하는 새로운 제어 출력을 추론하도록 구성된 기계 학습 모델을 트레이닝시키도록 하는, 비일시적 컴퓨터 판독 가능한 매체.
KR1020237001600A 2020-07-09 2021-06-14 패터닝 공정 조정 방법 KR20230023789A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
CNPCT/CN2020/101030 2020-07-09
CN2020101030 2020-07-09
EP20186710.8A EP3944020A1 (en) 2020-07-20 2020-07-20 Method for adjusting a patterning process
EP20186710.8 2020-07-20
EP21172961 2021-05-10
EP21172961.1 2021-05-10
PCT/EP2021/065947 WO2022008174A1 (en) 2020-07-09 2021-06-14 Method for adjusting a patterning process

Publications (1)

Publication Number Publication Date
KR20230023789A true KR20230023789A (ko) 2023-02-17

Family

ID=76422011

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237001600A KR20230023789A (ko) 2020-07-09 2021-06-14 패터닝 공정 조정 방법

Country Status (6)

Country Link
US (1) US20230273529A1 (ko)
JP (1) JP2023533491A (ko)
KR (1) KR20230023789A (ko)
CN (1) CN115777085A (ko)
TW (1) TWI782597B (ko)
WO (1) WO2022008174A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4216106A1 (en) * 2022-01-19 2023-07-26 ASML Netherlands B.V. Method for controlling a production system and method for thermally controlling at least part of an environment
EP4261618A1 (en) * 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
CN117313640A (zh) * 2022-06-14 2023-12-29 腾讯科技(深圳)有限公司 光刻掩膜生成模型的训练方法、装置、设备及存储介质

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
WO2020114686A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process

Also Published As

Publication number Publication date
US20230273529A1 (en) 2023-08-31
WO2022008174A1 (en) 2022-01-13
TW202209095A (zh) 2022-03-01
TWI782597B (zh) 2022-11-01
JP2023533491A (ja) 2023-08-03
CN115777085A (zh) 2023-03-10

Similar Documents

Publication Publication Date Title
US20230273529A1 (en) Method for adjusting a patterning process
US20210333785A1 (en) Determining a correction to a process
KR102352673B1 (ko) 컴퓨테이션 계측법
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
US10451977B2 (en) Lithographic method and apparatus
KR102059018B1 (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
KR102132373B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
TWI623811B (zh) 校正圖案化製程誤差之方法、電腦程式產品與系統
TWI827068B (zh) 用於預測藉由掃描電子顯微鏡進行後續掃描之缺陷位置之非暫時性電腦可讀取媒體
KR20180072768A (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
EP3944020A1 (en) Method for adjusting a patterning process
US20230315027A1 (en) Motion control using an artificial neural network
TWI791321B (zh) 用於組態採樣架構產生模型之方法及電腦程式
TWI828087B (zh) 校正全像影像之方法及相關的處理器件及相關程式儲存器、暗場數位全像顯微鏡、度量衡裝置、及檢測裝置
EP4261618A1 (en) A method of determining a correction for control of a lithography and/or metrology process, and associated devices
EP4216106A1 (en) Method for controlling a production system and method for thermally controlling at least part of an environment
CN114746810A (zh) 用于确定光刻设备的控制数据的方法和设备
TW202347035A (zh) 用於判定半導體製造程序中之至少一控制參數之校正之方法
JP2014078640A (ja) 露光装置及びデバイスの製造方法