CN113168111A - 用于预测半导体制造过程的产率的方法 - Google Patents

用于预测半导体制造过程的产率的方法 Download PDF

Info

Publication number
CN113168111A
CN113168111A CN201980077324.6A CN201980077324A CN113168111A CN 113168111 A CN113168111 A CN 113168111A CN 201980077324 A CN201980077324 A CN 201980077324A CN 113168111 A CN113168111 A CN 113168111A
Authority
CN
China
Prior art keywords
yield
parameters
model
parameter
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980077324.6A
Other languages
English (en)
Other versions
CN113168111B (zh
Inventor
张幼平
B·门奇奇科夫
C·E·塔贝里
邹毅
林晨希
程亚娜
西蒙·飞利浦·斯宾塞·哈斯廷斯
M·格宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN113168111A publication Critical patent/CN113168111A/zh
Application granted granted Critical
Publication of CN113168111B publication Critical patent/CN113168111B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/02Reliability analysis or reliability optimisation; Failure analysis, e.g. worst case scenario performance, failure mode and effects analysis [FMEA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/22Yield analysis or yield optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Automation & Control Theory (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Hardware Design (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Molecular Biology (AREA)
  • General Health & Medical Sciences (AREA)
  • Computational Linguistics (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

描述了一种用于预测与在衬底上制造半导体器件的过程有关的产率的方法,该方法包括:获得将模型化参数转换为产率参数的经训练第一模型,上述模型化参数包括:a)与以下中的一项或多项相关联的几何参数:通过该过程制造的器件元件的几何特性、尺寸或位置,以及b)经训练自由参数;获得包括表征该过程的过程参数的过程参数数据;将过程参数数据转换为几何参数的值;以及使用经训练第一模型和几何参数的值来预测产率参数。

Description

用于预测半导体制造过程的产率的方法
相关申请的交叉引用
本申请要求于2018年12月3日提交的美国申请62/774,488的优先权,其全部内容通过引用合并于此。
技术领域
本发明涉及半导体制造过程、特别是用于预测进行该过程的衬底的电气特性和产率的方法。
背景技术
光刻设备是一种被构造为将期望图案施加到衬底上的机器。光刻设备可以用于例如集成电路(IC)的制造中。光刻设备可以例如在图案形成装置(例如,掩模)处将图案(通常也称为“设计布局”或“设计”)投影到设置在衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了在衬底上投影图案,光刻设备可以使用电磁辐射。该辐射的波长决定了可以在衬底上形成的特征的最小尺寸。当前使用的典型波长是365nm(i线)、248nm、193nm和13.5nm。与使用例如波长为193nm的辐射的光刻设备相比,使用波长在4-20nm范围内(例如,6.7nm或13.5nm)的极紫外(EUV)辐射的光刻设备可以用于在衬底上形成更小的特征。
低k1光刻可以用于处理尺寸小于光刻设备的经典分辨率极限的特征。在这种过程中,分辨率公式可以表示为CD=k1×λ/NA,其中λ是所采用的辐射波长,NA是光刻设备中投影光学元件的数值孔径,CD是“临界尺寸”(通常是打印的最小特征尺寸,但在这种情况下为半间距),k1是经验分辨率。通常,k1越小,就越难以在衬底上复制与电路设计者为实现特定电气功能和性能而计划的形状和尺寸类似的图案。为了克服这些困难,可以将复杂的微调步骤应用于光刻投影设备和/或设计布局。这些包括例如但不限于NA的优化、定制的照射方案、相移图案形成装置的使用、设计布局的各种优化(诸如设计布局中的光学邻近校正(OPC,有时也称为“光学和过程校正”)、或通常定义为“分辨率增强技术”(RET)的其他方法。替代地,可以使用用于控制光刻设备的稳定性的严格控制回路来改善低k1下的图案的再现。
这些严格控制回路通常基于使用量测工具测量所施加的图案或代表所施加的图案的量测目标的特性而获得的量测数据。通常,量测工具基于图案和/或目标的位置和/或尺寸的光学测量。本质上假定这些光学测量代表集成电路制造过程的质量。
除了基于光学测量的控制,还可以执行基于电子束的测量;其中使用电子束工具(由HMI提供)的所谓低电压测量可以被利用。这样的低电压对比度测量指示施加到衬底的层之间的电接触的质量。
通常,在所有过程步骤完成之后,衬底上的每个管芯应当适合于生产功能性半导体器件(IC)。原则上,在进行进一步的IC封装之前,每个芯片都应当使用各种技术进行电测试,其中包括电探测。电探测通常在管芯上的多个位置进行,以测量多个电气特性(例如,电压、电阻、频率,每个参数称为特定二进制码)。二进制码的值是好的IC的质量的指示器;例如,当所测量的电阻非常高时,这可能表明部件之间的电接触未实现,因此,IC正常工作的可能性很小。如果对衬底电气性质的测试传达了大量的非功能性IC,则可以认为制造过程的产率很低。
IC生产的最后阶段的测试的缺点在于,仅在所有过程步骤的执行之后,才能确定关于提供功能性IC与非功能性IC的最小期望比率的过程的产率是否满足某些标准。
发明内容
发明人的目的是解决现有技术中提到的缺点。
在本发明的第一方面,提供了一种用于预测与在衬底上制造半导体器件的过程有关的产率的方法,该方法包括:获得将模型化参数转换为产率参数的经训练第一模型,上述模型化参数包括:a)与以下中的一项或多项相关联的几何参数:通过该过程制造的器件元件的几何特性、尺寸或位置;以及b)经训练自由参数;获得包括表征该过程的过程参数的过程参数数据;将过程参数数据转换为几何参数的值;以及使用经训练第一模型和几何参数的值来预测产率参数。
在本发明的第二方面,提供了一种用于构建用于基于过程参数数据来预测产率参数的第一模型的方法,该方法包括:确定通过制造过程制造的器件的多个器件元件的产率概率模型,每个产率概率模型包括将一个或多个模型化参数转换为模型化参数的对应器件元件的产率概率的几何公式,上述模型化参数包括几何参数和经训练自由参数;以及将上述第一模型构造为每个器件元件的产率概率模型的组合。
附图说明
现在将仅通过示例的方式,参考所附的示意图来描述本发明的实施例,在附图中:
图1示出了光刻设备的示意图;
图2示出了光刻单元的示意图;
图3示出了整体光刻的示意图,以表示三种关键技术之间的合作以优化半导体制造;
图4是所测量的过程特性与电探针数据之间的关系的确定的示意图;
图5是根据本发明的第一实施例的方法的流程图;以及图6示出了(a)将所有后续层与第一层A对准的重叠树方法,
以及(b)将C层与B层而不是与A层对准的替代重叠树。
具体实施方式
在本文档中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射,包括紫外线辐射(例如,波长为365、248、193、157或126nm)和EUV(极紫外线辐射,例如,波长在约5-100nm的范围内)。
本文中使用的术语“掩模版”、“掩模”或“图案形成装置”可以广义地解释为是指通用图案形成装置,该通用图案形成装置可以用于向入射的辐射束赋予与将在衬底的目标部分中创建的图案相对应的图案化横截面;在此上下文中也可以使用术语“光阀”。除了经典掩模(透射或反射掩模;二进制、相移、混合等),其他这样的图案形成装置的示例还包括:
-可编程反射镜阵列。关于这种反射镜阵列的更多信息在美国专利号5,296,891和5,523,193(其通过引用并入本文)中给出。
-可编程的LCD阵列。这种结构的一个示例在美国专利号5,229,872(其通过引用并入本文)中给出。
图1示意性地示出了光刻设备LA。光刻设备LA包括:照射系统(也称为照射器)IL,其被配置为调节辐射束B(例如,UV辐射、DUV辐射或EUV辐射);支撑结构(例如,掩模台)MT,其被构造为支撑图案形成装置(例如,掩模)MA并且连接到第一定位器PM,第一定位器PM被配置为根据某些参数准确地定位图案形成装置MA;衬底台(例如,晶片台)WT,其被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到第二定位器PW,第二定位器PW被配置为根据某些参数准确地定位衬底;以及投影系统(例如,折射投影透镜系统)PS,其被配置为将通过图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个管芯)上。
在操作中,照射器IL接收来自辐射源SO的辐射束,例如经由束传输系统BD。照射系统IL可以包括用于引导、整形或控制辐射的各种类型的光学部件,诸如折射、反射、磁性、电磁、静电或其他类型的光学部件、或其任何组合。照射器IL可以用于调节辐射束B以使其在图案形成装置MA的平面处在其横截面中具有期望的空间和角度强度分布。
本文中使用的术语“投影系统”PS应当广义地解释为涵盖各种类型的投影系统,包括折射、反射、折反射、变形、磁性、电磁和静电光学系统、或其任何组合,该投影系统适合于所使用的曝光辐射或其他因素(诸如浸没液体的使用或真空的使用)。本文中对术语“投影透镜”的任何使用可以被认为与更通用的术语“投影系统”PS同义。
光刻设备可以是如下这样的类型:其中衬底的至少一部分可以被具有相对较高折射率的液体(例如,水)覆盖,以填充投影系统与衬底之间的空间,这也称为浸没式光刻。关于浸没技术的更多信息在美国专利号6,952,253和PCT公开号WO99-49504(其通过引用并入本文)中给出。
光刻设备LA也可以是具有两个(双台)或更多个衬底台WT和例如两个或更多个支撑结构MT(未示出)的类型。在这样的“多台”机器中,可以并行地使用附加台/结构,或者可以在一个或多个台上执行准备步骤,而一个或多个其他台用于将图案形成装置MA的设计布局暴露到衬底W上。
在操作中,辐射束B入射到图案形成装置(例如,掩模MA)上,该图案形成装置(例如,掩模MA)被保持在支撑结构(例如,掩模台MT)上,并且由图案形成装置MA图案化。在穿过掩模MA之后,辐射束B穿过投影系统PS,该投影系统PS将束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉仪、线性编码器、二维编码器或电容传感器),可以准确地移动衬底台WT,例如,以便在辐射束B的路径中定位不同目标部分C。类似地,第一定位器PM可能还有另一位置传感器(未在图1中明确示出)可以用于相对于辐射束B的路径准确地定位掩模MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准掩模MA和衬底W。尽管所示的衬底对准标记占据专用目标部分,但是它们可以位于目标部分之间的空间中(这些称为划线对准标记)。
如图2所示,光刻设备LA可以形成光刻单元LC的一部分,该光刻单元LC有时也称为光刻单元或(光刻)簇,其通常还包括在衬底W上执行曝光前和曝光后过程的设备。常规地,这些包括例如用于沉积抗蚀剂层的旋涂器SC、用于显影曝光抗蚀剂的显影剂DE、冷却板CH和烘烤板BK,例如用于调节衬底的温度W,例如用于调节抗蚀剂层中的溶剂。衬底处理器或机械手RO从输入/输出端口I/O1、I/O2拾取衬底W,在不同处理设备之间移动衬底W,并且将衬底W输送到光刻设备LA的装载台LB。光刻单元中的装置(通常也统称为轨道)通常受轨道控制单元TCU的控制,轨道控制单元TCU本身可以由监督控制系统SCS控制,SCS也可以控制光刻设备LA,例如经由光刻控制单元LACU。
为了使通过光刻设备LA曝光的衬底W正确且一致地曝光,期望检查衬底以测量图案化结构的特性,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等。为此,检查工具(未示出)可以被包括在光刻单元LC中。如果检测到误差,则例如可以对后续衬底的曝光或要对衬底W执行的其他处理步骤进行调节,尤其是在仍要曝光或处理同一批量或批次的其他衬底W之前进行检查的情况下。
检查设备(也可以称为量测设备)用于确定衬底W的性质,尤其是确定不同衬底W的性质如何变化或者与同一衬底W的不同层相关的性质如何随层的不同而不同。检查设备可以替代地被构造为识别衬底W上的缺陷,并且可以例如是光刻单元LC的一部分,或者可以被集成到光刻设备LA中,或者甚至可以是独立装置。检查设备可以测量潜像(曝光之后的抗蚀剂层中的图像)、半潜像(曝光后烘烤步骤PEB之后的抗蚀剂层中的图像)或显影后的抗蚀剂图像(其中已经除去抗蚀剂的已曝光或未曝光部分)或甚至已蚀刻的图像(在图案转印步骤(诸如蚀刻)之后)的性质。
通常,光刻设备LA中的图案化过程是要求在衬底W上进行结构的高精度定尺寸和布置的处理中的最关键的步骤之一。为了确保这种高精度,可以将三个系统组合成所谓的“整体”控制回路环境,如图3所示。这些系统之一是(虚拟)连接到量测工具MT(第二系统)和计算机系统CL(第三系统)的光刻设备LA。这种“整体”环境的关键是优化这三个系统之间的协作以增强整个过程窗口,并且提供严格控制回路以确保由光刻设备LA执行的图案化保持在过程窗口内。过程窗口定义了一系列过程参数(例如,剂量、聚焦、重叠),在该过程参数范围内,特定制造过程会产生定义的结果(例如,功能半导体器件),通常在该过程参数范围内允许进行光刻过程或图案化过程中的过程参数改变。
计算机系统CL可以使用要图案化的设计布局(的部分),以预测要使用的分辨率增强技术并且执行计算光刻模拟和计算以确定哪些掩模布局和光刻设备设置可以实现图案化过程的最大总体过程窗口(在图3中由第一标度SC1的双白色箭头表示)。通常,分辨率增强技术被布置为匹配光刻设备LA的图案化可能性。计算机系统CL还可以用于检测光刻设备LA当前正在过程窗口内的哪个位置操作(例如,使用来自量测工具MT的输入),以便预测是否由于例如次优处理而可能存在缺陷(在图3中由第二标度SC2的箭头指向“0”表示)。
量测工具MT可以向计算机系统CL提供输入以实现准确的模拟和预测,并且可以向光刻设备LA提供反馈以识别可能的漂移,例如,在光刻设备LA的校准状态下(在图3中由第三标度SC3的多个箭头表示)。
光刻设备LA被配置为将图案准确地复制到衬底上。所应用特征的位置和尺寸必须在一定的公差范围内。可能会由于重叠误差(通常称为“重叠”)而发生位置误差。重叠是相对于第二曝光期间的第二特征而言在第一曝光期间放置第一特征时的误差。光刻设备通过在图案化之前将每个晶片与基准准确对准来最小化重叠误差。这是通过使用对准传感器测量衬底上对准标记的位置来完成的。关于对准过程的更多信息可以在美国专利申请公开号US20100214550(其通过引用并入本文)中找到。例如,当衬底相对于光刻设备的焦平面未正确定位时,可能发生图案尺寸(例如,CD)误差。这些聚焦位置误差可以与衬底表面的不平坦相关联。光刻设备通过使用水平传感器在图案化之前测量衬底表面形貌来使这些聚焦位置误差最小化。在后续的图案化期间应用衬底高度校正,以确保将图案形成装置正确成像(聚焦)到衬底上。关于水平传感器系统的更多信息可以在美国专利申请公开号US20070085991(其通过引用并入本文)中找到。
除了光刻设备LA和量测设备MT,在IC生产期间也可以使用其他处理设备。在将图案曝光到抗蚀剂中之后,蚀刻站(未示出)对衬底进行处理。蚀刻站将图案从抗蚀剂转印到抗蚀剂层下面的一层或多层中。通常,蚀刻基于等离子体介质的应用。局部蚀刻特性可以例如使用衬底的温度控制或使用压控环引导等离子体介质来控制。关于蚀刻控制的更多信息可以在国际专利申请公开号WO2011081645和美国专利申请公开号US 20060016561(其通过引用并入本文)中找到。
在IC的制造过程中,非常重要的是使用诸如光刻设备或蚀刻站等处理设备来处理衬底的处理条件保持稳定,使得特征的性质保持在某些控制极限的范围内。对于IC的功能部分的特征,即,产品特征,过程的稳定性特别重要。为了保证稳定的处理,需要具备过程控制能力。过程控制涉及对处理数据的监测和用于过程校正的手段的实现,例如基于处理数据的特征特性来控制处理设备。过程控制可以基于通常被称为“先进过程控制”(进一步也称为APC)的由量测设备MT进行的周期性测量。关于APC的更多信息可以在美国专利申请公开号US20120008127中找到,其通过引用并入本文。典型的APC实现涉及对衬底上的量测特征的周期性测量,以监测和校正与一个或多个处理设备相关联的漂移。量测特征反映了对产品特征的过程变化的响应。与产品特征的敏感性相比,量测特征对过程变化的敏感性可以有所不同。在这种情况下,可以确定所谓的“设备的量测”偏移(进一步也称为MTD)。为了模仿产品特征的行为,量测目标可以合并分段特征、辅助特征或具有特定几何形状和/或尺寸的特征。精心设计的量测目标应当以与产品特征类似的方式对过程变化做出响应。关于量测目标设计的更多信息可以在国际专利申请公开号WO 2015101458中找到,其通过引用并入本文。
在衬底和/或图案形成装置上存在和/或测量量测目标的位置的分布通常被称为“采样方案”。通常,采样方案是基于相关过程参数的预期指纹来选择的;与预期过程参数相对稳定的区域相比,通常衬底上过程参数被预期波动的区域被更密集地采样。然而,基于量测测量对光刻过程的产出的可允许的影响,可以执行的量测测量的数目存在实际限制。精心选择的采样方案对于准确控制光刻过程而又不影响产出(或至少对其影响不大)和/或将掩模版或衬底上的过大面积分配给量测特征非常重要。与最佳定位和/或测量量测目标有关的技术通常称为“方案优化”。关于方案优化的更多信息可以在国际专利申请公开号WO2015110191和欧洲专利申请申请号EP16193903.8中找到,其通过引用并入本文。
术语指纹可以是指被测信号的主要(系统性的)贡献因素(“潜在因子”),并且特别地是指与晶片上的性能影响或先前的处理步骤有关的贡献因素。这样的指纹可以是指衬底(网格)图案(例如,来自对准、调平、重叠、聚焦、CD)、场图案(例如,来自场内对准、调平、重叠、聚焦、CD)、衬底区域图案(例如,晶片测量的最外半径)或甚至扫描仪测量中与晶片曝光有关的图案(例如,来自掩模版对准测量、温度/压力/伺服轮廓等的批次间的加热签名)。指纹可以被包括在指纹集合内,并且可以在其中被同质或异质地编码。
除了量测测量数据,上下文数据也可以用于过程控制。上下文数据可以包括与以下中的一项或多项有关的数据:所选择的处理工具(在处理设备池之外)、处理设备的特定特性、处理设备的设置、电路图案的设计以及与处理条件相关的测量数据(例如,晶片的几何形状)。将上下文数据用于过程控制目的的示例可以在申请号EP16156361.4的欧洲专利申请和申请号PCT/EP2016/072363的国际专利申请中找到,其通过引用并入本文。上下文数据可以用于以前馈方式控制或预测处理,其中上下文数据涉及在当前控制的处理步骤之前执行的处理步骤。通常,上下文数据与产品特征性质在统计上相关。考虑到实现最佳产品特征性质,这使得能够对处理设备进行上下文驱动控制。上下文数据和量测数据也可以被组合例如以将稀疏量测数据丰富到可以获得更详细(密集)数据的程度,这对于控制和/或诊断目的更有用。有关组合上下文数据和量测数据的更多信息可以在美国专利临时申请号62/382,764(其通过引用并入本文)中找到。
如上所述,监测过程基于与过程相关的数据的获得。所需要的数据采样率(每批次或每个衬底)和采样密度取决于所需要的图案再现精度水平。对于低k1光刻过程,即使是很小的衬底到衬底过程变化也可能很重要。然后,上下文数据和/或量测数据应当足以在每个衬底的基础上实现过程控制。另外,当过程变化引起整个衬底上的特性变化时,上下文和/或量测数据的密度应当在整个衬底上充分分布。但是,鉴于过程的所需要的产出,可用于量测(测量)的时间是有限的。由于该限制,量测工具可能仅在所选择的衬底和/或整个衬底上的所选择的位置上进行测量。用于确定需要测量哪些衬底的策略在欧洲专利申请EP16195047.2和EP16195049.8(其通过引用并入本文)中有进一步描述。
在实践中,通常有必要从稀疏的一组测量值中获得与过程参数有关的较密集的值图(在一个或多个衬底上)。通常,这种密集的测量值图可以从稀疏的测量数据以及与过程参数的预期指纹相关联的模型中导出。关于建模测量数据的更多信息可以在国际专利申请公开号WO 2013092106中找到,其通过引用并入本文。由于半导体制造过程涉及多个处理设备(光刻设备、蚀刻站等),因此从整体上优化该过程可能会有所帮助;例如,考虑与个体处理设备相关联的特定校正能力。这导致如下这样的观点:即,第一处理设备的控制可以(部分地)基于第二处理设备的已知控制性质。这个策略通常称为协同优化。这种策略的示例包括光刻设备和图案形成装置的密度分布的联合优化、以及光刻设备和蚀刻站的联合优化。关于共同优化的更多信息可以在国际专利申请申请号PCT/EP2016/072852和美国临时专利申请号62/298,882中找到,其通过引用并入本文。
在某些过程控制情形中,控制目标可以是例如“合格管芯数目”。这描述了产率驱动的过程控制参数,该参数旨在获得每批次已处理衬底最大数目的功能产品。通常,产品与衬底上的管芯相关联,因此,基于产率的过程控制被称为基于“合格管芯”标准。这旨在使合格管芯数目最大化,而不是在整个衬底上应用平均优化(例如,基于与整个衬底上的最佳聚焦的聚焦差异的最小二乘最小化的最小二乘优化)。这样,当优化过程参数时,“合格管芯”优化可以使用产品的先验知识(管芯布局)。最小二乘优化通常在不考虑管芯布局的情况下均等地处理每个位置。因此,最小二乘优化可能更偏好“仅”具有四个不合格位置但每个在不同管芯中的校正,而不是具有七个不合格位置但仅影响两个管芯的校正(例如,在一个管芯中有四个缺陷,在另一管芯中有三个缺陷)。但是,由于单个缺陷将趋向于使管芯有缺陷,因此,最大化无缺陷管芯(即,合格管芯)的数目最终比简单地最小化每个衬底的缺陷数目更为重要。合格管芯优化可以包括每个管芯优化的最大绝对值(max abs)。这样的最大绝对值最优化可以最小化性能参数与控制目标的最大偏差。可以改为使用最大绝对值函数的可微近似,因此成本函数更易于解决。为使该方法有效,在优化中应当使用诸如晶片图等细节。为了获得良好的基于产率的过程控制,用于量测测量的采样方案可以受益于在预期对产率最关键和/或在统计上与确定产率是否受到影响最相关的位置处、上或或附近执行的测量。除了测量产品特征的性质,还可以测量缺陷的发生,以进一步帮助优化过程以达到最佳产率(参考缺陷检查)。关于基于产率的控制的更多信息可以在PCT专利申请WO2018077651中找到,其通过引用并入本文。
除了在预定义位置和衬底上执行量测测量,还存在动态地分配需要被选择以进行测量的位置和衬底的趋势。动态地选择用于测量的衬底的示例在PCT专利申请WO2018072962中有描述,其通过引用并入本文。关于测量位置的动态选择(例如,采样方案)的更多信息可以在PCT专利申请WO2017140532中找到,其通过引用并入本文。
相对较新的技术领域是机器学习领域。如今,与该技术有关的方法用于基于对所获得数据(测量和上下文数据)中存在的模式的识别来改进过程参数的预测。另外,机器学习技术可以有助于指导用户选择对过程控制最有用的数据。
通常在处理衬底之后获得电气测量数据。典型地,当执行电量测以获得电气测量数据时,使用探针(其与处理期间形成的电路(接近)接触)来测量衬底上的所有管芯。可以执行各种类型的测量;例如,电压、电流、电阻、电容和电感测量。这些测量可以在不同条件(例如,频率、电压、电流)下以及在管芯上的多个位置处执行。电气测量可以包括对特定结构/特征或器件是否起作用(例如,在规格之内)的评估。替代地或另外地,可以根据“二进制码”对电气测量进行分类。在特定条件下与特定测量参数(电流、电压、电阻、电容、电感)相关联的电气测量通常称为单独的“二进制码”。因此,跨管芯的典型电气测量可以由多个图表示,每个图表示与特定二进制码相关联的值的空间分布。在全文中,“二进制码”和“电气特性”被同义地使用,使得与衬底相关联的二进制码的值被称为衬底的电气特性的值。电气测量数据还可以包括位不对称数据或任何其他产率参数。
需要执行电气测量的测量位置的分布可以不是恒定的,但是也可以取决于管芯在衬底上的相对位置。位于衬底边缘的管芯可能更容易产生电缺陷,因此与靠近衬底中心的管芯相比,这些管芯的采样密度更高。类似地,关键区域可以存在于管芯内,诸如与功能逻辑结构相关联的区域,而较少关键区域可以存在于例如管芯的外围。与要求较低的区域相比,在管芯的关键区域提供更密集的电气测量采样方案是有利的。
所测量的电气特性的性质(最小值、最大值、方差或任何其他统计度量)是关于管芯上的某个电路将实现功能的概率的重要指示器。因此,电气特性与过程产率之间存在着很强的关系。因此,对于产率控制,电气特性测量是必不可少的。但是,它们也很费时,并且仅在半导体制造过程的最后阶段执行(例如,当实际上没有用于校正非功能性电路的选项时)。
为了向半导体制造过程提供更好的产率校正能力,提出了基于在处理期间已经可用的数据来预测衬底的产率。在衬底的处理期间,沉积、图案化和蚀刻多个层。至关重要的是,在衬底上提供的图案(特征)必须具有明确定义的性质,以生产功能性器件。例如,特征应当在正确的聚焦位置处被成像,具有正确的临界尺寸(CD)、正确的边缘放置(即,最小的边缘放置误差EPE),并且具有良好的重叠(即,每一层都与跟基础层相关联的特征准确对准)。如前所述,光刻设备(例如,对准系统、调平系统)和量测设备(例如,散射仪或电子束工具)在测量这些参数方面起着重要作用,在某些情况下,对于一批中的所有衬底而言。
预期量测测量的结果(量测数据)将代表该过程的某个特性,而该特性又有望与将在探针测试期间测量的电气特性密切相关。图4是所测量的过程特性与电探针数据之间的关系的确定的示意图。该图示出了过程特性的过程指纹400,示出了阴影区域405,在阴影区域405中,过程特性明显不合格。作为特定示例,过程特性可以是聚焦,并且指纹可以是从水平传感器数据(例如,从光刻设备/扫描仪)中获得的与衬底相关联的聚焦误差指纹。在这样的示例中,阴影区域示出了与大的调平误差相关联的区域。还示出了对应电探针数据410。这里,阴影圆415指示不合格探针测量。这样,图4示意性地示出了过程指纹400通常与电探针数据410相关。还示出了所提出的数据分析步骤420。数据分析步骤420将过程指纹400映射到电探针数据410。
应当理解,聚焦/调平数据仅仅是过程特性数据的一个示例。过程特性可以包括影响产率的任何可测量特性。过程特性可以使用例如光刻设备、另一量测设备或另一处理设备来测量。例如,当使用诸如散射仪等量测装置测量重叠指纹时,期望具有大的重叠误差的衬底上的位置将与偏差的(不合格的)电气特性测量(例如,由于衬底上各层的次优的电接触)并且因此与无法正常工作的器件强烈相关。导致该特定重叠指纹的基础过程特性例如可以是在两层的图案化之间执行的退火步骤。除了使衬底变形(从而导致重叠误差),在退火步骤中,材料的电导率可能会受到影响,从而导致对电气特性测量的预期影响产生附加贡献。
过程特性还可以使用指纹分解技术来确定。当测量重叠指纹时,将指纹分解成例如预定义形状可能是有益的。预定义形状可以基于对处理步骤和/或处理工具的某些特性的先验知识来选择。用于分解指纹的常用技术使用主成分分析(PCA)或特征值分解方法。代替利用直接测量的感兴趣参数的指纹(例如,重叠),可以考虑指纹的单独成分。在本文中的PCA(和独立成分分析(ICA))方法在WO2015049087(其通过引用并入本文)中有描述。
因此,建议基于使产率最大化而不是使过程参数误差最小化来将在衬底处理期间的任何阶段获得的量测数据用于过程控制。使控制基于过程参数误差的最小化是有代价的;它对过程参数从零的极端偏差施加了很大的权重,着重于使那些大误差最小化。同时,如果很多管芯的过程参数误差很小但非零,则它将改善所有这些小的误差(实际上没有一个会影响产率),这可能会以一个管芯的更大的误差为代价,从而导致该管芯的损失,而没有真正的好处。最终,产率是重要指标,应当首先对其进行优化。
到目前为止,尚无可靠的可预测的产率模型可用。在电子设计自动化(EDA)中,确实存在电气性质模型,但其通常被理想化并且不能正确反映真实的过程条件。目标是开发一种产率预测模型,该模型可以将量测和控制操作转换为可以用于控制的预测产率。建议将器件电气性质、过程变化和过程控制动作的建模、来自制造工厂(fab)的大数据和机器学习相结合,以开发一种模型,该模型足以预测用于过程控制的产率。
现在将描述产率预测系统,其使用预测确定设计和量测输入来驱动过程制造控制(例如,光刻设备/扫描仪、蚀刻机、量测设备和/或用于IC制造过程的任何其他制造设备),以实现最佳产率并且使系统性产率损失最小化。
产率预测系统可以使用包括以下内容的输入或训练数据来开发:
·设计信息;例如,堆叠式设计信息。这可以包括掩模版设计信息和/或所使用的模型(例如,光刻和/或过程模型);
·量测控制树,例如重叠控制树和对准树;
·在线量测数据,诸如散射测量数据、电子束或SEM数据。
·最终电气测量(电子测试)数据,诸如管芯级探针、位不对称。
输出可以包括校准的产率预测系统,该系统能够使用在线量测数据和光刻(或其他制造设备)控制动作来预测电子测试结果。输出还可以包括用于量测的预期处理窗口(例如,重叠、CD、EPE、聚焦等),以验证控制回路中所应用的校正的有效性。
图5是描述根据上述方法开发第一模型或半白盒模型的方法的流程图。该模型被描述为半白盒模型,因为该模型包括仅基于几何信息的器件物理现象水平。缺少的物理是通过训练几何模型的一个或多个自由参数来解决的。
在步骤500,对于每个掩模版层,识别临界或弱图案。这可以通过执行模拟(例如,诸如LMC(光刻可制造性检查)等全芯片模拟)以识别“热点”来实现,该“热点”对于一个或多个过程参数(例如,剂量、聚焦、重叠等)对过程变化特别敏感(例如,对于这些过程参数中的一个或多个具有相对较小/较窄的过程窗口)。LMC是一种已知的全芯片验证方法。它应用了一组模型(例如,在光学邻近校正(OPC)中使用的模型)来预测整个场的CD(在衬底上)。其主要目的是在将光掩模级数发送到掩模车间之前,突出显示场中的关键图案。此外,它还可以取出对过程条件变化敏感的设计区域。LMC基本上包括将模拟的全芯片抗蚀剂轮廓与设计目标进行比较。注意,通常针对一种特定光学条件(扫描仪)针对一个特定过程构建OPC模型(因此为LMC模型)。
在步骤510,对于在步骤500识别为关键图案或热点的每个图案,构建初始模型或几何模型,该模型将所测量的过程参数(例如,聚焦/剂量/重叠)转换为模型化参数。模型化参数可以包括与产率直接相关的参数,例如,诸如CD等几何特性和尺寸、轮廓、以及诸如重叠等层间关系,并且还包括一个或多个自由参数。自由参数可以包括将所测量的过程/性能参数与产量相关参数相关联的参数,诸如几何特性和尺寸。例如,可以通过将一个或多个系数作为自由参数的二次模型来将聚焦转换为CD。
在步骤520,为在步骤500识别的每个模式构建器件水平的产率概率模型。这样的产率概率模型可以使用几何公式来构建,该几何公式将在先前步骤中确定的模型化参数(例如,几何尺寸:CD、轮廓(诸如感兴趣特征的侧壁角)、层间关系(诸如两层或更多层之间的重叠)转换为产率参数(例如,产率概率)。产率概率模型可以包括简单的连通性模型,该模型将几何信息转换为具有可调谐自由参数的电产率概率,例如,电“断开”和“短路”概率。这样的模型可以确定包括“断开”和/或“短路”的特定图案或区域的概率。通过特定示例的方式,金属和通孔重叠区域可以由模型转换为具有关联(例如,高斯)概率函数的“断开”状态。在该步骤中,自由参数可以包括该/每个(例如,高斯)概率函数的均值和/或标准偏差。一旦校准,这些概率函数就可以用于计算产品过程窗口。例如,通过确定合成数据并且将该合成数据用于过程的模拟控制以检查校准的有效性。
在步骤530,基于在步骤520确定的个体器件的产率来构建管芯产率预测模型(即,半白盒模型)。作为示例,简单模型可以包括仅当x%的(例如,关键的或建模的)器件模式合格时才确定管芯合格,其中x是可调谐参数。
在步骤540中,基于训练数据(输入数据)通过训练自由参数来训练半白盒模型,以便该模型可以基于其他输入数据(例如,设计信息、重叠控制树/对准树和在线量测数据)来预测最终电气测量(电子测试)数据。
在步骤550,使用经训练半白盒模型来基于来自在线量测(例如,重叠和聚焦/剂量测量)和/或计算量测的过程参数值来预测产率。首先,将这些过程参数值转换为几何参数(例如,使用在步骤510开发的几何模型等)。现在已经训练具有相应自由参数的半白盒模型,将基于导出的几何参数来预测产率(和/或其他电气特性)。在一个实施例中,该步骤包括使用控制模型或阴影模式控制模拟模型来合并不同控制动作的效果。当施加/改变不同控制动作时,阴影模式控制模拟模型预测一个或多个过程参数(例如,剂量/聚焦/重叠等),并且半白盒模型基于阴影模式控制模拟模型的输出来预测上述控制动作的产率(例如,经由所导出的几何参数)。
注意,可以将半白盒模型增强为超越断开/短路确定,从而包括其他电气特性或电气测量参数,例如电气和定时特性,诸如电容、电阻和电导率(例如,根据二进制码来分类)。
在一个实施例中,在步骤560,可以使用第一模型(半白盒模型)来产生合成数据以对第二模型(例如,黑盒模型)施加约束。黑盒模型可以包括神经网络(例如,卷积神经网络(CNN)等)。训练中间半白盒模型而不是直接从输入数据中简单地训练黑盒或CNN模型有两个原因。首先,CNN模型不包含物理现象并且因此需要大量数据来约束其行为。通常,可用量测数据不足以实现此目的。所提出的半白盒模型确实包含物理现象并且因此可以产生大量(例如,合成)数据,以将黑盒模型的参数空间限制在物理受限域内。其次,存在一定的量测限制,特别是在采样方面。通常不可能在某些位置测量某些结构。通过使用半白盒模型,可以提供这种缺失的量测。当训练黑盒模型时,与由半白盒模型生成的训练数据相比,可以向实际量测数据提供更大权重。应当理解,半白盒模型将具有训练过程应当考虑的精度限制,并且不适用于实际测量数据。如本领域技术人员将很清楚的,在机器学习领域中有很多成熟的技术可以做到这一点。
所提出的第一模型和/或第二模型可以用于对每个设计的管芯水平产率进行在线预测。这些预测继而可以用于驱动光刻或过程控制以实现更高产率。
已经提到,输入数据可以包括量测控制树,诸如对准树和/或重叠树。对准树是光刻过程控制选配方案的一部分,它确定新层的先前的哪一层对准标记应当用于在新层中定位所应用的图案。通常可能会在一层以上找到合适的对准标记。在衬底上有对准标记的选择的情况下,以及在有对准传感器的设置的选择的情况下,这些选择通常在对准选配方案中被定义。因此,对准选配方案定义了如何测量对准标记的位置以及哪些标记。所有层的对准选配方案集构成对准树。
在将图案施加到给定层之前以与存在定义对准测量的对准树相同的方式,因此存在重叠树,从而在该层已经被图案化之后在测量过程的性能时限定待进行的重叠测量。应当理解,与对准树相比,在使用重叠树时,层的选择也非常重要。对准目标可以在层之间再次使用,但是重叠目标则不能。在一个示例中,对于至少一个实验衬底,可以认为这些目标中的每个是以多种类型提供的,其中一种类型可以在一组情况下是最佳的,而另一种类型可以在另一组情况下是最佳的。因此,可以选择两个重叠目标来测量这两层之间的重叠。这些目标可以制成不同类型,并且进行实验以确定哪种类型在实际过程中可以提供更好的测量。
对于现代逻辑和存储IC器件中使用的多图案化和复杂的多层器件,重叠树和对准树可能非常复杂。这种复杂性带来了很多附加掩模,特别是在四重图案化时代。在诸如自对准双图案化等技术中,所构造的结构本身被用来构建形成器件的更多结构,这进一步使重叠问题变得复杂。这是诸如使光学重叠量测变得复杂的干扰层和吸收层等问题的补充。因此,为比以前使用的过程复杂得多的过程设计优化的对准树和重叠树需要花费越来越长的时间。
重叠控制是产率的关键驱动力。开发和优化对准和重叠树可能需要很长时间,并且可能无法产生最佳重叠控制。在IC过程开发中,迫切需要更快速且准确的重叠控制。然而,在任何给定层对上控制最精确的重叠控制并不一定会导致重叠和/或对准树,这种重叠和/或对准树会在存在真实器件的情况下使产率潜能最大化,这需要在量测准确度、量测精度和器件的电气要求之间进行权衡。由于器件的电气灵敏度可能涉及多个层之间的相互作用,从而迫使进一步的折衷,这进一步变得复杂。这种折衷方案由于其复杂性而难以实现,并且因为解决问题的专业知识通常不是由一个人掌握的,而是通常需要一组具有非常不同技能的人。本文中描述了一种使用统计、机器学习和阴影模式模拟来自动化该过程的方法。
开发和优化对准树和/或重叠树可能需要很长时间,并且可能不会产生最佳重叠控制。当前方法通常涉及基于器件的电气特性来选择关键层,然后检查是否有可能在所有这样的层上进行限定标记。在由于重叠限制而无法使用这样的目标的情况下,则尝试替代策略,诸如将重叠目标对准其他层或其他方法。
图6(a)示出了当前重叠对准树定义策略的示例,其中B层和C层均与A层对准。在所提出的方法中,可以模拟不同重叠和/或对准策略的产率敏感性,并且基于替代的重叠和/或对准树来预测产率。通过图6(b)所示的特定示例,层B可以包括模拟的虚拟重叠和/或对准层。C层和B层的重叠OVCB等于C层和A层的重叠OVCA与B层和A层的重叠OVBA之间的差;即:
OVCB=OVCA-OVBA
基于通过机器学习模型进行的产率分析,可以确定这种重叠对准树与当前重叠对准树相比是否可以提高产率;即,在特定示例中,可以评估C层是否应当与B层而不是A层对准以提高产率。
这样,图5描述的方法还可以训练半白盒模型,以在考虑量测控制树(对准树和/或重叠树)的情况下预测产率;例如,基于输入量测控制树。这使得模型还可以优化量测控制树。在这样的实施例中,获得描述量测控制树的变化对过程参数数据的影响的被模拟和/或测量的过程参数数据(控制树数据)。然后,该控制树数据可以用作训练数据的一部分用于训练半白盒模型以评估量测控制树对产率的影响。替代地,可以直接训练黑盒模型以在考虑到量测控制树的情况下来预测产率。
另一实施例可以包括对产率预测的信号可检测性的模拟,以使得输出产生每个量测控制树的产率预测、以及对应信号可检测性得分,从中可以确定量测控制树在其测量可检测性以及产率方面是否可行。这样的模拟可以与使用半白盒模型和/或黑盒模型来推断产率概率和/或其他电气参数并行地执行。替代地,可以将信号可检测性模拟合并到实际的半白盒模型和/或黑盒模型中。例如,信号可检测性模拟可以用于将某些树标记为不可行(简单情况),也可以在准确度/精度方面将其作为重叠/对准的可靠性的输入(复杂情况),从而在白盒或黑盒建模期间被考虑。作为更具体的示例,如果重叠具有高噪声,则在预测最终产率时应当考虑到这一点。这既可以用来排除不良目标/层,又可以提高最终产率预测的准确度。
在单独的实施例中,还可以基于专用机器学习产率模型来创建虚拟重叠和/或对准树。这些虚拟重叠和/或对准树可以直接用于确定特定过程的最佳重叠和/或对准方法,该处理还可选地考虑目标可检测性。在一个实施例中,各种虚拟重叠和/或对准树然后可以用于基于模拟数据或模拟和测量数据的组合(例如,在阴影模式下)来生成重叠数据(或其他性能数据)。然后可以使用图5的黑盒模型或半白盒模型来导出产率;可以选择被模拟为具有最佳产率的模型作为最佳重叠和/或对准树。
因此,提出了基于机器学习产率概率函数来创建最优虚拟量测控制树。这样的方法可以使用映射过程窗口的学习产率函数来优化产率。在这样的实施例中,过程窗口和产率函数是被学习的,而不是由代理假定或测量的。不同虚拟控制树导致不同的产率函数和不同的最终器件产率。可以针对所有层执行产率优化,使得例如产率函数指出在较早层上的管芯丢失没有使用机会,并且因此愿意牺牲其他层中的这些管芯以在稍后步骤中节省仍在使用的其他管芯。同样,具有低产生机会或中等产生机会的管芯将使其要求与较早水平的性能保持平衡,并且在提前知道平均性能的情况下还可能与将来的性能保持平衡。以这种方式,如上所述,可以使用间接确定的重叠和阴影模式以及真实产率数据来优化事实之后的量测控制树。
产率概率函数本质上是通过计算确定的作为过程窗口参数的函数的产率;例如,将产率描述为一个或多个参数在过程窗口中的位置的函数。产率概率函数可以是参数性的也可以是非参数性的。过程窗口参数不限于任何给定长度尺度的过程参数,而是可以扩展为包括任何数目的特征。如前所述,该想法是确定可以优化产率的替代重叠和对准树,这是根据产率概率函数来计算或测量的。
另外,可以在量测控制树优化中模拟信号可检测性,使得所提出的树将在保证对准和重叠目标检测的情况下提高产量。以这种方式,不仅可以在如上所述的事实之后使用具有阴影模式的间接重叠和实际产率数据来优化产品的重叠和/或对准树,还可以从所提出的器件的角度来模拟重叠和/或对准树和可检测性,从而允许在印刷器件之前为器件应用初始优化的重叠和/或对准树,或者在器件设计完成之后优化不同重叠/对准树。
本文中描述的方法可以在过程控制策略中使用。这样的过程控制通常由作为计算设备的控制器与参与半导体制造过程的一个或多个设备(蚀刻设备、扫描仪等)相配合来协调。替代地,控制器是内置在设备或量测工具之一中的模块。过程控制可以基于产率预测来确定校正和/或改变制造过程和/或任何制造设备的控制的任何方面,和/或使用所描述的任何模型(例如,结合量测数据)来确定优化产率的任何控制策略(同时可选地考虑其他因素,诸如信号可检测性)。
在以下编号的条项列表中公开了本发明的其他实施例:
1.一种用于预测与制造过程有关的产率参数的方法,所述方法包括:获得将模型化参数转换为所述产率参数的经训练第一模型,所述模型化参数包括几何参数和经训练自由参数;
获得与所述过程的一个或多个过程参数有关的过程参数数据;以及
基于所述过程参数数据和经训练第一模型来预测所述产率参数。
2.根据条项1所述的方法,其中所述产率参数描述通过所述制造过程制造的器件或其元件将实现功能的概率的度量。
3.根据条项1或2所述的方法,其中所述产率参数描述与通过所述制造过程制造的器件或其元件的电阻、电感或电容相关联的电气特性。
4.根据前述条项任一项所述的方法,包括在预测所述产率参数之前,使用一个或多个几何模型将所述过程参数数据转换为所述几何参数。
5.根据前述条项任一项所述的方法,其中所述过程参数数据涉及使用在线量测能够测量的一个或多个过程参数。
6.根据前述条项任一项所述的方法,其中所述一个或多个过程参数包括重叠、对准、调平、聚焦或剂量中的一项或多项。
7.根据前述条项任一项所述的方法,其中所述过程参数数据涉及量测控制树中的变化,使得所述产率参数取决于所述量测控制树。
8.根据条项7所述的方法,其中所述量测控制树可以包括重叠树和/或对准树。
9.根据条项7所述的方法,包括执行与由所述量测控制树描述的量测动作有关的信号可检测性模拟,以确定与所述产率参数相对应的信号可检测性的度量。
10.根据前述条项任一项所述的方法,其中所述经训练第一模型涉及通过制造过程制造的器件的一个或多个器件元件,所述一个或多个器件元件被识别为是相对过程敏感的。
11.根据前述条项任一项所述的方法,包括在所述第一模型被训练以获得所述经训练第一模型之前构造所述第一模型的初始步骤,所述初始步骤包括:
确定通过所述制造过程制造的器件的一个或多个器件元件中的每个器件的产率概率模型,每个产率概率模型包括将所述模型化参数转换为所述模型化参数的对应器件元件的产率概率的几何公式。
12.根据条项11所述的方法,其中所述一个或多个器件元件包括已经被识别为是相对过程敏感的器件元件。
13.根据条项12所述的方法,包括确定是相对过程敏感的所述器件元件的步骤。
14.根据条项11至13中任一项所述的方法,其中每个产率概率模型包括与所述概率函数的统计参数有关的自由参数。
15.根据条项14所述的方法,其中所述概率函数的所述统计参数与所述概率函数的均值和/或标准偏差有关。
16.根据条项11至15中任一项所述的方法,包括在确定所述一个或多个产率概率模型之前构造将所述过程参数转换为所述模型化参数的一个或多个几何模型。
17.根据条项11至16中任一项所述的方法,包括基于所述一个或多个器件元件中的每个器件元件的所述产率概率模型来构造所述第一模型。
18.根据条项17所述的方法,其中所述第一模型包括描述所述器件的产率概率的所述产率概率模型的组合。
19.根据条项18所述的方法,其中所述产率概率模型的所述组合包括:基于所述产率概率被确定为产率的所述产率概率模型的比例,来确定所述产率参数。
20.根据条项19所述的方法,其中所述比例是可调谐参数。
21.根据条项11至20中任一项所述的方法,还包括使用第一训练数据来训练所述第一模型的另外的步骤,所述第一训练数据包括电气测量数据、以及被测量和/或模拟的过程参数数据、量测控制树和设计信息中的一项或多项。
22.根据条项21所述的方法,其中所述量测控制树包括分别至少描述在重叠或对准过程中测量的目标的重叠树和/或对准树,所述方法包括获得描述量测控制树的变化对所述过程参数数据的影响的模拟和/或测量的控制树数据,并且所述第一训练数据包括用于训练所述第一模型以评估量测控制树对所述产率参数的影响的所述控制树数据。
23.根据前述条项任一项所述的方法,包括将控制模型与所述经训练第一模型结合使用,所述控制模型预测一个或多个控制动作的变化对所述过程参数中的一个或多个过程参数的影响,所述控制模型的输出被输入到所述经训练第一模型中以预测所述产率参数。
24.根据前述条项任一项所述的方法,包括使用所述经训练第一模型来预测生产衬底上的所述产率参数。
25.根据条项1至23中任一项所述的方法,包括使用所述第一模型来生成用于训练第二模型的第二训练数据,所述第二训练数据包括基于各种过程参数数据的预测的产率参数。
26.根据条项25所述的方法,其中所述第二模型包括黑盒模型,诸如神经网络。
27.根据条项25或26所述的方法,包括使用所述第二模型来预测生产衬底上的所述产率参数。
28.根据前述条项任一项所述的方法,包括基于所述预测的产率参数来执行用于所述制造过程的控制的控制动作。
29.根据前述条项任一项所述的方法,其中所述模型化参数仅包括几何参数和经训练自由参数。
30.一种用于构建用于基于过程参数数据来预测产率参数的第一模型的方法,包括:
确定通过制造过程制造的器件的多个器件元件的产率概率模型,每个产率概率模型包括将一个或多个模型化参数转换为所述模型化参数的对应器件元件的产率概率的几何公式;所述模型化参数包括几何参数和经训练自由参数;以及
将所述第一模型构造为每个所述器件元件的所述产率概率模型的组合。
31.根据条项30所述的方法,其中所述模型化参数仅包括几何参数和经训练自由参数。
32.根据条项31所述的方法,其中所述一个或多个器件元件包括已经被识别为是相对过程敏感的器件元件。
33.根据条项32所述的方法,包括确定是相对过程敏感的器件元件的步骤。
34.根据条项31至33中任一项所述的方法,其中每个产率概率模型包括与所述概率函数的统计参数有关的自由参数。
35.根据条项34所述的方法,其中所述概率函数的所述统计参数与所述概率函数的均值和/或标准偏差有关。
36.根据条项31至35中任一项所述的方法,包括在确定所述一个或多个产率概率模型之前构造将所述过程参数转换为所述模型化参数的一个或多个几何模型。
37.根据条项30至36中任一项所述的方法,其中所述产率概率模型的所述组合包括:基于所述产率概率被确定为产率的所述产率概率模型的比例,来确定所述产率参数。
38.根据条项37所述的方法,其中所述比例是可调谐参数。
39.根据条项30至38中任一项所述的方法,还包括使用第一训练数据来训练所述第一模型的另外的步骤,所述第一训练数据包括电气测量数据、以及被测量和/或模拟的过程参数数据、量测控制树和设计信息中的一项或多项。
40.根据条项39所述的方法,其中所述量测控制树包括分别至少描述在重叠或对准过程中测量的目标的重叠树和/或对准树,所述方法包括获得描述量测控制树的变化对所述过程参数数据的影响的被模拟和/或测量的控制树数据,并且所述第一训练数据包括用于训练所述第一模型以评估量测控制树对所述产率参数的影响的所述控制树数据。
41.一种计算机程序,包括程序指令,所述程序指令当在合适的设备上运行时能够操作以执行根据条项1至40中任一项所述的方法。
42.一种非暂态计算机程序载体,包括根据条项41所述的计算机程序。
43.一种处理系统,包括处理器和根据条项41所述的计算机程序。
44.一种量测系统,包括:
用于衬底的衬底支架;
照射源,用于通过辐射来照射所述衬底上的结构。
传感器,用于感测来自所述结构的散射照射;以及
根据条项43所述的处理系统,能够操作以:
从感测到的散射照射中导出一个或多个过程参数的一个或多个值;以及
基于针对所述一个或多个过程参数导出一个或多个值来预测产率参数。
45.一种光刻单元,包括根据条项44所述的量测系统和一个或多个集成制造设备,所述光刻单元能够操作以基于所述预测的产率参数来确定并且应用用于制造过程的控制动作。
46.根据条项45所述的光刻单元,其中所述控制动作能够操作以优化预测的产率。
47.一种用于预测与在衬底上制造半导体器件的过程有关的产率的方法,所述方法包括:
获得将模型化参数转换为产率参数的经训练第一模型,所述模型化参数包括:a)与以下中的一项或多项相关联的几何参数:通过所述过程制造的器件元件的几何特性、尺寸或位置;以及b)经训练自由参数;
获得包括表征所述过程的过程参数的过程参数数据;
将所述过程参数数据转换为所述几何参数的值;以及
使用所述经训练第一模型和所述几何参数的所述值来预测所述产率参数。
48.根据条项47所述的方法,其中所述产率参数是通过所述过程制造的器件或其元件将实现功能的概率的度量。
49.根据条项47或48所述的方法,其中所述几何参数包括以下中的一项或多项:临界尺寸(CD)、重叠、侧壁角或与所述器件元件的几何轮廓相关联的任何其他参数。
50.根据条项47、48或49所述的方法,其中所述过程参数涉及重叠、对准、调平、聚焦或剂量中的一项或多项。
51.根据条项47至50中任一项所述的方法,其中所述过程参数数据涉及对准和/或重叠树的变化,使得所述产率参数取决于所述重叠树和/或对准树。
52.根据条项47至51中任一项所述的方法,其中所述经训练第一模型涉及通过所述过程制造的器件的一个或多个器件元件,所述一个或多个器件元件被识别为对过程变化敏感。
53.根据条项47至52中任一项所述的方法,还包括在所述第一模型被训练以获得所述经训练第一模型之前构造所述第一模型的初始步骤,所述初始步骤包括:
确定通过所述过程制造的器件的一个或多个器件元件的产率概率模型,每个产率概率模型包括将所述模型化参数转换为所述模型化参数的对应器件元件的产率概率的几何公式。
54.根据条项53所述的方法,其中每个产率概率模型包括与所述概率函数的统计参数有关的自由参数。
55.根据条项47至54中任一项所述的方法,还包括使用所述第一模型来生成用于训练第二模型的第二训练数据,所述第二训练数据包括基于先前过程参数数据的预测的产率参数。
56.根据条项55所述的方法,其中所述第二模型包括神经网络。
57.根据条项47至56中任一项所述的方法,还包括基于所述预测的产率参数来执行用于所述过程的控制的控制动作。
58.一种用于构建用于基于过程参数数据来预测产率参数的第一模型的方法,包括:
确定通过制造过程制造的器件的多个器件元件的产率概率模型,每个产率概率模型包括将一个或多个模型化参数转换为所述模型化参数的对应器件元件的产率概率的几何公式,所述模型化参数包括几何参数和经训练自由参数;以及
将所述第一模型构造为每个所述器件元件的所述产率概率模型的组合。
59.根据条项58所述的方法,其中每个产率概率模型包括与所述概率函数的统计参数有关的自由参数。
60.一种计算机程序,包括程序指令,所述程序指令当在合适的设备上运行时能够操作以执行根据条项47至59中任一项所述的方法。
61.一种非暂态计算机程序载体,包括根据条项60所述的计算机程序。
尽管在本文中可以具体参考光刻设备在IC的制造中的使用,但是应当理解,本文中描述的光刻设备可以具有其他应用。其他可能应用包括制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
尽管在本文中可以在光刻设备的上下文中具体参考本发明的实施例,但是本发明的实施例可以在其他设备中使用。本发明的实施例可以形成掩模检查设备、量测设备、或者测量或处理诸如晶片(或其他衬底)或掩模(或其他图案形成装置)等物体的任何设备的一部分。这些设备通常可以称为光刻工具。这种光刻工具可以使用真空条件或环境(非真空)条件。
尽管上面可能已经在光学光刻的上下文中具体参考了本发明的实施例的使用,但是应当理解,本发明不限于光学光刻,并且在上下文允许的情况下,本发明可以在例如压印光刻等其他应用中使用。
尽管上面已经描述了本发明的特定实施例,但是应当理解,本发明可以以不同于所描述的方式来实践。上面的描述旨在是说明性的,而不是限制性的。因此,对于本领域技术人员将很清楚的是,可以在不脱离下面阐述的权利要求的范围的情况下,对所描述的本发明进行修改。

Claims (15)

1.一种用于预测与在衬底上制造半导体器件的过程有关的产率的方法,所述方法包括:
获得将模型化参数转换为产率参数的经训练的第一模型,所述模型化参数包括:a)与以下中的一项或多项相关联的几何参数:通过所述过程制造的器件元件的几何特性、尺寸或位置;以及b)经训练的自由参数;
获得包括表征所述过程的过程参数的过程参数数据;
将所述过程参数数据转换为所述几何参数的值;以及
使用所述经训练的第一模型和所述几何参数的所述值来预测所述产率参数。
2.根据权利要求1所述的方法,其中所述产率参数是通过所述过程制造的器件或其元件将实现功能的概率的度量。
3.根据权利要求1所述的方法,其中所述几何参数包括以下中的一项或多项:临界尺寸(CD)、重叠、侧壁角或与所述器件元件的几何轮廓相关联的任何其他参数。
4.根据权利要求1所述的方法,其中所述过程参数涉及重叠、对准、调平、聚焦或剂量中的一项或多项。
5.根据权利要求1所述的方法,其中所述过程参数数据涉及对准和/或重叠树的变化,使得所述产率参数取决于所述重叠树和/或对准树。
6.根据权利要求1所述的方法,其中所述经训练的第一模型涉及通过所述过程制造的器件的一个或多个器件元件,所述一个或多个器件元件被识别为对过程变化敏感。
7.根据权利要求1所述的方法,还包括在所述第一模型被训练以获得所述经训练的第一模型之前构造所述第一模型的初始步骤,所述初始步骤包括:
确定通过所述过程制造的器件的一个或多个器件元件的产率概率模型,每个产率概率模型包括将所述模型化参数转换为所述模型化参数的对应器件元件的产率概率的几何公式。
8.根据权利要求7所述的方法,其中每个产率概率模型包括与所述概率函数的统计参数有关的自由参数。
9.根据权利要求1所述的方法,还包括使用所述第一模型来生成用于训练第二模型的第二训练数据,所述第二训练数据包括基于先前过程参数数据的预测的产率参数。
10.根据权利要求9所述的方法,其中所述第二模型包括神经网络。
11.根据权利要求1所述的方法,还包括基于所述预测的产率参数来执行用于所述过程的控制的控制动作。
12.一种用于构建用于基于过程参数数据来预测产率参数的第一模型的方法,包括:
确定通过制造过程制造的器件的多个器件元件的产率概率模型,每个产率概率模型包括将一个或多个模型化参数转换为所述模型化参数的对应器件元件的产率概率的几何公式,所述模型化参数包括几何参数和经训练的自由参数;以及
将所述第一模型构造为每个所述器件元件的所述产率概率模型的组合。
13.根据权利要求12所述的方法,其中每个产率概率模型包括与所述概率函数的统计参数有关的自由参数。
14.一种计算机程序,包括程序指令,所述程序指令当在合适的设备上运行时能够操作以执行根据权利要求1所述的方法。
15.一种非暂态计算机程序载体,包括根据权利要求14所述的计算机程序。
CN201980077324.6A 2018-12-03 2019-10-30 用于预测半导体制造过程的产率的方法 Active CN113168111B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862774488P 2018-12-03 2018-12-03
US62/774,488 2018-12-03
PCT/EP2019/079691 WO2020114686A1 (en) 2018-12-03 2019-10-30 Method to predict yield of a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
CN113168111A true CN113168111A (zh) 2021-07-23
CN113168111B CN113168111B (zh) 2024-05-03

Family

ID=68468683

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980077324.6A Active CN113168111B (zh) 2018-12-03 2019-10-30 用于预测半导体制造过程的产率的方法

Country Status (6)

Country Link
US (1) US20220011728A1 (zh)
EP (1) EP3891558A1 (zh)
KR (1) KR102649158B1 (zh)
CN (1) CN113168111B (zh)
TW (1) TWI721645B (zh)
WO (1) WO2020114686A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019083560A1 (en) * 2017-10-23 2019-05-02 Kla-Tencor Corporation REDUCTION OR ELIMINATION OF PATTERN PLACEMENT ERROR IN METROLOGY MEASUREMENTS
KR20220132624A (ko) * 2020-02-12 2022-09-30 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 방법 및 연관된 장치
EP3944020A1 (en) * 2020-07-20 2022-01-26 ASML Netherlands B.V. Method for adjusting a patterning process
JP2023533491A (ja) * 2020-07-09 2023-08-03 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスの調整方法
CN112100753B (zh) * 2020-08-03 2024-03-22 中铝材料应用研究院有限公司 一种大数据的自冲铆接头关键几何参数的预测系统及方法
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters
EP4050328A1 (en) * 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
TWI769829B (zh) 2021-05-21 2022-07-01 崛智科技有限公司 積體電路輔助設計裝置與方法以及電性效能梯度模型建構方法
US11586160B2 (en) * 2021-06-28 2023-02-21 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
CN114564884B (zh) * 2022-02-16 2024-04-19 昆明贵金属研究所 一种同时优化电接触材料的多种电接触性能的设计方法
WO2023198381A1 (en) * 2022-04-14 2023-10-19 Asml Netherlands B.V. Methods of metrology and associated devices
CN114701135A (zh) * 2022-05-20 2022-07-05 深圳市信润富联数字科技有限公司 基于虚拟量测模型的注塑工件尺寸预测方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070096094A1 (en) * 2005-10-31 2007-05-03 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
TW201721280A (zh) * 2015-10-08 2017-06-16 Asml荷蘭公司 用於圖案校正及驗證之方法與裝置
US20170314913A1 (en) * 2016-05-02 2017-11-02 Kla-Tencor Corporation Critical Dimension Measurements With Gaseous Adsorption
WO2018202361A1 (en) * 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
CN100470367C (zh) 2002-11-12 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7239371B2 (en) 2005-10-18 2007-07-03 International Business Machines Corporation Density-aware dynamic leveling in scanning exposure systems
US20080319568A1 (en) 2007-06-22 2008-12-25 International Business Machines Corporation Method and system for creating array defect paretos using electrical overlay of bitfail maps, photo limited yield, yield, and auto pattern recognition code data
NL1036351A1 (nl) 2007-12-31 2009-07-01 Asml Netherlands Bv Alignment system and alignment marks for use therewith cross-reference to related applications.
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US10242142B2 (en) * 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US9946165B2 (en) 2013-10-02 2018-04-17 Asml Netherlands B.V. Methods and apparatus for obtaining diagnostic information relating to an industrial process
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
KR102353145B1 (ko) * 2015-04-10 2022-01-18 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
CN108885414B (zh) 2016-02-18 2021-07-06 Asml荷兰有限公司 光刻装置、器件制造方法以及相关的数据处理装置和计算机程序产品
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10490462B2 (en) * 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
EP3312693A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods & apparatus for controlling an industrial process
KR102224672B1 (ko) 2016-10-26 2021-03-08 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070096094A1 (en) * 2005-10-31 2007-05-03 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
TW201721280A (zh) * 2015-10-08 2017-06-16 Asml荷蘭公司 用於圖案校正及驗證之方法與裝置
US20170314913A1 (en) * 2016-05-02 2017-11-02 Kla-Tencor Corporation Critical Dimension Measurements With Gaseous Adsorption
WO2018202361A1 (en) * 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process

Also Published As

Publication number Publication date
TW202030652A (zh) 2020-08-16
CN113168111B (zh) 2024-05-03
EP3891558A1 (en) 2021-10-13
KR102649158B1 (ko) 2024-03-20
WO2020114686A1 (en) 2020-06-11
US20220011728A1 (en) 2022-01-13
TWI721645B (zh) 2021-03-11
KR20210083348A (ko) 2021-07-06

Similar Documents

Publication Publication Date Title
CN110622069B (zh) 用于预测器件制造工艺的良率的方法
CN113168111B (zh) 用于预测半导体制造过程的产率的方法
CN111656282B (zh) 确定衬底栅格的测量设备和方法
KR20210035894A (ko) 측정 방법 및 장치
CN114008535B (zh) 用于确定特征对性能的贡献的方法和设备
TW202125110A (zh) 決定微影匹配性能
KR20220034902A (ko) 리소그래피 장치 제어 방법
TWI803186B (zh) 預測半導體製程之度量衡偏移之方法及電腦程式
EP4057069A1 (en) Methods and apparatus for characterizing a semiconductor manufacturing process
EP3796088A1 (en) Method and apparatus for lithographic process performance determination
EP3650941A1 (en) Method of determining the contribution of a processing apparatus to a substrate parameter
KR20220154198A (ko) 임퓨터 모델의 구성 기술
CN117836720A (zh) 确定测量选配方案的方法和相关联的设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant