JP2016501809A - 平坦なSiC半導体基板 - Google Patents

平坦なSiC半導体基板 Download PDF

Info

Publication number
JP2016501809A
JP2016501809A JP2015539598A JP2015539598A JP2016501809A JP 2016501809 A JP2016501809 A JP 2016501809A JP 2015539598 A JP2015539598 A JP 2015539598A JP 2015539598 A JP2015539598 A JP 2015539598A JP 2016501809 A JP2016501809 A JP 2016501809A
Authority
JP
Japan
Prior art keywords
wafer
substrate
polishing
sic
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015539598A
Other languages
English (en)
Inventor
ロボダ マーク
ロボダ マーク
パルフェニウク クリストファー
パルフェニウク クリストファー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of JP2016501809A publication Critical patent/JP2016501809A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/28Work carriers for double side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B9/00Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor
    • B24B9/02Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground
    • B24B9/06Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground of non-metallic inorganic material, e.g. stone, ceramics, porcelain
    • B24B9/065Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground of non-metallic inorganic material, e.g. stone, ceramics, porcelain of thin, brittle parts, e.g. semiconductors, wafers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02021Edge treatment, chamfering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02024Mirror polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02035Shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

反り(bow)、歪み(warp)、TTV(Total Thickness variation)、LTV(Local Thickness Variation)、及びSFQR(Site Front side least sQuares focal plane Range)に対する優れた仕様を有する炭化ケイ素ウェハを製造する方法。結果として生じるSiCウェハはSiCのエピタキシャル堆積に適する鏡面状の表面を有する。ウェハの反り、歪み、TTV、LTV、及びSFQRに対する仕様は、エピタキシ層を追加した後、維持される。

Description

(関連出願の相互参照)
本出願は、共に標題が「FLAT SiC SEMICONDUCTOR SUBSTRATE」である、2012年10月26日に出願された米国特許仮出願第61/719,310号、2013年8月6日に出願された米国特許出願第13/959,896号の利益及び優先権を主張するものであり、これらの開示内容の全体を参照により本明細書に援用する。
(発明の分野)
本開示は、半導体ウェハの製造に関し、より具体的には、炭化ケイ素で作られる半導体ウェハに関する。
半導体チップ産業では、その成功の多くはシリコンの本来の性質のおかげである。これらの性質としては、自然酸化物(SiO)を成長させる容易さ、その自然酸化物の優れた絶縁特性、並びにシリコンウェハ及びシリコンウェハでのデバイスの製造の相対的容易さが挙げられる。例えば、シリコン及びその自然酸化物はウェットプラズマエッチングプロセス又はドライプラズマエッチングプロセスのいずれかを用いて容易にエッチングされる。結果として、多くのプロセスは、高純度(99.9999999%の純度)、単結晶、及び比較的大きい(300mm、450mmに向けて準備が現在進行中)シリコンウェハの製造のため、開発されてきた。シリコンウェハは、コンピューティング及びパワーエレクトロニクス用のチップの製造に用いる主材料である。
例えば、サファイア、GaN(窒化ガリウム)、AlN(窒化アルミニウム)及びSiC(炭化ケイ素)などの他の材料は、検出器、発光素子及びパワーデバイスなどの半導体デバイスの製造に有用な性質を呈するが、現在まで主流の製造において、これらの採用は、これらの製造での困難さにより、妨げられてきた。一般に、標準のシリコンプロセスは、これらの他の半導体材料で作業する際、実施できない。例えば、チョクラルスキー成長法を用いて、純粋な単結晶シリコンを容易に成長させることができるのに対して、かかる成長方法をSiCを成長させるのに使用できない。その代わりに、高温昇華法を使用しなければならない。同様に、SiCを容易にエッチングすることができないので、標準のシリコンウェハ化(wafering)技法は、SiCをウェハ化するのに容易に使用できない。
一方、高温/高電圧半導体エレクトロニクスはSiCの本来の性質から利益を得ることができる。例えば、SiCは超高速、高電圧ショットキーダイオード、高電力スイッチング用のMOSFETs及び高温サイリスタ、並びにハイパワーLEDsに有用である。したがって、SiCの利用可能性を増大させることにより、このような半導体デバイスの開発に寄与することができる。例えば、100mmのSiCウェハの現在の生産は標準の300mmのシリコンウェハよりはるかに遅れている。
また、単結晶炭化ケイ素では、トランジスタ及びダイオードにおける複雑なドーピングプロファイルを拡散により確実に形成することができない。複雑な幾何学的ドーピング構成を、ステッパベースのフォトリソグラフィ法を用いて形成したマイクロメートル/サブマイクロメートルの幾何学的形状のマスクを介したイオン注入を用いて達成しなければならない。半導体内に目標とするドーパント混入に必要な注入を実現するため、フォトリソグラフィプロセスにおいて、特にデバイスの幾何学的サイズが増加するにつれて、平坦な基板を使用しなければならない。
一般的に、半導体基板は、平坦度及び粗さについて評価される際、いくつかの指標により特徴付けられる。これらの指標としては、反り(bow)、歪み(warp)、TTV(Total Thickness Variation、又はGlobal Backside Indicated Reading−GBIR)、LTV(Local Thickness Variation、又はSite Backsurface−referenced Ideal Plane/Range−SBIR)、及びSFQR(サイト平坦度品質要求−Site Front side least sQuares focal plane Range)が挙げられる(定義及び計算については、例えば、SEMI M1−1103、ASTM F657、ASTM F1390、ASTM F1530を参照)。
平坦であることに加えて、基板は滑らかで、表面上に機械的損傷のないものでなければならない。この要求は、結晶性薄膜を成長させるのに使用する化学気相成長エピタキシプロセス中に基板が置かれるデバイス製造プロセスの一部として、必須である。薄膜が成長するにつれて、この薄膜は基板の表面上に生じる結晶構造を複製する。結果として、基板表面の過度な粗さ及び機械的損傷により、膜質の劣化をもたらすであろう。
平坦で滑らかな基板を生産する最も一般的な方法は、次第に高水準の平坦度及び低い粗さを達成する、連続する一連の材料の切断工程を伴う。それぞれの研磨工程は徐々により小さい研磨粒子を使用して、表面粗さを目標まで低減する。戦略的に選ぶ機械的特性をもつ研磨パッドを選択して、基板の最終的なうねりに影響を与える「平坦化長さ(planarization length)」を制御し、局所的平坦度の目標を達成する。
例えば、シリコン基板の処理中、ウェハは、スライスされ、その後、ラッピングプロセス又は研削プロセスで処理され、基板のそれぞれの面を平行にして、グローバル平坦度を達成する。しかし、これらのプロセスにより、基板の表面に多くの機械的損傷ができ、基板の反り又は歪みが増大することがある。この損傷を取り除くため、シリコンウェハは通常、表面損傷をエッチングするのに用いる化学溶液中に浸漬される。このプロセスは、一般的に鋸損傷(saw damage)除去と呼ばれ、基板表面をかなり波状にすることがあり、後続の平坦化プロセスを適用しなければならない。次に、基板を目標とする厚さ範囲にするため、ストック除去(stock removal)として知られる一連の処置を適用する。シリコン処理では、ストック除去は、基板の厚さを目標厚さ近くまで効率的に低減し、うねりを減らすのに用いられる化学機械研磨プロセスを使用する1つ又はいくつかの研磨工程を含む。次にウェハは、必要な平坦度及び粗さの仕様を達成するため、より微細な研磨用の化学機械研磨プロセス、平坦化長さの長い研磨パッド及び小さい除去ターゲットで更に研磨される。多くの工程が存在するが、ラッピング/研削から完了までのプロセス所要時間はわずか数時間であり、それは、CVD(化学気相成長)エピタキシ層を基板に付着する後であっても、リソグラフィー目標を満たすのに必要な平坦度及び粗さの性能を有するシリコンウェハを納入することができる。
いくつかの刊行物には、グローバル及び局所的平坦度の両方の制御を達成するためのシリコンウェハを研磨する方法が記載されている。シリコンウェハ用のこれらの方法のうち最も一般的な方法は、一連のスライス、縁部の面取り、ラッピング又は研削、エッチング、研磨であり、そしてこの研磨工程は単面若しくは両面研磨(又は連続して用いる両方のタイプ)である。両面研磨は米国特許第3691694号に記載されている。米国特許第6583050号には、両面研磨を用いて、シリコンウェハの平坦度の制御を達成するのに使用する方法が詳述されている。
しかしながら、硬質材料及び化学的耐性材料などのその性質のために、単結晶SiC用の切断、研削及び研磨方法では、基板の主要な成形のためのダイヤモンド及び金属炭化物の研磨剤を使用することが必要となる。SiCの化学的性質はこのようなので、全体の切断関連の表面損傷を除去するために、基板をエッチングするのは実用的ではない。シリコンウェハを研磨するとき、化学機械研磨方法を使用し、材料の除去が非常に効率的となるのは、この研磨の化学的作用により、同時にこのウェハを酸化物エッチングしたり、すり減らしたりすることができるからである。SiCに化学的増強型機械研磨を用いることは、化学反応速度が非常に遅く、プロセスに関連するコストが非常に高価になるため、実用的ではない。
SiCを処理するのに必要なダイヤモンドの研磨剤は、シリコン基板を研磨するのに用いる標準的な研磨剤と比べ、非常に高価である。SiCを研磨する時間は、ダイヤモンドの研磨剤を用いてもかなり長い(SiCに対する材料除去速度は、該当するシリコン基板プロセスより5〜20倍遅い)。実際には、SiCはシリコンウェハを切断及び研磨するのに用いる研磨材料である。非効率な除去速度及び高価な研磨剤により、特に平坦なウェハを生産する従来の手順をSiCに適用する場合には、SiCを研磨するプロセスは極めて高価になる。
SiC半導体デバイスを採択するための商業的及び経済的な要件を満たすために、SiC基板を研磨する革新的な方法を開発しなければならない。最小限の研磨剤の使用及び最小限の中間工程でSiC基板を切断及び研磨する効率的な方法を実現しなければならない。研磨プロセス所要時間は大量生産のために実用的でなければならない。この研磨手順における全体的な性能はまた、シリコン研磨に用いるもののようなバルク化学的エッチング手順によって通常得られることになるウェハ表面の結晶品質利益(滑らかで損傷のない)を達成しなければならない。最終的に研磨された基板はエピタキシプロセスに好適でなければならず、エピタキシ層を有する最終の基板は、電気デバイスの製造に必要なフォトリソグラフィ工程に関連する平坦度要求を満たさなければならない。
米国特許第8436366号には、エピタキシ及びデバイスの製造中にウェハのグローバル平坦度を制御することになるSiCウェハを準備する方法が記述されている。この方法は、平坦度を調整するために両面研削に続いてSiCウェハをスライスすることと、粗さを低減するためにダイヤモンドスラリーで両面ラッピングすることと、粗さを更に低減するためにダイヤモンドスラリーで両面機械研磨することと、単面化学機械研磨することからなる。この方法はウェハの反り及び歪みを制御することを目標とする一連の工程を示しているが、キーエレメントとして両面処理を言及するだけで、ウェハの平坦度パラメータ又は最終のウェハ形状へのそれぞれの工程の影響に影響を与える工程間の相互作用について詳述していない。この方法は、局所的平坦度指標又は局所的平坦度/厚さの指標により結果として生じる性能を制御する手段を開示していない。多くの異なる材料除去工程を含めることにより、結果として、製造コストが高くなるであろう。この研磨されたウェハは、半導体デバイスを製造する工程中に発生し得るウェハの望ましくない曲げを補填すべきオフセットである平坦度性能を有するように設計される。
本発明の予期せぬ結果は、炭化ケイ素ウェハを簡単な方法を用いて良好なグローバル及び局所的平坦度並びに厚さで研磨することができることである。この方法はグローバル及び局所的平坦度を低い値に設定し、厚さを設定するためのラッピング又は一連の両面研削工程と、粗さを許容値まで低減するための両面研磨工程とを必要とする。本発明の重要な特徴は、局所的及びグローバル平坦度の両方を同時に制御し、維持するために大径のラッピング装置及び研磨装置を使用することである。ウェハのエッチングは平坦度又は厚さの制御を達成する必要はなく、機械的損傷を除去するためにエッチングを使用する必要もない。本発明の研磨されたウェハにおいて達成されるグローバル平坦度及び局所的平坦度の両性能を、RMS粗さを小さい値まで低減するのに用いる化学機械研磨工程の後で、あるいは、気相エッチングを行い、SiCエピタキシ膜層を研磨されたウェハの表面に付着した後、維持することができる。研磨されたウェハの製造手順の結果、最終のエピタキシウェハは、広い活性領域をもつ半導体デバイスを製造するためのより優れた有用性をもたらす、好ましいグローバル及び局所的平坦度の性能を有する。
次の本発明の概要は、本発明のいくつかの態様及び特徴の基本的な理解を提供するために含まれる。この発明の概要は、本発明の広範な概要ではないため、本発明の鍵となる要素若しくは重要な要素を具体的に特定したり、又は本発明の範囲を詳細に記述したりする意図のものではない。この発明の概要の唯一の目的は、以下に提示するより詳細な説明に対する導入部として、簡潔な形式にて本発明のいくつかの概念を提示することである。
様々な実施形態は機械的損傷のない表面を得るために効率的にSiCウェハを研磨するための方法、及びエピタキシャル層を付着させて同時に平坦度に関して優れた性能を発揮する方法を提供する。いかなる理論にも束縛されるものではないが、いくつかの開示した方法はSiCに使用する研磨剤による研磨損傷の伝播が特異な挙動を伴うという発見に基いている。異なる研磨剤、中間プロセス目標及び直径がより大きいラップ/研磨テーブルを有するバッチ処理装置に関わるSiCウェハの研磨方法の戦略的統合は、パワー半導体産業内で製品を販売するのに好適なプロセス所要時間及び品質目標を満たすコスト効率のよいプロセスとなることが分かってきた。
SiCに大面積(>0.75cm)のパワー半導体デバイスを製造するため、基板はエピタキシャル層を付着した後、局所的及びグローバル水準の両方において平坦でなければならないのは、CVDエピタキシは研磨された基板に対して厚さ偏差又は平坦度を劣化させる場合があるためである。研磨された基板の平坦度は、いくつかの製造工程(スライス/ラッピング又は研削/研磨)における複雑な相互作用に依存する。相互依存する工程を賢明に選択することによって、相乗効果を得て、適切に選択した研磨装置及び研磨剤を用いて重要な平坦度目標及び粗さ目標を達成することができることも分かってきた。
本発明の態様によれば、単結晶SiCウェハを製造するための方法が提供され、前記方法が、(i)単結晶SiCのインゴットを複数のウェハにスライスする工程であって、それぞれのウェハが同時に10μm未満のTTV(Total Thickness variation)及び35μm未満の歪みに適合するようにする工程と、(ii)工程(i)のウェハのそれぞれの外周縁部を面取りする工程と、(iii)工程(ii)の前記ウェハのそれぞれの前記表面及び裏面をラッピングする工程と、(iv)それぞれの工程(iii)からのウェハのそれぞれを両面研磨する工程と、を含み、それによって、1平方cmのサイトサイズを基準にして、0.1〜5μmのTTV、0.1〜35μmの歪み、0.1〜1.5μmのLTV(Local Thickness Variation)、及び0.01〜0.3μmのSFQR(Site Front side least sQuares focal plane Range)を有するウェハを製造する。米国特許第8436366号は研磨されたウェハ又はエピタキシャル層をもつ研磨されたウェハのいずれかに対してグローバル及び局所的厚さ偏差並びに平坦度を低い値に設定するプロセスを開示していない。
他の態様によれば、裏面とエピタキシャル堆積の状態にされた表面とを有する研磨されたSiCウェハが設けられ、表面がRq<15オングストロームのrms粗さを有する。両面研磨プロセスの特徴のため、この裏面は同様のRMS粗さ値を有する。更に、このウェハは1平方センチメートルのサイトサイズを基準にして、0.1〜5μmのTTV及び0.1〜1.5μmのLTVを有する。また、このウェハは1平方cmのサイトサイズを基準にして、0.1〜35μmの歪み及び0.01〜0.3μmのSFQRを呈する。研磨されたウェハがそれの表面上のSiC層のエピタキシャル堆積に用いられるとき、このウェハは良好なグローバル及び局所的平坦度並びに厚さ性能を保持する。
1つの態様では、本明細書において提供されるのは、裏面とエピタキシャル堆積の状態にされた表面とを有する研磨された炭化ケイ素ウェハを含み、研磨された炭化ケイ素ウェハが、1平方cmのサイトサイズを基準にして0.1〜1.5μmのLTV及び0.01〜0.3μmのSFQRを有する、基板である。
この態様の一実施形態では、前記表面がRq<15オングストロームのrms粗さを有する。
この態様の別の実施形態では、前記基板が0.1〜5μmの範囲内のTTVを有する。
この態様の別の実施形態では、前記基板が0.1〜35μmの歪みを更に有する。
別の態様では、本明細書において提供されるのは、裏面及び表面を有し、前記表面がその上で成長するSiCのエピタキシャル層を有し、前記エピタキシャル層を有する基板が、1平方cmのサイトサイズを基準にして、0.1〜1.8μmのLTV及び0.01〜0.45μmのSFQRを呈する、単結晶炭化ケイ素基板である。
この態様の一実施形態では、前記エピタキシャル層を有する基板が、0.1〜6μmのTTV及び0.1〜40μmの歪みを更に同時に呈する。
この態様の別の実施形態では、前記表面の二乗平均平方根粗さ値Rqが、2.0×2.0μmのサイトサイズで測定されると、2nm未満である。
この態様の別の実施形態では、前記基板が、1平方cmのサイトサイズを基準にして、0.1〜5μmのTTV、0.1〜35μmの歪み、0.1〜1.5μmのLTV、及び0.01〜0.3μmのSFQRを同時に呈する。
別の形態では、本明細書において提供されるのは、単結晶炭化ケイ素ウェハを製造する方法であって、(i)単結晶シリコンのインゴットを複数のウェハにスライスする工程と、(ii)工程(i)の前記ウェハのそれぞれの外周縁部を面取りする工程と、(iii)工程(ii)の前記ウェハのそれぞれの表面及び裏面から鋸損傷除去を実行する工程と、(iv)工程(iii)のそれぞれのウェハの両面を同時に研磨する工程と、を含み、それによって1平方cmのサイトサイズを基準にして、0.1〜1.5μmのLTV及び0.01〜0.3μmのSFQRを有するウェハを製造する、方法である。
この態様の一実施形態では、工程(i)において、それぞれのウェハが10μm未満のTTV及び35μm未満の歪みに同時に適合する。
この態様の別の実施形態では、それによって、1平方cmのサイトサイズを基準にして、0.1〜5μmのTTV、0.1〜35μmの歪み、及び0.1〜1.5μmのLTVを有するウェハを製造する。
この態様の別の実施形態では、前記鋸損傷除去工程が、前記ウェハの直径より直径が少なくとも3倍大きい表面を有するラッピングツールを用いて行われる。
この態様の別の実施形態では、前記基板スライスが、前記ウェハの前記直径より少なくとも3倍大きいテーブル直径を有する、両面ラッピング機でラッピングされる。
この態様の別の実施形態では、スライスする工程の後、基板を単一のウェハ、ダイヤモンド砥石を用いて一度に一面に加工する。
この態様の別の実施形態では、前記研磨工程が、前記ウェハの直径より直径が少なくとも3倍大きい表面を有する研磨ツールを用いて行われる。
この態様の別の実施形態では、前記研磨工程が前記ウェハをステンレス鋼製キャリアに置くことにより行われる。
この態様の別の実施形態では、前記研磨工程が、前記鋸損傷除去工程で除去されたSiCの25%を除去するように行われる。
この態様の別の実施形態では、前記方法が前記表面に化学強化型機械研磨を適用する工程を更に含む。
この態様の別の実施形態では、前記化学強化型機械研磨を適用する工程が、前記ウェハの直径の3倍より大きい直径をもつ研磨機を用いて行われる。
この態様の別の実施形態では、前記方法が1300℃超の温度の水素及び/又は塩素ガスを含有するガス混合物を用いて、CVDチャンバで前記ウェハの前記表面をエッチングする工程を更に含む。
この態様の別の実施形態では、前記方法が化学強化型機械研磨及び/又は高温気相エッチングで前記表面を処理し、その後、前記表面にSiCのエピタキシャル層を堆積させる工程を更に含む。
この態様の別の実施形態では、前記鋸損傷除去工程がそれぞれのウェハから60〜85μmのSiC材料を除去するように行われ、前記研磨工程が前記鋸損傷除去工程中に除去されたSiC材料の量の4分の1を除去するように行われる。
本明細書に組み込まれ、かつその一部を構成する添付の図面は、本発明の実施形態を例示するものであり、説明と共になって、本発明の原理を説明し、例示する役割を果たすものである。これらの図面は、図表的に例示的な実施形態の主要な特徴を示すことを意図したものである。これらの図面は、実際の実施形態の全ての特徴を示すことを意図したものではなく、示された要素の相対的な寸法を示すことを意図したものでもない。また、これらの図面は、一定の縮尺ではない。
図面においては、同様の参照符号は、明細書における同様の特徴を指す。
本発明の実施形態によるSiCウェハを製造する方法の一般的な工程を示すフローチャートである。
本発明は、本明細書に記載される特定の方法論、手順などに限定されるものではないため、様々であってもよいことが理解されるべきである。本明細書で使用する専門用語は、具体的な実施形態を説明する目的のためだけのものであり、特許請求の範囲のみによって定義される本発明の範囲を限定する意図はない。
本明細書及び特許請求の範囲で使用されるとき、文脈による明白な別段の指示がない限り、単数形には複数形への言及が含まれ、また、その逆も同様である。動作例、又は別段に指示されている場合以外のときは、本明細書で使用される量を表現する全ての数字は、全ての場合において、用語「約」によって修飾されていると理解されるべきである。
指定される全ての出版物は、例えば、本明細書と関係して使用され得るかかる出版物に記載されている方法論を、説明し、かつ開示する目的で、参照により本明細書に、明示的に組み込まれる。これらの出版物は、それらの開示が本出願の出願日に先行していたという理由のみによって、提供されている。この点に関する何ものも、先行発明としての効力によって、又は任意の他の理由のために、本願の発明者らが、かかる開示に先行する資格がないということの承認として解釈されるべきではない。これらの文書の内容に関する日付又は表現に関する全ての言及は、本願出願人にとって利用可能である情報に基づくものであり、これらの文書の日付又は内容の正確性に関して一切承認するものではない。
特に定義されない限り、本明細書で用いる技術的及び科学的な用語は、全て、本発明が属する技術分野における当業者にとって共通に理解されるものと同じ意味を有する。本発明の実践又は試験において、任意の既知の方法、装置、及び材料が使用される場合があるが、その際の、方法、装置、及び材料は、本明細書に記載される。
いくつかの選択された定義
特に言及しない限り、又は文脈から暗示されない限り、次の用語及び表現は、以下に提供する意味を含む。特に明白に言及しない限り、又は文脈から明らかでない限り、以下の用語及び表現は、用語又は表現に対して、それが関係する技術分野で獲得された意味を除外しない。本発明の範囲は特許請求の範囲によってのみ限定されるため、これらの定義は、本明細書に記載される態様の特定の実施形態の説明を補助するために提供され、特許請求の範囲に記載された本発明を限定する意図はない。更に、文脈により別段に必要とされない限り、単数形の用語は複数形を含み、複数形の用語は単数形を含むものとする。
本明細書で使用するとき、用語「含む(comprising)」又は「含む(comprises)」は、本発明にとって不可欠である、構成物、方法、及びこれらの1つ又は複数の各要素について言及するために使用され、不可欠なものであろうとなかろうと、不特定の要素を含む(備える)余地を残している。
本明細書で使用するとき、用語「から本質的になる」は、所与の実施形態に対して必要となる要素を指している。この用語は、本発明の実施形態の1つ又は複数の基本的な、かつ新規な、又は機能的な特徴に大きな影響を与えない付加的な要素の存在を許容するものである。
用語「からなる」は、本明細書に記載した、組成、方法、及びそのそれぞれの構成要素を指し、実施形態の説明において言及されない任意の要素を除外するものである。
操作の実施例以外、又は特に示される場合を除いて、本明細書に用いられる量を表現する全ての数値は用語「約」により修飾されるものと理解されるべきである。用語「約」は、パーセンテージと結合して使用される場合、±1%を意味することができる。
単数形の用語「a」、「an」、及び「the」には、文脈により明白に別段の指示がない限り、複数形の指示対象を含む。同様に、単語「又は」は、文脈により明白に別段の指示がない限り、「及び」を含むことが意図されている。それ故、例えば、「方法(the method)」に対する言及は、本明細書に記載する種類、及び/又は本開示などを読むことで当業者にとって明らかとなる種類の、1つ以上の方法及び/又は工程を含む。
本明細書に記載するものに類似した又は同等の方法又は材料を、本開示の実践又は試験において使用することができるが、好適な方法及び材料を以下に記載する。用語「含む(comprises)」は「含む(includes)」を意味する。略語「例えば(e.g.)」は、ラテン語の例えば(exempli gratia)に由来し、本明細書では非限定的な例を示すために用いられる。それ故、略語「e.g.」は、用語「例えば」と同義である。
未だ示していない範囲にまで、本明細書に開示する他の実施形態のいずれかにおいて示される特徴を組み合わせるために、本明細書に記載又は例示する様々な実施形態のうちの任意のものを更に変更することができることが、当業者には理解されるであろう。
次の実施例は、本発明の実施形態及び態様の一部を例示するものである。当業者にとっては、本発明の趣旨又は範囲を変更することなく、様々な変更、付加、置換などを行うことができ、そのような変更及び変形は、次の特許請求の範囲で定義されるような本発明の範囲内に包含されることが明らかとなるであろう。次の実施例は、本発明をどのような形であれ限定しない。
以下は、本発明の実施形態による製造方法の実施例を提供し、これはフォトリソグラフィ・ベースのデバイス製造に好適な基板をもたらす。様々な方法は、反り(bow)、歪み(warp)、TTV(Total Thickness variation)、LTV(Local Thickness Variation)、及びSFQR(Site Front side least sQuares focal plane Range)に関する要求される仕様を有する基板を提供する。
SiCウェハを生産するための実施形態は、本明細書で概説され、図1に示される一般的なプロセスに従う。工程100では、SiCインゴットを取得する。SiCインゴットは、通常、昇華プロセスを用いて成長させる。一般的な所望の形状、例えば、円形又は正方形の断面を有するシリンダーを得るため、このインゴットの縁を切り落とすことができる(図示せず)。工程105では、このインゴットを薄いウェハにスライスする。次に、工程110では、それぞれのウェハの外周縁部を面取りする。知られているように、インゴットのスライシングにより、カットウェハに表面及びサブ表面の損傷が生じる。本実施例においては、ある一定の厚さ、例えば、50〜100マイクロメートルをウェハの両面のワーキング(working)から除去するため、ラッピング又は研削プロセスが工程115で使用され、それによって、スライシング損傷を除去し、一工程でウェハを平坦化する。このラッピングの後、工程120において両面研磨プロセスが続く。研磨後、工程125においてウェハはエピタキシャル成長のための準備が整うが、任意に、工程125のエピタキシャル成長前に、工程130において、エピタキシャル成長に使用する表面を、化学機械研磨(CMP)を用いて更に平滑化することができる。
次に実施例をより具体的に説明する。前述のとおりに、本実施例はSiC結晶をスライスすることから開始する。SiCはシリコンよりはるかに硬い(SiCはモース硬度スケールで9.5であるのに対し、Siは7である)ので、SiCインゴットのスライシングには、標準のシリコンスライシング方法を変更する必要がある。例えば、シリコンインゴットをスライスするのに一般的に用いられるSiCスラリーは、SiCインゴットをスライスするのに使用できない。その代わりとして、ダイヤモンドスラリーを使用しなければならない(ダイヤモンドはモース硬度スケールで10である)。ダイヤモンドスラリーが必要ないように、固定の研磨用ダイヤモンドワイヤーもまた検討されてきた。しかしながら、この特定の実施例においては、スライシングはマルチワイヤーソー(multi-wire saw)及びダイヤモンドスラリーを用いて行われ、10μm未満のTTV及び35μm未満の歪みに同時に適合するスライスを納入するように構成される。スライス速度、研磨剤のサイズ及び流量のスライシングパラメータは、一般にスライスの表面のスライスマークの形成を最小限にするように調整される。具体的には、TTVが10μmを超えると、後のプロセス工程でこの値を訂正するのは、望ましくない出費につながることが分かっている。したがって、スライシングパラメータはスライシングプロセス中にTTV<10μmを与えるように調整される。
次に、ウェハの縁部を面取りする。これは、ラッピング中のウェハの周縁での欠損又は亀裂を避けるためにラッピング前に完了する。シリコンウェハの面取りは、例えば、米国特許公開第2009/0324896号に開示されているが、本発明においては、面取りは台形溝形状をもつダイヤモンド砥石(SiCの硬度を考慮するため)を用いて行われる。
鋸損傷の除去はラッピング又は研削によって行われる。従来のラッピング機は、例えば、米国特許第4,582,561号に開示されている。現在のサイズのSiCウェハ(76〜150mm)に対してラッピング及び研磨を適切な使用することは分かっているが、20インチ(約500mm)超の直径を有するラッピング及び研磨装置が必要とされている。つまり、ラッピング及び研磨工具の表面は、グローバル及び局所的ウェハ厚さ並びに平坦度の両方を制御するため、SiCウェハの直径の少なくとも3倍でなければならない。
上述したように、スライシングは波状表面になる場合があるので、ラッピングは鋸損傷の除去に有用である(本明細書ではラッピングはウェハを平坦にし、表面を平行にするために使用される)。一実施例において、直径が約500ミリメートル(20インチ)超の両面ダイヤモンド研磨剤ラップ機は、スライスされたウェハの両面の材料を同時に除去するために使用される。この工程における平均ダイヤモンド粒径の範囲は4〜12μmである。あるいは、ダイヤモンド砥石は、それぞれの面に連続して当てられ、スライスされたウェハのそれぞれの面の材料を除去する。この砥石に使用される典型的なダイヤモンド研磨剤のサイズは、典型的に500〜2000メッシュ(約25〜5μm)である。典型的な正味の除去対象は、いずれかの方法を用いてウェハの両面から60〜85μmである。両面からの除去量は一般に等しく、約30〜45μmがそれぞれの表面から除去される。除去される量は、スライシングプロセスにおける表面損傷及びスライシングマークを排除しなければならず、典型的に1時間未満で達成され得る。処理されたウェハのTTV及び歪みは、入ってくる次のスライス値より小さくしなければならない。
ストック研磨プロセス工程がこの鋸損傷除去工程に続く。両面のダイヤモンドスラリー研磨プロセスは、約500ミリメートル(20インチ)超の直径の機械上で行われ、ラッピングされた/研磨されたウェハの両面から機械的表面損傷材料を除去し、その厚さはほぼ目標値まで低減される。ダイヤモンドスラリーは標準のポリテトラフルオロエチレン(PTFE)製のキャリアを腐食するので、ウェハはステンレス鋼製キャリアに置かれる。ストック研磨工程は一工程で行ってもよいし、又は除去効率を最大化し、所望の最終表面粗さを達成するため、異なる平均粒径分布を有するスラリーでいくつかの工程に分離してもよい。除去される材料の典型的な量は、ラッピング工程での量の約25%である。プロセス時間は、典型的には使用する研磨剤の粒径に応じて2〜4時間となる。最後の研磨工程を0.45〜0.55μmの範囲にある中間の粒径をもつダイヤモンドスラリーを用いて行うときに、最も良い結果が得られ、鏡面状の表面をもたらす。
この統合したプロセスは鏡面状の表面を有する研磨されたウェハを提供することが分かってきた。上述の実施例により得られたウェハは、全ての仕様を満たす。つまり、前エピタキシウェハ面及び反対のウェハ面の両方の二乗平均平方根(rms)粗さRq 10〜15A、TTV<5μm、LTV<1.5μm、SFQR<0.3μm、全てはサイトサイズ(site size)1×1cmに対するものである。このウェハはエピタキシにおいて使用することができ、仮に前エピタキシである場合、残存機械的サブ表面損傷を全体的に除去するため、気相高温表面エッチング工程がCVD成長前に適用され、通常、これは0.5〜2.0nmのエッチングに相当する。例えば、このエッチングを、1300℃超の温度でガスを含有する水素及び又はハロゲンを含有するガス混合物を用いて、行うことができる。
あるいは、エピタキシの対象となるウェハの面を、更に、化学強化型機械研磨プロセス(化学的酸化)を用いて研磨することができ、これは、例えば、ウェハ表面を侵食するように、ウェハ表面を酸化する溶液中のアルミナ研磨剤又はシリカ研磨剤であってもよい。1μm未満を除去し、サブ表面損傷をほぼ排除する。発明された方法の実施形態に従って、ウェハに関連した平坦度指標はこの工程後は本質的に変化せず(+/−10%未満)、粗さ値Rqは化学機械研磨を用いて研磨された面で0.5nm未満である。
次にSiCエピタキシをウェハ上に堆積する。化学気相成長法によるSiC成長に関する当該技術分野において当業者に既知のあらゆる標準技術を適用することができる。エピタキシャル堆積を、温度均一性、圧力及び流量を用いてCVDツールで調整することができるので、ウェハのTTV及びLTVは本質的に不変(+/−20%未満)のままである。最終のウェハは、初めの研磨されたウェハの平坦度により、エピタキシ表面への集群段階が制限されるか、又はその段階がないように呈する。
(実施例1)
上述の、図1に示すプロセスフローを、製造された、直径が75mm及び100mmの4H−SiCウェハに対して使用した。ウェハは0.015〜0.028Ω・cmの抵抗率の範囲を呈した。工程100−105−110では、SiCインゴットを直円柱体に機械加工した。円筒形インゴットをプラスチックのビーム上に取り付け、マルチワイヤーソーの中に置いた。インゴットを、ワイヤーとインゴットの周縁に向けられたダイヤモンドスラリーのフローを用いて切断した。ビームをソーから取り除き、溶液の中に置き、ビームからスライスを分離した。スライスを洗浄し、カセット内に置いた。ウェハを自動縁部研削システムに搬送し、そこで、ダイヤモンド砥石を用いて台形縁部プロファイルを形成した。このプロセスはSEMI規格M55のガイドラインに従う。
工程115では、示されたとおり、鋸損傷の除去がラッピング又は研削を用いて行われた。ラッピングは、直径>100センチメートル(40インチ)をもつラッピング機を用いてウェハの両面に同時に行われた。ウェハをラッピングテーブル上に位置するいくつかのステンレス鋼製キャリア内に置いた。このテーブルは鉄で作られ、キャリアをラッピングプレート間で回転しながら、ダイヤモンド粒子を含有するスラリーをテーブル表面に向けた。独自の試みにおいて、研削を単一のウェハプロセスを用いて行い、ラッピングの代わりに結合されたダイヤモンド砥石を用いて連続してウェハのそれぞれの面を研削した。ウェハから材料を除去した後、どちらにしてもウェハを洗浄し、すすいだ。
工程120(研磨)は、直径>100センチメートル(40インチ)をもつ研磨機を用いて、ウェハの両面に同時に行われた。ウレタンの研磨パッドは研磨テーブルの頂部プレート及び底部プレートをカバーした。ウェハを研磨テーブルに位置するいくつかのステンレス鋼製キャリア内に置いた。キャリアを研磨プレート間で回転しながら、ダイヤモンド粒子を含有する研磨スラリーを研磨プロセス中にテーブル表面に向けた。ウェハから材料を除去した後、ウェハを洗浄し、すすいだ。
工程130(CMP研磨)を用いて処理されたサンプルについては、ウェハを、直径が500ミリメートル(20インチ)超の単面研磨システムにおける3つの研磨ヘッドのうち1つに接続されたプラスチック製キャリアから、いくつかの形成されたポケットのうち1つの中に置いた。それぞれの研磨ヘッドはウェハの直径に応じていくつかのウェハを保持することができる。ウレタン布を研磨テーブル上に置いた。研磨ヘッドをテーブルまで低下させ、テーブルを回転し、テーブルを回転しながら、酸化物研磨粒子をもつ研磨スラリーをテーブルに向けた。
工程125(エピタキシ)を用いて処理されたサンプルについては、SiCのCVD膜を、バッチ式CVDシステムを用いて研磨されたウェハに堆積した。ウェハをグラファイトサセプタに置き、真空チャンバの中に置いた。チャンバを真空まで排気し、RF誘導加熱を用いてサセプタを1500℃超の温度まで加熱した。まず、水素及び塩化水素を含有する混合ガスをチャンバに供給し、ウェハの表面をエッチングした。システムを排気し、プロセス圧力まで水素で充填した。窒素、シリコン及び炭素ガスの混合物をチャンバに導入し、膜を形成した。最終の膜厚は10μmで、膜ドーピングは3〜6×1016/cmの範囲である。
SiC基板ウェハの試験はMTI Instruments社のAutoScan 200測定システムを用いて行われた。これは、ASTM/SEMI規格(TTV ASTM/SEMI F533、反り ASTM/SEMI F534、歪み ASTM/SEMI F1390及び平坦度ASTM/SEMI F1530−02)と整合する計算を用いた静電容量ベースのウェハ形状試験である。SBIR及びSFQR測定におけるサイトサイズ1×1cmであった。粗さは、Digital Instruments社の原子間力顕微鏡を用いてタッピングモードで評価した。ウェハの中央部における2×2マイクロメートルのサイトを評価した。米国特許第8436366号はTTVを測定するために同一の測定プロトコルを使用してしないことに留意されたい。米国特許第8436366号での数字は裏面基準の、すなわちチャックされた測定を示すのに対して、この作業に示すTTV測定はフローティング基板を基準とする。結果として本発明と米国特許第8436366号との間でTTV値を比較するのは直接的ではない。
表1は直径が76mmの研磨されたSiCウェハについての平坦度の測定を列記する。このウェハについては、ラッピングにより鋸損傷の除去が行われ、研磨は化学機械研磨工程を介して施された。
Figure 2016501809
表2は直径が100mmの研磨されたSiCウェハについての平坦度の測定を鉄器する。このウェハについては、鋸損傷の除去は研削により行われ、研磨はストック研磨工程を介して施された。
Figure 2016501809
表3は10μmのエピタキシャル膜を有する直径が76mmのSiCウェハについての平坦度の測定を列記する。このウェハについては、鋸損傷の除去は研削により行われ、研磨はストック研磨工程を介して施された。
Figure 2016501809
表4は10μmエピタキシャル膜を有する直径が100mmのSiCウェハについての平坦度の測定を列記する。このウェハについては、鋸損傷の除去は研削により行われ、研磨はストック研磨工程を介して施された。
Figure 2016501809
本明細書に記載した工程及び技術は、いずれかの特定の装置に本来的に関連するものではなく、構成要素の任意の好適な組み合わせによって実装されてもよいことが理解されるべきである。更に、本明細書に記載の教示に従って、汎用の様々な種類の装置を使用してもよい。本発明を、具体的な実施例と関連させて説明したが、これらの実施例は、あらゆる点で、制限的なものではなく、例示的なものであることを意図している。当業者は、多くの異なる組み合わせが本発明を実施するのに好適となるであろうことを、理解するであろう。
更に、本明細書の検討及び本明細書に開示した発明の実践から、本発明の他の実装が、当業者にとっては明らかとなるであろう。上述した実施形態の様々な態様、及び/又は構成は、単独で又は任意の組み合わせで使用されてもよい。本明細書及び各実施例は例示的なものとしてのみ解釈され、本発明の真の範囲及び趣旨は、次の特許請求の範囲によって示されることが意図されている。

Claims (22)

  1. 裏面とエピタキシャル堆積の状態にされた表面とを有する研磨された炭化ケイ素ウェハを含み、前記研磨された炭化ケイ素ウェハが、1平方cmのサイトサイズを基準にして、0.1〜1.5μmのLTV(Local Thickness Variation)及び0.01〜0.3μmのSFQR(Site Front side least sQuares focal plane Range)を有する、基板。
  2. 前記表面がRq<15オングストロームのrms粗さを有する、請求項1に記載の基板。
  3. 前記基板が0.1〜5μmの範囲内のTTV(Total Thickness variation)を有する、請求項1又は2に記載の基板。
  4. 前記基板が0.1〜35μmの歪みを更に有する、請求項1〜3のいずれか一項に記載の基板。
  5. 裏面及び表面を含み、前記表面がその上で成長するSiCのエピタキシャル層を有し、前記エピタキシャル層を有する基板が、1平方cmのサイトサイズを基準にして、0.1〜1.8μmのLTV及び0.01〜0.45μmのSFQRを呈する、単結晶炭化ケイ素基板。
  6. 前記エピタキシャル層を有する基板が、0.1〜6μmのTTV及び0.1〜40μmの歪みを更に同時に呈する、請求項5に記載の基板。
  7. 前記表面の二乗平均平方根粗さ値Rqが、2.0×2.0μmのサイトサイズで測定されると、2nm未満である、請求項5又は6に記載の基板。
  8. 前記基板が、1平方cmのサイトサイズを基準にして、0.1〜5μmのTTV、0.1〜35μmの歪み、0.1〜1.5μmのLTV、及び0.01〜0.3μmのSFQRを同時に呈する、請求項5〜7のいずれか一項に記載の単結晶炭化ケイ素基板。
  9. 単結晶炭化ケイ素ウェハを製造する方法であって、
    (i)単結晶シリコンのインゴットを複数のウェハにスライスする工程と、
    (ii)工程(i)の前記ウェハのそれぞれの外周縁部を面取りする工程と、
    (iii)工程(ii)の前記ウェハのそれぞれの表面及び裏面から鋸損傷除去を実行する工程と、
    (iv)工程(iii)のそれぞれのウェハの両面を同時に研磨する工程と、を含み、
    それによって1平方cmのサイトサイズを基準にして、0.1〜1.5μmのLTV及び0.01〜0.3μmのSFQRを有するウェハを製造する、方法。
  10. 工程(i)において、それぞれのウェハが10μm未満のTTV及び35μm未満の歪みに同時に適合する、請求項9に記載の方法。
  11. それによって、1平方cmのサイトサイズを基準にして、0.1〜5μmのTTV、0.1〜35μmの歪み、及び0.1〜1.5μmのLTVを有するウェハを製造する、請求項9又は10に記載の方法。
  12. 前記鋸損傷除去工程が、前記ウェハの直径より直径が少なくとも3倍大きい表面を有するラッピングツールを用いて行われる、請求項9〜11のいずれか一項に記載の方法。
  13. 基板スライスが、前記ウェハの前記直径より少なくとも3倍大きいテーブル直径を有する、両面ラッピング機でラッピングされる、請求項11又は12に記載の方法。
  14. スライスする工程の後、前記基板を単一のウェハ、ダイヤモンド砥石を用いて一度に一面に加工する、請求項11〜13のいずれか一項に記載の方法。
  15. 前記研磨工程が、前記ウェハの直径より直径が少なくとも3倍大きい表面を有する研磨ツールを用いて行われる、請求項9〜14のいずれか一項に記載の方法。
  16. 前記研磨工程が前記ウェハをステンレス鋼製キャリアに置くことにより行われる、請求項13〜15のいずれか一項に記載の方法。
  17. 前記研磨工程が、前記鋸損傷除去工程で除去されたSiCの25%を除去するように行われる、請求項14〜16のいずれか一項に記載の方法。
  18. 前記表面に化学強化型機械研磨を適用する工程を更に含む、請求項9〜17のいずれか一項に記載の方法。
  19. 前記化学強化型機械研磨を適用する工程が、前記ウェハの直径より3倍より大きい直径をもつ研磨機を用いて行われる、請求項16〜18のいずれか一項に記載の方法。
  20. 1300℃超の温度にて水素及び/又は塩素ガスを含有するガス混合物を用いて、CVDチャンバで前記ウェハの前記表面をエッチングする工程を更に含む、請求項9〜19のいずれか一項に記載の方法。
  21. 化学強化型機械研磨及び/又は高温気相エッチングで前記表面を処理し、その後、前記表面にSiCのエピタキシャル層を堆積させる工程を更に含む、請求項9〜20のいずれか一項に記載の方法。
  22. 前記鋸損傷除去工程がそれぞれのウェハから60〜85μmのSiC材料を除去するように行われ、前記研磨工程が前記鋸損傷除去工程中に除去されたSiC材料の量の4分の1を除去するように行われる、請求項9〜21のいずれか一項に記載の方法。
JP2015539598A 2012-10-26 2013-09-10 平坦なSiC半導体基板 Pending JP2016501809A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261719310P 2012-10-26 2012-10-26
US61/719,310 2012-10-26
US13/959,896 US9018639B2 (en) 2012-10-26 2013-08-06 Flat SiC semiconductor substrate
US13/959,896 2013-08-06
PCT/US2013/059064 WO2014065949A1 (en) 2012-10-26 2013-09-10 FLAT SiC SEMICONDUCTOR SUBSTRATE

Publications (1)

Publication Number Publication Date
JP2016501809A true JP2016501809A (ja) 2016-01-21

Family

ID=49226567

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015539598A Pending JP2016501809A (ja) 2012-10-26 2013-09-10 平坦なSiC半導体基板

Country Status (6)

Country Link
US (2) US9018639B2 (ja)
EP (1) EP2912681B1 (ja)
JP (1) JP2016501809A (ja)
KR (1) KR20150074176A (ja)
CN (1) CN104813439B (ja)
WO (1) WO2014065949A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019006671A (ja) * 2017-06-22 2019-01-17 ショット アクチエンゲゼルシャフトSchott AG 部材、特に電子部材とガラス材料またはガラスセラミック材料との接合体
WO2019146336A1 (ja) * 2018-01-24 2019-08-01 昭和電工株式会社 単結晶4H-SiC成長用種結晶及びその加工方法
WO2020017601A1 (ja) * 2018-07-20 2020-01-23 株式会社デンソー 炭化珪素ウェハを含む半導体ウェハおよびSiC半導体装置の製造方法
JP2020524908A (ja) * 2017-06-21 2020-08-20 ジルトロニック アクチエンゲゼルシャフトSiltronic AG 半導体ウェハを処理するための方法、制御システムおよびプラント、ならびに半導体ウェハ
WO2020250678A1 (ja) * 2019-06-13 2020-12-17 住友電気工業株式会社 炭化珪素基板および炭化珪素基板の製造方法
JP2021503170A (ja) * 2018-10-16 2021-02-04 山▲東▼天岳先▲進▼科技股▲フン▼有限公司 高平坦性、低ダメージの大きな直径の単結晶炭化ケイ素基板及びその製造方法
JP2022031674A (ja) * 2017-05-19 2022-02-22 住友電気工業株式会社 炭化珪素基板および炭化珪素エピタキシャル基板
US11866846B2 (en) 2022-05-31 2024-01-09 Resonac Corporation SiC substrate and SiC epitaxial wafer

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2058844A1 (en) * 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US9041841B2 (en) * 2008-10-10 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor having enhanced backside illumination quantum efficiency
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130019918A1 (en) 2011-07-18 2013-01-24 The Regents Of The University Of Michigan Thermoelectric devices, systems and methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10205080B2 (en) 2012-01-17 2019-02-12 Matrix Industries, Inc. Systems and methods for forming thermoelectric devices
CN104756268B (zh) 2012-08-17 2017-10-24 美特瑞克斯实业公司 用于形成热电装置的系统和方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070795A1 (en) 2012-10-31 2014-05-08 Silicium Energy, Inc. Methods for forming thermoelectric elements
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10322936B2 (en) 2013-05-02 2019-06-18 Pallidus, Inc. High purity polysilocarb materials, applications and processes
US11091370B2 (en) 2013-05-02 2021-08-17 Pallidus, Inc. Polysilocarb based silicon carbide materials, applications and devices
US9919972B2 (en) 2013-05-02 2018-03-20 Melior Innovations, Inc. Pressed and self sintered polymer derived SiC materials, applications and devices
US9657409B2 (en) 2013-05-02 2017-05-23 Melior Innovations, Inc. High purity SiOC and SiC, methods compositions and applications
WO2015005064A1 (ja) * 2013-07-09 2015-01-15 富士電機株式会社 炭化珪素半導体装置の製造方法および炭化珪素半導体装置
JP6233058B2 (ja) * 2013-09-25 2017-11-22 住友電気工業株式会社 炭化珪素半導体基板の製造方法
JP2015065318A (ja) * 2013-09-25 2015-04-09 住友電気工業株式会社 炭化珪素半導体装置の製造方法
US20150170928A1 (en) * 2013-12-16 2015-06-18 Sumitomo Electric Industries, Ltd. Silicon carbide substrate and fabrication method thereof
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015148554A1 (en) * 2014-03-25 2015-10-01 Silicium Energy, Inc. Thermoelectric devices and systems
US9640656B2 (en) 2014-04-04 2017-05-02 Micron Technology, Inc. Transistors having strained channel under gate in a recess
WO2015172014A1 (en) * 2014-05-09 2015-11-12 Saint-Gobain Ceramics & Plastics, Inc. High quality sapphire substrates and method of making said sapphire substrates
JP6315579B2 (ja) * 2014-07-28 2018-04-25 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102343142B1 (ko) * 2014-09-16 2021-12-27 삼성디스플레이 주식회사 유기 발광 소자
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9455195B2 (en) 2014-12-05 2016-09-27 International Business Machines Corporation Method of forming performance optimized gate structures by silicidizing lowered source and drain regions
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102322015B1 (ko) * 2015-04-07 2021-11-05 삼성디스플레이 주식회사 박막트랜지스터 어레이 기판의 제조 방법 및 그에 따라 제조된 박막 트랜지스터 어레이 기판
US10283595B2 (en) * 2015-04-10 2019-05-07 Panasonic Corporation Silicon carbide semiconductor substrate used to form semiconductor epitaxial layer thereon
JP6638730B2 (ja) * 2015-04-22 2020-01-29 日産化学株式会社 有機エレクトロニクスにおける使用に適した非水系組成物
DE112016002124T5 (de) * 2015-05-11 2018-01-25 Sumitomo Electric Industries Ltd. Siliziumkarbid-Einkristallsubstrat, Siliziumkarbid-Halbleitervorrichtung und Verfahren zur Herstellung der Siliziumkarbid-Halbleitervorrichtung
JP5975200B1 (ja) * 2015-05-11 2016-08-23 住友電気工業株式会社 炭化珪素単結晶基板、炭化珪素半導体装置および炭化珪素半導体装置の製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN107709635B (zh) * 2015-07-29 2021-02-26 昭和电工株式会社 外延碳化硅单晶晶片的制造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017105697A (ja) * 2015-11-26 2017-06-15 東洋炭素株式会社 薄型のSiCウエハの製造方法及び薄型のSiCウエハ
DE102015224933A1 (de) * 2015-12-11 2017-06-14 Siltronic Ag Monokristalline Halbleiterscheibe und Verfahren zur Herstellung einer Halbleiterscheibe
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
TW201809931A (zh) 2016-05-03 2018-03-16 麥崔克斯工業股份有限公司 熱電裝置及系統
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6773506B2 (ja) * 2016-09-29 2020-10-21 株式会社ディスコ ウエーハ生成方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
USD819627S1 (en) 2016-11-11 2018-06-05 Matrix Industries, Inc. Thermoelectric smartwatch
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102163383B1 (ko) * 2016-12-12 2020-10-08 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 형성을 위한 방법들
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106625204B (zh) * 2017-01-06 2019-05-24 东莞市天域半导体科技有限公司 一种大尺寸SiC晶片的背面处理方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7002932B2 (ja) 2017-12-22 2022-01-20 昭和電工株式会社 SiCインゴットの製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
CN109321980B (zh) * 2018-10-16 2019-11-19 山东天岳先进材料科技有限公司 一种高平整度、低损伤大直径单晶碳化硅衬底
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN109659221B (zh) * 2019-02-01 2021-03-09 中国科学技术大学 一种碳化硅单晶薄膜的制备方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
CN110722692B (zh) * 2019-10-12 2021-09-07 江苏澳洋顺昌集成电路股份有限公司 一种控制研磨产品bow值加工的方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
JP6761916B1 (ja) * 2019-11-29 2020-09-30 Jx金属株式会社 リン化インジウム基板、半導体エピタキシャルウエハ、及びリン化インジウム基板の製造方法
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP6761917B1 (ja) * 2019-11-29 2020-09-30 Jx金属株式会社 リン化インジウム基板、半導体エピタキシャルウエハ、及びリン化インジウム基板の製造方法
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN115279956A (zh) * 2019-12-27 2022-11-01 沃孚半导体公司 大直径碳化硅晶片
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR102192525B1 (ko) * 2020-02-28 2020-12-17 에스케이씨 주식회사 웨이퍼, 에피택셜 웨이퍼 및 이의 제조방법
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102283879B1 (ko) * 2021-01-14 2021-07-29 에스케이씨 주식회사 탄화규소 웨이퍼의 제조방법, 탄화규소 웨이퍼 및 웨이퍼 제조용 시스템
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113601376A (zh) * 2021-08-10 2021-11-05 山西烁科晶体有限公司 碳化硅双面抛光中单面抛光速率的测定方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11869833B2 (en) 2021-09-15 2024-01-09 Qualcomm Incorporated Package comprising a substrate with a via interconnect coupled to a trace interconnect and method of fabricating the same
CN116670328A (zh) * 2021-10-28 2023-08-29 东海炭素株式会社 一种多晶SiC成型体及其制造方法
JP2023114215A (ja) * 2022-02-04 2023-08-17 株式会社プロテリアル SiCエピタキシャル基板およびその製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291690A (ja) * 2000-01-31 2001-10-19 Shin Etsu Handotai Co Ltd 研磨装置及び方法
JP2009081186A (ja) * 2007-09-25 2009-04-16 Sumco Techxiv株式会社 半導体ウェハの製造方法
WO2010119792A1 (ja) * 2009-04-15 2010-10-21 住友電気工業株式会社 基板、薄膜付き基板、半導体装置、および半導体装置の製造方法

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL87348C (ja) 1954-03-19 1900-01-01
US3691694A (en) 1970-11-02 1972-09-19 Ibm Wafer polishing machine
US4582561A (en) 1979-01-25 1986-04-15 Sharp Kabushiki Kaisha Method for making a silicon carbide substrate
US4912064A (en) 1987-10-26 1990-03-27 North Carolina State University Homoepitaxial growth of alpha-SiC thin films and semiconductor devices fabricated thereon
US4866005A (en) 1987-10-26 1989-09-12 North Carolina State University Sublimation of silicon carbide to produce large, device quality single crystals of silicon carbide
US4912063A (en) 1987-10-26 1990-03-27 North Carolina State University Growth of beta-sic thin films and semiconductor devices fabricated thereon
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
JP2804860B2 (ja) 1991-04-18 1998-09-30 新日本製鐵株式会社 SiC単結晶およびその成長方法
US5149338A (en) 1991-07-22 1992-09-22 Fulton Kenneth W Superpolishing agent, process for polishing hard ceramic materials, and polished hard ceramics
US5709745A (en) 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
JPH06316499A (ja) 1993-04-30 1994-11-15 Sharp Corp 炭化珪素単結晶の製造方法
US5679153A (en) 1994-11-30 1997-10-21 Cree Research, Inc. Method for reducing micropipe formation in the epitaxial growth of silicon carbide and resulting silicon carbide structures
WO1996017113A1 (de) 1994-12-01 1996-06-06 Siemens Aktiengesellschaft Verfahren und vorrichtung zum herstellen von siliciumcarbid-einkristallen durch sublimationszüchtung
US5899743A (en) 1995-03-13 1999-05-04 Komatsu Electronic Metals Co., Ltd. Method for fabricating semiconductor wafers
SE9503428D0 (sv) 1995-10-04 1995-10-04 Abb Research Ltd A method for epitaxially growing objects and a device for such a growth
RU2094547C1 (ru) 1996-01-22 1997-10-27 Юрий Александрович Водаков Сублимационный способ выращивания монокристаллов карбида кремния и источник карбида кремния для осуществления способа
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
US5944890A (en) 1996-03-29 1999-08-31 Denso Corporation Method of producing single crystals and a seed crystal used in the method
US5895583A (en) * 1996-11-20 1999-04-20 Northrop Grumman Corporation Method of preparing silicon carbide wafers for epitaxial growth
US5954881A (en) 1997-01-28 1999-09-21 Northrop Grumman Corporation Ceiling arrangement for an epitaxial growth reactor
TW358764B (en) 1997-07-07 1999-05-21 Super Silicon Crystal Res Inst A method of double-side lapping a wafer and an apparatus therefor
JPH11121311A (ja) 1997-10-13 1999-04-30 Mitsui Eng & Shipbuild Co Ltd 炭化ケイ素材およびその製造方法並びに炭化ケイ素ウエハ
JPH11135464A (ja) 1997-10-30 1999-05-21 Komatsu Electron Metals Co Ltd 半導体ウェハの製造方法
US5888887A (en) 1997-12-15 1999-03-30 Chartered Semiconductor Manufacturing, Ltd. Trenchless buried contact process technology
EP0967304B1 (en) 1998-05-29 2004-04-07 Denso Corporation Method for manufacturing single crystal of silicon carbide
JP3664593B2 (ja) * 1998-11-06 2005-06-29 信越半導体株式会社 半導体ウエーハおよびその製造方法
JP3329288B2 (ja) 1998-11-26 2002-09-30 信越半導体株式会社 半導体ウエーハおよびその製造方法
DE19905737C2 (de) * 1999-02-11 2000-12-14 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit verbesserter Ebenheit
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
JP4185215B2 (ja) 1999-05-07 2008-11-26 弘之 松波 SiCウエハ、SiC半導体デバイス、および、SiCウエハの製造方法
US6579359B1 (en) 1999-06-02 2003-06-17 Technologies And Devices International, Inc. Method of crystal growth and resulted structures
US6329088B1 (en) 1999-06-24 2001-12-11 Advanced Technology Materials, Inc. Silicon carbide epitaxial layers grown on substrates offcut towards <1{overscore (1)}00>
JP4691292B2 (ja) 1999-07-07 2011-06-01 エスアイクリスタル アクチエンゲゼルシャフト SiC種結晶の外周壁を有する種結晶ホルダ
US6716722B1 (en) * 1999-07-15 2004-04-06 Shin-Etsu Handotai Co., Ltd. Method of producing a bonded wafer and the bonded wafer
DE19938340C1 (de) 1999-08-13 2001-02-15 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
EP1243674B1 (en) 1999-09-06 2005-06-08 Sixon Inc. SiC SINGLE CRYSTAL AND METHOD FOR GROWING THE SAME
EP1215730B9 (en) 1999-09-07 2007-08-01 Sixon Inc. SiC WAFER, SiC SEMICONDUCTOR DEVICE AND PRODUCTION METHOD OF SiC WAFER
EP1614505B1 (en) * 2000-01-31 2008-11-26 Shin-Etsu Handotai Company Limited Polishing method
US6428621B1 (en) 2000-02-15 2002-08-06 The Fox Group, Inc. Method for growing low defect density silicon carbide
EP1143033B1 (en) 2000-04-07 2004-09-01 Hoya Corporation Silicon carbide and method for producing the same
JP3650727B2 (ja) 2000-08-10 2005-05-25 Hoya株式会社 炭化珪素製造方法
DE10043599A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf einem oder mehreren insbesondere ebenfalls kristalliner Substraten
US6956238B2 (en) 2000-10-03 2005-10-18 Cree, Inc. Silicon carbide power metal-oxide semiconductor field effect transistors having a shorting channel and methods of fabricating silicon carbide metal-oxide semiconductor field effect transistors having a shorting channel
JP4903946B2 (ja) 2000-12-28 2012-03-28 株式会社ブリヂストン 炭化ケイ素単結晶の製造方法及び製造装置
JP4275308B2 (ja) 2000-12-28 2009-06-10 株式会社デンソー 炭化珪素単結晶の製造方法およびその製造装置
JP2002220299A (ja) 2001-01-19 2002-08-09 Hoya Corp 単結晶SiC及びその製造方法、SiC半導体装置並びにSiC複合材料
JP3811624B2 (ja) 2001-04-27 2006-08-23 松下電器産業株式会社 半導体装置
WO2002099169A1 (fr) 2001-06-04 2002-12-12 The New Industry Research Organization Carbure de silicium monocristal et son procede de production
JP2003068654A (ja) 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
JP4463448B2 (ja) 2001-09-07 2010-05-19 パナソニック株式会社 SiC基板及びSiC半導体素子の製造方法
JP3845563B2 (ja) 2001-09-10 2006-11-15 株式会社東芝 炭化珪素膜のcvd方法、cvd装置及びcvd装置用サセプター
DE10247017B4 (de) 2001-10-12 2009-06-10 Denso Corp., Kariya-shi SiC-Einkristall, Verfahren zur Herstellung eines SiC-Einkristalls, SiC-Wafer mit einem Epitaxiefilm und Verfahren zur Herstellung eines SiC-Wafers, der einen Epitaxiefilm aufweist
EP1306890A2 (en) 2001-10-25 2003-05-02 Matsushita Electric Industrial Co., Ltd. Semiconductor substrate and device comprising SiC and method for fabricating the same
DE10159833C1 (de) 2001-12-06 2003-06-18 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Vielzahl von Halbleiterscheiben
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
JP3881562B2 (ja) 2002-02-22 2007-02-14 三井造船株式会社 SiCモニタウェハ製造方法
EP1491662B1 (en) 2002-03-19 2012-02-22 Central Research Institute of Electric Power Industry METHOD FOR PREPARING SiC CRYSTAL
US7601441B2 (en) 2002-06-24 2009-10-13 Cree, Inc. One hundred millimeter high purity semi-insulating single crystal silicon carbide wafer
US7316747B2 (en) 2002-06-24 2008-01-08 Cree, Inc. Seeded single crystal silicon carbide growth and resulting crystals
FR2843061B1 (fr) 2002-08-02 2004-09-24 Soitec Silicon On Insulator Procede de polissage de tranche de materiau
JP2004099340A (ja) 2002-09-05 2004-04-02 Nippon Steel Corp 炭化珪素単結晶育成用種結晶と炭化珪素単結晶インゴット及びその製造方法
US20040134418A1 (en) 2002-11-08 2004-07-15 Taisuke Hirooka SiC substrate and method of manufacturing the same
JP4593099B2 (ja) 2003-03-10 2010-12-08 学校法人関西学院 単結晶炭化ケイ素の液相エピタキシャル成長法及びそれに用いられる熱処理装置
US20060249073A1 (en) 2003-03-10 2006-11-09 The New Industry Research Organization Method of heat treatment and heat treatment apparatus
JP2004299018A (ja) 2003-03-31 2004-10-28 Japan Science & Technology Agency SiC単結晶基板等の研磨による超平滑結晶面形成方法
JP4480349B2 (ja) 2003-05-30 2010-06-16 株式会社ブリヂストン 炭化ケイ素単結晶の製造方法及び製造装置
JP2005051299A (ja) 2003-07-29 2005-02-24 Toshiba Corp パケット送信装置、パケット受信装置、パケット送信方法及びパケット受信方法
JP3761546B2 (ja) 2003-08-19 2006-03-29 株式会社Neomax SiC単結晶基板の製造方法
US20050059247A1 (en) 2003-09-16 2005-03-17 Matsushita Electric Industrial Co., Ltd. Method for manufacturing SiC substrate
US7018554B2 (en) 2003-09-22 2006-03-28 Cree, Inc. Method to reduce stacking fault nucleation sites and reduce forward voltage drift in bipolar devices
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
WO2005090515A1 (ja) 2004-03-24 2005-09-29 Meijo University 蛍光体および発光ダイオード
US20070290211A1 (en) 2004-03-26 2007-12-20 The Kansai Electric Power Co., Inc. Bipolar Semiconductor Device and Process for Producing the Same
WO2005099388A2 (en) 2004-04-08 2005-10-27 Ii-Vi Incorporated Chemical-mechanical polishing of sic surfaces using hydrogen peroixde or ozonated water solutions in combination with colloidal abrasive
JP4694144B2 (ja) 2004-05-14 2011-06-08 住友電気工業株式会社 SiC単結晶の成長方法およびそれにより成長したSiC単結晶
WO2005116307A1 (ja) 2004-05-27 2005-12-08 Bridgestone Corporation 炭化ケイ素単結晶ウェハの製造方法
EP1619276B1 (en) 2004-07-19 2017-01-11 Norstel AB Homoepitaxial growth of SiC on low off-axis SiC wafers
EP1790757B1 (en) 2004-07-22 2013-08-14 Toyo Tanso Co., Ltd. Susceptor
JP2007182330A (ja) 2004-08-24 2007-07-19 Bridgestone Corp 炭化ケイ素単結晶ウェハ及びその製造方法
JP4442366B2 (ja) 2004-08-27 2010-03-31 住友電気工業株式会社 エピタキシャルSiC膜とその製造方法およびSiC半導体デバイス
WO2006031641A2 (en) 2004-09-10 2006-03-23 Cree, Inc. Method of manufacturing carrier wafer and resulting carrier wafer structures
US7294324B2 (en) 2004-09-21 2007-11-13 Cree, Inc. Low basal plane dislocation bulk grown SiC wafers
US7314521B2 (en) 2004-10-04 2008-01-01 Cree, Inc. Low micropipe 100 mm silicon carbide wafer
US7314520B2 (en) 2004-10-04 2008-01-01 Cree, Inc. Low 1c screw dislocation 3 inch silicon carbide wafer
JP5068423B2 (ja) 2004-10-13 2012-11-07 新日本製鐵株式会社 炭化珪素単結晶インゴット、炭化珪素単結晶ウェハ及びその製造方法
JP2006120897A (ja) 2004-10-22 2006-05-11 Matsushita Electric Ind Co Ltd 炭化珪素素子及びその製造方法
US7300519B2 (en) 2004-11-17 2007-11-27 Cree, Inc. Reduction of subsurface damage in the production of bulk SiC crystals
US20060108325A1 (en) 2004-11-19 2006-05-25 Everson William J Polishing process for producing damage free surfaces on semi-insulating silicon carbide wafers
US7563321B2 (en) 2004-12-08 2009-07-21 Cree, Inc. Process for producing high quality large size silicon carbide crystals
EP1852527B1 (en) 2004-12-27 2015-04-01 Nippon Steel & Sumitomo Metal Corporation Silicon carbide single crystal and silicon carbide single crystal wafer
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7641736B2 (en) 2005-02-22 2010-01-05 Hitachi Metals, Ltd. Method of manufacturing SiC single crystal wafer
JP4613078B2 (ja) 2005-03-01 2011-01-12 学校法人 名城大学 半導体基板の製造方法
US7422634B2 (en) * 2005-04-07 2008-09-09 Cree, Inc. Three inch silicon carbide wafer with low warp, bow, and TTV
US8221549B2 (en) 2005-04-22 2012-07-17 Bridgestone Corporation Silicon carbide single crystal wafer and producing method thereof
DE102005024073A1 (de) 2005-05-25 2006-11-30 Siltronic Ag Halbleiter-Schichtstruktur und Verfahren zur Herstellung einer Halbleiter-Schichtstruktur
JP2007002268A (ja) 2005-06-21 2007-01-11 Plasma Ion Assist Co Ltd 研磨用部材の表面処理方法及びその物品
US7391058B2 (en) 2005-06-27 2008-06-24 General Electric Company Semiconductor devices and methods of making same
US7404858B2 (en) 2005-09-16 2008-07-29 Mississippi State University Method for epitaxial growth of silicon carbide
JP5228268B2 (ja) 2005-09-16 2013-07-03 コニカミノルタ株式会社 有機エレクトロルミネッセンス素子及び液晶表示装置
DE102005045339B4 (de) 2005-09-22 2009-04-02 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben
DE102005046707B3 (de) 2005-09-29 2007-05-03 Siced Electronics Development Gmbh & Co. Kg SiC-PN-Leistungsdiode
JP2007131504A (ja) 2005-11-14 2007-05-31 Shikusuon:Kk SiCエピタキシャルウエーハおよびそれを用いた半導体デバイス
JP4818754B2 (ja) 2006-03-01 2011-11-16 新日本製鐵株式会社 炭化珪素単結晶インゴットの製造方法
JP2008001537A (ja) 2006-06-20 2008-01-10 Toyota Motor Corp 炭化硅素単結晶の製造方法
JP2008001569A (ja) 2006-06-23 2008-01-10 Shin Etsu Chem Co Ltd 単結晶SiC及びその製造方法並びに単結晶SiCの製造装置
JP4946202B2 (ja) 2006-06-26 2012-06-06 日立金属株式会社 炭化珪素半導体エピタキシャル基板の製造方法。
US8980445B2 (en) 2006-07-06 2015-03-17 Cree, Inc. One hundred millimeter SiC crystal grown on off-axis seed
DE102006032455A1 (de) 2006-07-13 2008-04-10 Siltronic Ag Verfahren zum gleichzeitigen beidseitigen Schleifen mehrerer Halbleiterscheiben sowie Halbleierscheibe mit hervorragender Ebenheit
CN101490315A (zh) 2006-07-19 2009-07-22 陶氏康宁公司 生产具有改善的载流子寿命的基底的方法
JP4946264B2 (ja) 2006-08-23 2012-06-06 日立金属株式会社 炭化珪素半導体エピタキシャル基板の製造方法
JP2008053178A (ja) 2006-08-28 2008-03-06 Matsushita Electric Works Ltd 無電極放電灯装置及び照明器具
EP1901345A1 (en) 2006-08-30 2008-03-19 Siltronic AG Multilayered semiconductor wafer and process for manufacturing the same
WO2008033994A1 (en) 2006-09-14 2008-03-20 Cree, Inc. Micropipe-free silicon carbide and related method of manufacture
JP4937685B2 (ja) 2006-09-21 2012-05-23 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板及びその製造方法
JP5577095B2 (ja) 2006-09-27 2014-08-20 トゥー‐シックス・インコーポレイテッド SiCのPVT結晶成長方法
JP4844330B2 (ja) 2006-10-03 2011-12-28 富士電機株式会社 炭化珪素半導体装置の製造方法および炭化珪素半導体装置
JP4022569B1 (ja) 2006-10-20 2007-12-19 三菱電機株式会社 ウエハ製造方法
JP4842094B2 (ja) 2006-11-02 2011-12-21 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板の製造方法
US7449065B1 (en) 2006-12-02 2008-11-11 Ohio Aerospace Institute Method for the growth of large low-defect single crystals
JP5509520B2 (ja) 2006-12-21 2014-06-04 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100845946B1 (ko) 2007-01-10 2008-07-11 동의대학교 산학협력단 SiC 단결정 성장방법
JP4862896B2 (ja) 2007-01-31 2012-01-25 信越半導体株式会社 シリコンウエーハの面取り装置およびシリコンウエーハの製造方法ならびにエッチドシリコンウエーハ
US7399217B1 (en) 2007-02-05 2008-07-15 P.R. Hoffman Machine Products Lapping machine
JP4964672B2 (ja) 2007-05-23 2012-07-04 新日本製鐵株式会社 低抵抗率炭化珪素単結晶基板
JP2008311541A (ja) 2007-06-18 2008-12-25 Fuji Electric Device Technology Co Ltd 炭化珪素半導体基板の製造方法
EP2171134B1 (en) 2007-06-27 2016-10-19 II-VI Incorporated Fabrication of sic substrates with low warp and bow
WO2009013914A1 (ja) 2007-07-26 2009-01-29 Ecotron Co., Ltd. SiCエピタキシャル基板およびその製造方法
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
US8293623B2 (en) 2007-09-12 2012-10-23 Showa Denko K.K. Epitaxial SiC single crystal substrate and method of manufacture of epitaxial SiC single crystal substrate
JP2009088223A (ja) 2007-09-28 2009-04-23 Hitachi Cable Ltd 炭化珪素半導体基板およびそれを用いた炭化珪素半導体装置
US8652255B2 (en) 2007-10-12 2014-02-18 The United States Of America, As Represented By The Secretary Of The Navy Method of producing epitaxial layers with low basal plane dislocation concentrations
JP4732423B2 (ja) 2007-11-13 2011-07-27 株式会社デンソー 炭化珪素半導体装置の製造方法
JP2009130266A (ja) 2007-11-27 2009-06-11 Toshiba Corp 半導体基板および半導体装置、半導体装置の製造方法
JP5504597B2 (ja) 2007-12-11 2014-05-28 住友電気工業株式会社 炭化ケイ素半導体装置およびその製造方法
JP5524855B2 (ja) 2007-12-12 2014-06-18 ダウ コーニング コーポレーション 昇華/凝縮プロセスにより炭化ケイ素の大きな均一のインゴットを製造するための方法
JP2009149481A (ja) 2007-12-21 2009-07-09 Siltronic Ag 半導体基板の製造方法
DE102008006745B3 (de) 2008-01-30 2009-10-08 Siltronic Ag Verfahren zur Herstellung einer Halbleiterstruktur
JP2009182126A (ja) 2008-01-30 2009-08-13 Sumitomo Electric Ind Ltd 化合物半導体基板の加工方法および化合物半導体基板
US8221546B2 (en) 2008-03-26 2012-07-17 Ss Sc Ip, Llc Epitaxial growth on low degree off-axis SiC substrates and semiconductor devices made thereby
JP2009256146A (ja) 2008-04-18 2009-11-05 U-Vix Corp 光学ガラスの薄板加工方法
JP5458509B2 (ja) 2008-06-04 2014-04-02 日立金属株式会社 炭化珪素半導体基板
JP5233479B2 (ja) 2008-07-30 2013-07-10 東レ株式会社 研磨パッド
JP2010045279A (ja) 2008-08-18 2010-02-25 Nippon Steel Corp 半導体基板の両面研磨方法
JP2010089983A (ja) 2008-10-07 2010-04-22 Ecotron:Kk SiC単結晶の形成方法
CN101724344A (zh) 2008-10-14 2010-06-09 周海 碳化硅基片的抛光液
JP2010095397A (ja) 2008-10-15 2010-04-30 Nippon Steel Corp 炭化珪素単結晶及び炭化珪素単結晶ウェハ
US8536582B2 (en) 2008-12-01 2013-09-17 Cree, Inc. Stable power devices on low-angle off-cut silicon carbide crystals
JP4719314B2 (ja) 2009-01-30 2011-07-06 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板及びその製造方法
JP5516424B2 (ja) 2009-02-04 2014-06-11 日立金属株式会社 エピタキシャル成長用炭化珪素単結晶基板の製造方法
US20100216373A1 (en) 2009-02-25 2010-08-26 Araca, Inc. Method for cmp uniformity control
JP4547031B2 (ja) 2009-03-06 2010-09-22 新日本製鐵株式会社 炭化珪素単結晶製造用坩堝、並びに炭化珪素単結晶の製造装置及び製造方法
US10294584B2 (en) 2009-03-26 2019-05-21 Ii-Vi Incorporated SiC single crystal sublimation growth method and apparatus
JP5244007B2 (ja) 2009-03-26 2013-07-24 国立大学法人名古屋大学 3C−SiC単結晶の製造方法
JP5406279B2 (ja) 2009-03-26 2014-02-05 キヤノンアネルバ株式会社 基板処理方法および結晶性炭化ケイ素(SiC)基板の製造方法
DE102009016132B4 (de) 2009-04-03 2012-12-27 Sicrystal Ag Verfahren zur Herstellung eines langen Volumeneinkristalls aus SiC oder AlN und langer Volumeneinkristall aus SiC oder AlN
JP5501654B2 (ja) 2009-04-24 2014-05-28 新日鐵住金株式会社 炭化珪素単結晶基板、及びその製造方法
JP5453899B2 (ja) 2009-04-24 2014-03-26 新日鐵住金株式会社 炭化珪素単結晶基板の製造方法、及び炭化珪素単結晶基板
EP2432001A4 (en) 2009-05-11 2012-11-21 Sumitomo Electric Industries METHOD FOR PRODUCING A SEMICONDUCTOR SUBSTRATE
JP5564311B2 (ja) 2009-05-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板の製造方法
US8044408B2 (en) 2009-05-20 2011-10-25 Nippon Steel Corporation SiC single-crystal substrate and method of producing SiC single-crystal substrate
JP5146418B2 (ja) 2009-07-13 2013-02-20 新日鐵住金株式会社 炭化珪素単結晶製造用坩堝及び炭化珪素単結晶の製造方法
CN102597337A (zh) 2009-08-27 2012-07-18 住友金属工业株式会社 SiC 单晶晶片及其制造方法
JP2013504513A (ja) 2009-09-15 2013-02-07 トゥー‐シックス・インコーポレイテッド SiC単結晶の昇華成長方法
CN102549715A (zh) 2009-09-24 2012-07-04 住友电气工业株式会社 碳化硅晶锭、碳化硅衬底及其制造方法、坩锅以及半导体衬底
EP2484815B1 (en) 2009-09-29 2014-12-24 Fuji Electric Co., Ltd. METHOD FOR PRODUCING SiC SINGLE CRYSTAL
JP5446681B2 (ja) 2009-09-30 2014-03-19 富士電機株式会社 SiC単結晶製造方法
KR20120022932A (ko) 2009-10-13 2012-03-12 스미토모덴키고교가부시키가이샤 탄화규소 기판의 제조 방법, 탄화규소 기판 및 반도체 장치
MX2012006043A (es) 2009-11-24 2012-11-16 Univ Columbia Sistemas y metodos para la solidificacion lateral secuencial de impulso no periodico.
JP4827963B2 (ja) 2009-12-11 2011-11-30 国立大学法人九州大学 炭化珪素の研磨液及びその研磨方法
JP4887418B2 (ja) 2009-12-14 2012-02-29 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
CN102107391B (zh) 2009-12-24 2014-01-15 北京天科合达蓝光半导体有限公司 一种SiC单晶晶片的加工方法
US8165706B2 (en) * 2009-12-29 2012-04-24 Memc Electronic Materials, Inc. Methods for generating representations of flatness defects on wafers
US9059193B2 (en) 2010-01-08 2015-06-16 Mitsubishi Electric Corporation Epitaxial wafer and semiconductor element
KR20120124352A (ko) 2010-02-05 2012-11-13 스미토모덴키고교가부시키가이샤 탄화규소 기판의 제조 방법
PL234396B1 (pl) 2010-04-01 2020-02-28 Instytut Tech Materialow Elektronicznych Sposób wytwarzania kryształów, zwłaszcza węglika krzemu, z fazy gazowej
JP5304713B2 (ja) 2010-04-07 2013-10-02 新日鐵住金株式会社 炭化珪素単結晶基板、炭化珪素エピタキシャルウェハ、及び薄膜エピタキシャルウェハ
JP4850960B2 (ja) 2010-04-07 2012-01-11 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板の製造方法
CN102859654B (zh) 2010-05-10 2016-01-13 三菱电机株式会社 碳化硅外延晶片及其制造方法、外延生长用碳化硅块状衬底及其制造方法
JP4880052B2 (ja) 2010-05-11 2012-02-22 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板及びその製造方法
JP2011243619A (ja) 2010-05-14 2011-12-01 Sumitomo Electric Ind Ltd 炭化珪素基板の製造方法、半導体装置の製造方法、炭化珪素基板および半導体装置
JP2011243770A (ja) 2010-05-19 2011-12-01 Sumitomo Electric Ind Ltd 炭化珪素基板、半導体装置、炭化珪素基板の製造方法
JP5236687B2 (ja) 2010-05-26 2013-07-17 兵庫県 表面処理方法及び表面処理装置
US8445386B2 (en) 2010-05-27 2013-05-21 Cree, Inc. Smoothing method for semiconductor material and wafers produced by same
JP2012004269A (ja) 2010-06-16 2012-01-05 Sumitomo Electric Ind Ltd 炭化珪素半導体装置の製造方法および炭化珪素半導体装置の製造装置
JP2012004494A (ja) 2010-06-21 2012-01-05 Sumitomo Electric Ind Ltd 炭化珪素基板の製造方法および製造装置
JP2012028565A (ja) 2010-07-23 2012-02-09 Kansai Electric Power Co Inc:The バイポーラ半導体素子の製造方法およびバイポーラ半導体素子
JP5839315B2 (ja) 2010-07-30 2016-01-06 株式会社デンソー 炭化珪素単結晶およびその製造方法
JP5698043B2 (ja) 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US8916880B2 (en) 2010-08-24 2014-12-23 Mitsubishi Electric Corporation Silicon carbide epitaxial wafer and semiconductor device
JP5276068B2 (ja) 2010-08-26 2013-08-28 株式会社豊田中央研究所 SiC単結晶の製造方法
CN103228827B (zh) 2010-11-17 2015-01-21 新日铁住金株式会社 外延碳化硅单晶基板的制造方法
JP2012114210A (ja) 2010-11-24 2012-06-14 Sumitomo Electric Ind Ltd 炭化珪素半導体装置の製造方法および炭化珪素半導体装置の製造装置
CN102569055B (zh) 2010-12-14 2014-05-21 北京天科合达蓝光半导体有限公司 一种碳化硅单晶晶片表面及平整度的调整方法
JP5445694B2 (ja) 2011-04-21 2014-03-19 新日鐵住金株式会社 エピタキシャル炭化珪素単結晶基板の製造方法
JP5958949B2 (ja) 2011-05-26 2016-08-02 一般財団法人電力中央研究所 炭化珪素基板、炭化珪素ウェハ、炭化珪素ウェハの製造方法及び炭化珪素半導体素子
JP2013014469A (ja) 2011-07-04 2013-01-24 Panasonic Corp SiCエピタキシャル基板およびその製造方法
WO2013011751A1 (ja) 2011-07-20 2013-01-24 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
JP6011340B2 (ja) 2011-08-05 2016-10-19 住友電気工業株式会社 基板、半導体装置およびこれらの製造方法
JP5316612B2 (ja) 2011-08-09 2013-10-16 日立金属株式会社 炭化珪素半導体エピタキシャル基板の製造方法
JP5696630B2 (ja) 2011-09-21 2015-04-08 住友電気工業株式会社 炭化珪素基板およびその製造方法
JP5076020B2 (ja) 2011-10-25 2012-11-21 昭和電工株式会社 SiCエピタキシャルウェハ
KR101971597B1 (ko) 2011-10-26 2019-04-24 엘지이노텍 주식회사 웨이퍼 및 박막 제조 방법
US8889439B2 (en) 2012-08-24 2014-11-18 Tsmc Solid State Lighting Ltd. Method and apparatus for packaging phosphor-coated LEDs
US20140054609A1 (en) 2012-08-26 2014-02-27 Cree, Inc. Large high-quality epitaxial wafers
US8860040B2 (en) 2012-09-11 2014-10-14 Dow Corning Corporation High voltage power semiconductor devices on SiC

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291690A (ja) * 2000-01-31 2001-10-19 Shin Etsu Handotai Co Ltd 研磨装置及び方法
JP2009081186A (ja) * 2007-09-25 2009-04-16 Sumco Techxiv株式会社 半導体ウェハの製造方法
WO2010119792A1 (ja) * 2009-04-15 2010-10-21 住友電気工業株式会社 基板、薄膜付き基板、半導体装置、および半導体装置の製造方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022031674A (ja) * 2017-05-19 2022-02-22 住友電気工業株式会社 炭化珪素基板および炭化珪素エピタキシャル基板
JP7120427B2 (ja) 2017-05-19 2022-08-17 住友電気工業株式会社 炭化珪素基板および炭化珪素エピタキシャル基板
JP2020524908A (ja) * 2017-06-21 2020-08-20 ジルトロニック アクチエンゲゼルシャフトSiltronic AG 半導体ウェハを処理するための方法、制御システムおよびプラント、ならびに半導体ウェハ
JP7038146B2 (ja) 2017-06-21 2022-03-17 ジルトロニック アクチエンゲゼルシャフト 半導体ウェハを処理するための方法、制御システムおよびプラント、ならびに半導体ウェハ
JP7166802B2 (ja) 2017-06-22 2022-11-08 ショット アクチエンゲゼルシャフト 部材、特に電子部材とガラス材料またはガラスセラミック材料との接合体
JP2019006671A (ja) * 2017-06-22 2019-01-17 ショット アクチエンゲゼルシャフトSchott AG 部材、特に電子部材とガラス材料またはガラスセラミック材料との接合体
WO2019146336A1 (ja) * 2018-01-24 2019-08-01 昭和電工株式会社 単結晶4H-SiC成長用種結晶及びその加工方法
JP2019127415A (ja) * 2018-01-24 2019-08-01 昭和電工株式会社 単結晶4H−SiC成長用種結晶及びその加工方法
US11781244B2 (en) 2018-01-24 2023-10-10 Resonac Corporation Seed crystal for single crystal 4H—SiC growth and method for processing the same
CN112424402A (zh) * 2018-07-20 2021-02-26 株式会社电装 包含碳化硅晶片的半导体晶片以及SiC半导体装置的制造方法
JP7135531B2 (ja) 2018-07-20 2022-09-13 株式会社デンソー 炭化珪素半導体装置の製造方法
JP2020011878A (ja) * 2018-07-20 2020-01-23 株式会社デンソー 炭化珪素ウェハを含む半導体ウェハおよびSiC半導体装置の製造方法
CN112424402B (zh) * 2018-07-20 2023-02-03 株式会社电装 包含碳化硅晶片的半导体晶片以及SiC半导体装置的制造方法
WO2020017601A1 (ja) * 2018-07-20 2020-01-23 株式会社デンソー 炭化珪素ウェハを含む半導体ウェハおよびSiC半導体装置の製造方法
JP2021503170A (ja) * 2018-10-16 2021-02-04 山▲東▼天岳先▲進▼科技股▲フン▼有限公司 高平坦性、低ダメージの大きな直径の単結晶炭化ケイ素基板及びその製造方法
WO2020250678A1 (ja) * 2019-06-13 2020-12-17 住友電気工業株式会社 炭化珪素基板および炭化珪素基板の製造方法
US11866846B2 (en) 2022-05-31 2024-01-09 Resonac Corporation SiC substrate and SiC epitaxial wafer

Also Published As

Publication number Publication date
EP2912681A1 (en) 2015-09-02
US9018639B2 (en) 2015-04-28
US20140117380A1 (en) 2014-05-01
US20150194319A1 (en) 2015-07-09
EP2912681B1 (en) 2016-10-26
CN104813439A (zh) 2015-07-29
US9165779B2 (en) 2015-10-20
CN104813439B (zh) 2017-04-12
KR20150074176A (ko) 2015-07-01
WO2014065949A1 (en) 2014-05-01

Similar Documents

Publication Publication Date Title
US9165779B2 (en) Flat SiC semiconductor substrate
CN105755534B (zh) 衬底、半导体器件及其制造方法
CN110079862B (zh) 碳化硅单晶衬底、碳化硅外延衬底及它们的制造方法
JP4321595B2 (ja) Iii−v族化合物半導体基板の製造方法
US9396945B2 (en) Method for producing SiC substrate
JP6960866B2 (ja) 単結晶4H−SiC成長用種結晶及びその加工方法
JP2008103650A (ja) SiC単結晶基板の製造方法、及びSiC単結晶基板
Huo et al. Nanogrinding of SiC wafers with high flatness and low subsurface damage
JP2016139751A (ja) サファイア基板の研磨方法及び得られるサファイア基板
JP6232853B2 (ja) Iii族窒化物複合基板およびその製造方法、積層iii族窒化物複合基板、ならびにiii族窒化物半導体デバイスおよびその製造方法
JP6579889B2 (ja) 炭化珪素単結晶基板の製造方法
JP2022092155A (ja) SiC多結晶基板の製造方法
JP2010021394A (ja) 半導体ウェーハの製造方法
KR101752986B1 (ko) SiC 기판의 제조 방법
JP6126833B2 (ja) SiC基板の製造方法
JP2016052991A (ja) 炭化珪素単結晶基板、炭化珪素エピタキシャル基板およびこれらの製造方法
US20130149941A1 (en) Method Of Machining Semiconductor Substrate And Apparatus For Machining Semiconductor Substrate
JP6248395B2 (ja) Iii族窒化物複合基板およびその製造方法、積層iii族窒化物複合基板、ならびにiii族窒化物半導体デバイスおよびその製造方法
JP2014213403A (ja) 基板の反りの低減方法、基板の製造方法、サファイア基板
JP6032087B2 (ja) 第13族窒化物結晶基板の製造方法
JP2009051678A (ja) サファイア基板の製造方法
JP2010153844A (ja) 活性層用ウェーハの製造方法
JP5966524B2 (ja) 第13族窒化物結晶基板の製造方法
JP2013120795A (ja) 窒化物半導体基板の製造方法
CN116949577A (zh) 一种高精度大尺寸氮化镓单晶衬底的加工方法

Legal Events

Date Code Title Description
A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160126

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160510

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20170206

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170209