CN104813439A - 平坦的SiC半导体基板 - Google Patents

平坦的SiC半导体基板 Download PDF

Info

Publication number
CN104813439A
CN104813439A CN201380060888.1A CN201380060888A CN104813439A CN 104813439 A CN104813439 A CN 104813439A CN 201380060888 A CN201380060888 A CN 201380060888A CN 104813439 A CN104813439 A CN 104813439A
Authority
CN
China
Prior art keywords
wafer
polishing
substrate
sic
front surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380060888.1A
Other languages
English (en)
Other versions
CN104813439B (zh
Inventor
M·罗伯达
克里斯多佛·帕菲纽克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sk silicon Derong Co.,Ltd.
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of CN104813439A publication Critical patent/CN104813439A/zh
Application granted granted Critical
Publication of CN104813439B publication Critical patent/CN104813439B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/28Work carriers for double side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B9/00Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor
    • B24B9/02Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground
    • B24B9/06Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground of non-metallic inorganic material, e.g. stone, ceramics, porcelain
    • B24B9/065Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground of non-metallic inorganic material, e.g. stone, ceramics, porcelain of thin, brittle parts, e.g. semiconductors, wafers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02021Edge treatment, chamfering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02024Mirror polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02035Shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明涉及用于制造具有优异的弯曲度、翘曲度、总厚度变化(TTV)、局部厚度变化(LTV)和部位正面最小二乘焦平面范围(SFQR)技术规格的碳化硅晶片的方法。所得的SiC晶片具有适合SiC的外延沉积的镜状表面。在增添所述外延层后,所述弯曲度、翘曲度、总厚度变化(TTV)、局部厚度变化(LTV)和部位正面最小二乘焦平面范围(SFQR)技术规格得以保持。

Description

平坦的SiC半导体基板
相关专利申请的交叉引用
本申请要求2012年10月26日提交的美国临时专利申请No.61/719,310和2013年8月6日提交的美国专利申请No.13/959,896的权益和优先权,这两份专利申请的名称均为“FLAT SiC SEMICONDUCTORSUBSTRATE”(平坦的SiC半导体基板),它们的全部公开内容据此以引用方式并入本文。
背景技术
1.技术领域
本公开涉及半导体晶片的制造,更具体地讲,涉及由碳化硅制成的半导体晶片。
2.相关技术
半导体芯片行业很大程度上的成功归因于硅的天然性质。这些性质包括易于生长自然氧化物(SiO2)、其自然氧化物优异的绝缘性以及相对容易制造硅晶片和硅晶片内的器件。例如,硅及其自然氧化物易于用湿法或干法等离子体蚀刻方法蚀刻。因此,已开发了用于制造高纯(99.9999999%纯)单晶和相对大的(300mm,同时450mm的制备正在进行中)硅晶片的许多方法。硅晶片是用于制造供计算和功率电子器件用的芯片的主要材料。
其他材料比如蓝宝石、GaN(氮化镓)、AlN(氮化铝)和SiC(碳化硅)表现出可用于制造半导体器件诸如检测器、光发射器和功率器件的性质;然而,迄今为止,它们在主流制造中的应用因制造困难而受阻。一般来讲,当加工这些其他半导体材料时,无法采用标准硅方法。例如,虽然纯单晶硅可容易地用柴氏长晶法生长,但是这样的生长方法无法用于生长SiC。相反,必须采用高温升华方法。相似地,由于SiC无法容易地蚀刻,因此无法轻易地采用标准硅晶片切割技术来进行SiC晶片切割。
在另一方面,高温/高压半导体电子器件可受益于SiC的天然性质。例如,将SiC用于超快、高压肖特基二极管,MOSFET和大功率开关用高温晶闸管,以及大功率LED。因此,增加SiC的可用性可有助于开发此类半导体器件。例如,100mm SiC晶片的当前制造远远落后于标准300mm硅晶片。
此外,在单晶碳化硅中,无法通过扩散而可靠地形成晶体管和二极管中的复杂掺杂分布形状。复杂几何掺杂构型必须使用离子注入通过用步进型光刻方法形成的微米/亚微米几何掩模来实现。为了实现在半导体内的目标掺杂剂掺入所需的注入,必须将平坦的基板用于光刻过程,特别是随着器件的几何尺寸增大时。
一般来讲,半导体基板的特征在于评估平坦度和粗糙度时的若干指标。这些指标包括弯曲度、翘曲度、总厚度变化(TTV或全局背面指示读数-GBIR)、局部厚度变化(LTV或部位后表面基准理想平面/范围-SBIR)和部位正面最小二乘焦平面范围(部位平坦度质量要求-SFQR)。(有关定义和计算,参见例如SEMI M1-1103、ASTM F657、ASTM F1390、ASTM F1530)。
除了为平坦的以外,基板在表面上还必须为光滑的且无机械损伤。该要求是强制性的,作为器件制造方法的一部分,基板将被置于用来生长晶体薄膜的化学气相沉积外延过程中。随着薄膜的生长,其复制在基板表面上呈现的晶体结构。因此,在基板表面上过高的粗糙度和机械损伤将会导致不良的膜质量。
制备平坦和光滑的基板最常用的方法涉及一系列按顺序的切割步骤以逐渐实现高水平的平坦度和低粗糙度。每个抛光步骤使用越来越小的磨料颗粒将表面粗糙度降低到目标值。选择具有经策略性选择的机械性质的抛光垫,以控制会影响基板的最终波纹度的“平整化长度”,并实现局部平坦度目标。
例如,在硅基板加工中,对晶片进行切片,然后用研磨或磨削方法处理以使得基板的每个面平行,从而实现全局平坦度。但是,这些方法导致对基板表面大量的机械损伤,并可导致基板弯曲度或翘曲度的增加。为了移除损伤,通常将硅晶片浸入用来蚀刻表面损伤的化学溶液中。该方法(通常称为锯伤移除)可使得基板表面的波纹度极高,并且必须应用后续平坦化方法。接下来,为了将基板带到目标厚度范围,应用一系列称为坯料移除(stock removal)的工序。在硅加工中,坯料移除包括一个或若干个使用化学机械抛光方法的抛光步骤,这些方法用于将基板的厚度有效降低到接近目标厚度并降低波纹度。接下来,用更细磨料的化学机械抛光方法、长平整化长度抛光垫并以小移除目标值进一步抛光晶片,以便实现所需的平坦度和粗糙度技术规格。虽然存在许多步骤,但从研磨/磨削到完成的过程持续时间仅为几个小时,并且其可得到具有满足光刻目标而需要的平坦度和粗糙度的硅晶片,甚至在将CVD(化学气相沉积)外延层施加到基板上之后也是如此。
若干出版物描述了抛光硅晶片以实现对全局和局部平坦度的同时控制的方法。用于硅晶片的这些方法之中最常见的是一系列切片、边缘倒角、研磨或磨削、蚀刻、抛光,其中抛光步骤是单面或双面抛光-或按顺序使用的这两种类型。双面抛光在US3691694中有所描述。US 6583050详细描述了用于实现对硅晶片平坦度的控制的采用双面抛光的方法。
然而,由于其作为硬质和耐化学性材料的性质,单晶SiC的切割、研磨和抛光方法诉诸于使用金刚石和金属碳化物磨料来进行基板的初步成形。SiC的化学性质使得对基板进行蚀刻以移除粗切割相关表面损伤是不切实际的。当对硅晶片进行抛光时,使用化学机械抛光方法,并且材料的移除非常有效,因为抛光化学物质可同时氧化蚀刻和磨蚀晶片。对SiC采用化学增强机械抛光是不切实际的,原因是化学反应速率非常慢并且与方法相关的成本变得非常高。
加工SiC所需的金刚石磨料与用于抛光硅基板的标准磨料相比非常贵。SiC抛光时间相当长,甚至用金刚石磨料也是如此-SiC的材料移除速率是相应的硅基板过程的1/5至1/20。实际上,SiC是用于切割和抛光硅晶片的磨料。低效的移除速率和昂贵的磨料使得用该方法抛光SiC的成本极高,特别是在将制备平坦晶片的常规策略应用于SiC时。
为了满足可接受SiC半导体器件的商业和经济要求,必须开发抛光SiC基板的创新方法。必须实现以最低程度的磨料使用和最少的中间步骤切割和抛光SiC基板的有效方法。抛光过程的持续时间必须对于高产量制造而言切实可行。抛光策略的总体性能还必须实现通常将通过比如用于硅抛光中的批量化学蚀刻工序所获得的晶片表面晶体质量效益(光滑且无损伤)。最后,抛光的基板必须适于外延方法,并且具有外延层的最终基板必须满足与制造电气设备所需的光刻步骤相关的平坦度要求。
US 8436366描述了将实现在外延和器件制造期间对晶片全局平坦度的控制的制备SiC晶片的方法。该方法由以下步骤组成:SiC晶片切片,然后双面磨削以调节平坦度,用金刚石浆液双面研磨以减小粗糙度,用金刚石浆液双面机械抛光以进一步减小粗糙度,以及单面化学机械抛光。该方法叙述了目标在于控制晶片的弯曲度和翘曲度的步骤顺序,但并未详细描述影响晶片平坦度参数的步骤之间的相互影响,或每个步骤对最终晶片形状的影响,只是举出双面加工为关键的要素。该方法并未公开控制局部平坦度指标或局部平坦度/厚度指标所产生的性能的手段。通过包括许多不同材料的移除步骤,结果将是高昂的制造成本。该抛光的晶片被设计为具有平坦度性能偏差,以补偿在半导体器件制造步骤中可能发生的不期望的晶片弯曲。
本发明出人意料的结果在于可以使用简单的方法以良好的全局和局部平坦度以及厚度抛光碳化硅晶片。该方法需要研磨或按顺序的两面磨削步骤以确立低全局和局部平坦度值并设定厚度,且需要双面抛光步骤以将粗糙度降低到可接受的值。本发明的关键特征是使用大直径的研磨和抛光设备同时地控制和维持局部和全局平坦度。不需要晶片蚀刻即可实现平坦度或厚度控制,也无需使用蚀刻来移除机械损伤。在本发明的抛光晶片上实现的全局和局部平坦度性能均可在用于将均方根粗糙度降低到较小的值的化学机械抛光步骤之后,或者在执行气相蚀刻并将SiC外延膜层施加到抛光晶片的表面上之后得以维持。作为抛光晶片制造策略的结果,最终的外延晶片具有有利的全局和局部平坦度性能,这将产生更佳的实用性以制造具有大有效面积的半导体器件。
发明内容
列入了以下发明内容以提供本发明的一些方面和特征的基本理解。该发明内容不是本发明的详细综述,因此并非旨在特别指出本发明的关键或重要因素或划定本发明的范围。其唯一目的是以简化形式提出本发明的一些概念,作为下述更详细描述的前序。
多种实施例提供用于有效抛光SiC晶片以实现无机械损伤的表面以及施加外延层且同时得到平坦度方面的优异性能的方法。不受任何理论的约束,一些本发明所公开的方法以下列发现为基础:来自用在SiC上的磨料的抛光损伤的蔓延遵循独特的行为。已发现,涉及不同磨料、中间过程目标和具有较大直径的研磨/抛光台的批加工设备的SiC晶片抛光方法的策略性整合实现了符合成本效率的方法,其满足适于在功率半导体行业中销售产品的方法持续时间和质量目标。
为了在SiC中制造大面积(>0.75cm2)功率半导体器件,基板必须在施加外延层之后在局部和全局水平上均平坦,因为CVD外延可使相对于抛光基板的厚度变化或平坦度劣化。抛光后的基板平坦度取决于若干制造步骤(切片/研磨或磨削/抛光)的复杂相互作用。另据发现,通过明智地选择相互依赖的步骤以使用正确选择的抛光设备和磨料实现关键性平坦度和粗糙度目标,可以获得协同的结果。
根据本发明的多个方面,提供了一种用于制造单晶SiC晶片的方法,该方法包括以下步骤:(i)将单晶SiC的铸锭切成多个晶片,其中每个晶片同时满足小于10μm的总厚度变化和小于35μm的翘曲度;(ii)对步骤(i)的晶片的每一个的周缘进行倒角;(iii)对步骤(ii)的晶片的每一个的前表面和后表面进行研磨;以及(iv)对得自步骤(iii)的每个晶片进行双面抛光;从而制造基于一平方厘米的部位大小具有0.1至5μm的总厚度变化、0.1与35μm之间的翘曲度、0.1至1.5μm的局部厚度变化和0.01至0.3μm的部位正面最小二乘焦平面范围SFQR的晶片。US8436366并未公开将在抛光晶片或具有外延层的抛光晶片上确立低全局和局部厚度变化值和平坦度的方法。
根据其他方面,提供了一种具有后表面和针对外延沉积进行了调节的前表面的抛光SiC晶片,其中前表面具有<的均方根粗糙度Rq。由于双面抛光方法的性质,后表面具有类似的均方根粗糙度值。另外,基于一平方厘米的部位大小,晶片具有0.1至5μm的总厚度变化(TTV)和0.1至1.5μm的局部厚度变化(LTV)。此外,基于一平方厘米的部位大小,晶片表现出0.1与35μm之间的翘曲度和0.01至0.3μm的部位正面最小二乘焦平面范围。当将抛光后的晶片用于在其前表面上进行SiC层的外延沉积时,晶片保持良好的全局和局部平坦度和厚度性能。
在一个方面,提供了一种基板,其包括具有后表面和前表面的抛光碳化硅晶片,前表面针对外延沉积进行了调节,其中基于一平方厘米的部位大小该抛光碳化硅晶片具有0.1至1.5μm的局部厚度变化(LTV)和0.01至0.3μm的部位正面最小二乘焦平面范围(SFQR)。
在该方面的一个实施例中,前表面具有<的均方根粗糙度Rq。
在该方面的另一个实施例中,基板具有0.1至5μm范围内的总厚度变化(TTV)。
在该方面的另一个实施例中,基板还具有0.1至35μm的翘曲度。
在另一个方面,本文提供了一种包括后表面和前表面的单晶碳化硅基板,前表面具有在其上生长的SiC的外延层,该具有外延层的基板基于一平方厘米的部位大小表现出0.1至1.8μm的局部厚度变化(LTV)和0.01至0.45μm的部位正面最小二乘焦平面范围(SFQR)。
在该方面的一个实施例中,该具有外延层的基板还同时表现出0.1至6μm的总厚度变化(TTV)和0.1至40μm的翘曲度。
在该方面的另一个实施例中,前表面的均方根粗糙度值Rq在2.0×2.0μm的部位大小上测量时小于2nm。
在该方面的另一个实施例中,基于一平方厘米的部位大小该基板同时表现出0.1至5μm的总厚度变化(TTV)、0.1至35μm的翘曲度、0.1至1.5μm的局部厚度变化(LTV)和0.01至0.3μm的部位正面最小二乘焦平面范围(SFQR)。
在另一个方面,本文提供了一种用于制造单晶碳化硅晶片的方法,该方法包括:(i)将单晶硅的铸锭切成多个晶片;(ii)对步骤(i)的晶片的每一个的周缘进行倒角;(iii)从步骤(ii)的晶片的每一个的前表面和后表面执行锯伤移除;以及(iv)对步骤(iii)的每个晶片的两个表面同时抛光;从而制造基于一平方厘米的部位大小具有0.1至1.5μm的局部厚度变化(LTV)和0.01至0.3μm的部位正面最小二乘焦平面范围(SFQR)的晶片。
在该方面的一个实施例中,在步骤(i)中,每个晶片同时满足小于10μm的总厚度变化(TTV)和小于35μm的翘曲度。
在该方面的另一个实施例中,从而制造基于一平方厘米的部位大小具有0.1至5μm的总厚度变化(TTV)、0.1至35μm的翘曲度和0.1至1.5μm的局部厚度变化(LTV)的晶片。
在该方面的另一个实施例中,使用具有直径为晶片直径的至少三倍的表面的研磨工具执行锯伤移除步骤。
在该方面的另一个实施例中,在台面直径为晶片直径的至少三倍的双面研磨机上研磨基板切片。
在该方面的另一个实施例中,其中在切片后,使用单晶片、金刚石砂轮磨床一次一侧地加工基板。
在该方面的另一个实施例中,使用具有直径为晶片直径的至少三倍的表面的研磨工具执行抛光步骤。
在该方面的另一个实施例中,通过将晶片置于不锈钢载具中执行抛光步骤。
在该方面的另一个实施例中,执行抛光步骤以便移除在锯伤移除步骤中移除的那样多的SiC的25%。
在该方面的另一个实施例中,该方法还包括向前表面施加化学增强机械抛光。
在该方面的另一个实施例中,使用直径为晶片直径的三倍以上的抛光机执行施加化学增强机械抛光的步骤。
在该方面的另一个实施例中,该方法还包括在CVD室中使用包含氢气和/或氯气的气体混合物在高于1300℃的温度下蚀刻晶片的前表面。
在该方面的另一个实施例中,该方法还包括用化学增强机械抛光和/或高温气相蚀刻处理前表面,之后在前表面上沉积SiC的外延层。
在该方面的另一个实施例中,执行锯伤移除步骤以便从每个晶片移除60至85μm的SiC材料,并且执行抛光步骤以便移除在锯伤移除步骤中移除的SiC材料量的四分之一。
附图说明
并入并构成本说明书一部分的附图举例说明了本发明的实施例,并且与文字描述一起用于解释和说明本发明的原理。附图旨在以图解的方式说明示例性实施例的主要特征。附图并非旨在示出实际实施例的每个特征,也非示出所示元件的相对维度,并且未按比例绘制。
在类似附图标记指代本说明书中的类似特征的附图中:
图1是根据本发明的一个实施例示出了制造SiC晶体的方法的一般步骤的流程图。
具体实施方式
应当理解本发明不限于本文所述的具体方法、方案等,因此可以有差别。本文所用的术语仅出于描述具体实施例的目的,并非旨在限制本发明的范围,本发明的范围仅由权利要求书限定。
如本文和权利要求书中所用,单数形式包括复数引用,反之亦然,除非上下文另外明确指出。除操作实例中或另外指出的地方中以外,本文所用的表示数量的所有数字在所有情况下均应当理解为被术语“约”修饰。
所指出的所有出版物均明确地以引用的方式并入本文,以便描述和公开例如可能结合本发明使用的此类出版物中所述的方法。这些出版物仅提供它们在本申请提交日之前的公开内容。不应将这一点视为承认本发明人无权由于在先发明或任何其他原因而将此类公开内容提前。关于日期的所有声明或关于这些文献内容的表述都是基于申请人可以得到的信息,而不构成对这些文献的日期或内容正确性的任何承认。
除非另外指出,否则本文所用的所有技术和科学术语都具有本发明所属的领域的普通技术人员通常理解的相同含义。虽然任何已知的方法、器件和材料都可用于实施或测试本发明,但本文描述了就此而言的方法、器件和材料。
一些所选的定义
除非另外说明或上下文暗示,否则以下术语和短语包括以下提供的含义。除非另外明确说明或从上下文明显看出的,以下术语和短语不排除术语或短语在其所属领域所具有的含义。提供定义以有助于描述本文所述的方面的具体实施例,并且不旨在限制要求保护的发明,因为本发明的范围仅由权利要求书限制。另外,除非上下文另外要求的,否则单数术语应包括复数并且复数术语应包括单数。
如本文所用,术语“包括”或“包含”结合对本发明所必要的组合物、方法和其各自组成部分使用,同时不限制包含未指定的元素,而不论必要与否。
如本文所用,术语“基本上由…组成”是指给定实施例所需要的那些元素。该术语允许存在不实质影响本发明的该实施例的基本和新颖或功能特性的另外元素。
术语“由…组成”是指如本文所述的组合物、方法和其各自组成部分,其不包括未在实施例的该描述中列举的任何元素。
除操作实例中或另外指出的地方中以外,本文所用的表示数量的所有数字在所有情况下均应当理解为被术语“约”修饰。术语“约”在结合百分比使用时可意指±1%。
单数术语“一个”、“一种”和“该”包括复数指代物,除非上下文明确地另外指出。类似地,词“或”旨在包括“和”,除非上下文明确地另外指出。因此,例如对“方法”的引用包括本文所述的和/或本领域技术人员在阅读本公开后将显而易见的类型的一种或多种方法和/或步骤等等。
虽然类似于或等同于本文所述的那些的方法和材料可用于实施或测试本公开,但以下描述了合适的方法和材料。术语“具有”意指“包括”。缩写“e.g.”源自拉丁语exempli gratia(例如),在本文中用于指示非限制性例子。因此,缩写“e.g.”与术语“例如”同义。
对于尚未指示的范围,本领域普通技术人员应当理解,可对本文所述和所示的各个实施例中的任何一个进行进一步修改以并入本文所公开的其他实施例中的任何一个中所示的特征。
以下实例示出了本发明的一些实施例和方面。对于相关领域技术人员显而易见的是,可在不改变本发明的精神或范围的情况下进行各种修改、添加、替换等,并且此类修改形式和变型形式涵盖于如下权利要求书中所限定的本发明的范围内。以下实例不以任何方式限制本发明。
以下提供了根据本发明实施例的制造方法的例子,这些例子得到了适于基于光刻法的器件制造的基板。多种方法提供具有所需的弯曲度、翘曲度、总厚度变化、局部厚度变化和部位正面最小二乘焦平面范围技术规格的基板。
用于制备SiC晶片的实施例遵循在这里概述并在图1中示出的一般过程。在步骤100中,获得SiC铸锭。SiC铸锭通常用升华方法长成。可以裁切(未示出)铸锭以得到所需的一般形状,例如具有圆形或方形横截面的柱体。在步骤105中,将铸锭切成薄晶片。然后,在步骤110中,对每个晶片的周缘倒角。已知的是,铸锭的切片对切割后的晶片产生表面和表面下损伤。在该实施例中,将研磨或磨削方法用于步骤115以在晶片的两个表面上从加工中移除一定的厚度,例如50至100微米,从而在一个步骤中移除切片损伤并使晶片平坦化。研磨之后是步骤120中的双面抛光过程。在抛光后,晶片即可用于步骤125中的外延;然而,任选地,还可以在步骤125的外延之前在步骤130中用化学机械抛光(CMP)使用于外延的表面光滑化。
现在将更具体地提供例子。如前所述,该例子始于SiC晶体的切片。由于SiC比硅硬得多(SiC在莫氏硬度表上为9.5,而Si为7),因此对SiC铸锭的切片需要对标准硅切片方法进行修改。例如,通常用于硅铸锭切片的SiC浆液无法用于SiC铸锭切片。相反,必须使用金刚石浆液(金刚石在莫氏硬度表上为10)。还已研究了固定磨料金刚石线,以使得不需要金刚石浆液。然而,在该特定例子中,使用被构造成得到同时满足10μm或更小的TTV和35μm或更小的翘曲度的切片的多线锯和金刚石浆液执行切片。通常对切片速率、磨料粒度和流动这些切片参数进行调节,以最大程度减少在切片的表面上形成切痕。具体地讲,据发现,当TTV超过10μm时,在随后的方法步骤中对该值进行纠正将导致不期望的支出。因此,在切片过程中对切片参数进行调整以得到<10μm的TTV。
接下来,对晶片边缘倒角。这在研磨之前完成,以便避免在晶片周边的崩裂或在研磨期间的破裂。硅晶片的倒角在例如美国专利公布2009/0324896中有所公开;然而,在本发明中,倒角使用具有梯形槽形状的金刚石磨轮(以考虑到SiC的硬度)完成。
通过研磨或磨削进行锯伤移除。常规的研磨机在例如美国专利4,582,561中有所公开。然而,据发现,为了对当前大小的SiC晶片(76至150mm)正确使用研磨和抛光,需要直径大于20英寸(约500mm)的研磨和抛光设备。也就是说,研磨和抛光工具的表面应当为SiC晶片的直径的至少三倍,以便同时控制全局和局部晶片厚度和平坦度。
正如已经指出的,将研磨用于锯伤移除,因为切片可产生波纹表面-在这里将研磨用于使晶片平坦且使表面平行。在一个例子中,将直径大于20英寸的双面金刚石磨料研磨机用于同时在切片后的晶片的双面上移除材料。该步骤的平均金刚石粒度范围为4至12μm。或者,将金刚石磨轮按顺序施加到每一侧以在切片后的晶片的每一侧上移除材料。用在磨轮上的典型金刚石磨料粒度通常为500至2000目(约25至5μm)。使用任一种方法从晶片的两个表面移除的典型净目标量为60至85μm。从两个表面移除的量通常相等,以使得从每个表面移除约30至45μm。移除的量必须消除切片过程的表面损伤和切痕,并且通常可以在不到一小时内实现。加工后的晶片的TTV和翘曲度必须小于切片后的来料的值。
在锯伤移除步骤之后是坯料抛光(stock polish)步骤。在直径大于20英寸的机器上执行双面金刚石浆液抛光过程,以从研磨/磨削后的晶片的双面移除机械表面损伤材料,并将厚度降低到大致目标值。将晶片置于不锈钢载具中,因为金刚石浆液将会腐蚀标准聚四氟乙烯(PTFE)载具。坯料抛光步骤可在一个步骤中进行或分成使用具有不同平均粒度分布的浆液的若干步骤以便最大程度提高移除效率并实现所需的最终表面粗糙度。移除的材料的典型量为研磨步骤的大约25%。加工时间根据所用的磨料的粒度通常为2至4小时。当使用中值粒度在0.45至0.55μm范围内的金刚石浆液执行最后抛光步骤时得到最好的结果,从而产生镜状表面。
据发现,该集成过程将得到具有镜状表面的抛光晶片。从以上例子得到的晶片满足以下所有技术规格:在预外延的晶片表面和相对的晶片表面上均为10至的均方根粗糙度Rq、<5μm的TTV、<1.5μm的LTV、<0.3μm的SFQR,所有的均针对1×1cm2的部位大小。该晶片可在以下情况中用于外延:在CVD生长之前应用预外延、气相高温表面蚀刻步骤,以全局性移除剩余的表面下机械损伤,通常对应于0.5至2.0nm的蚀刻。例如,可使用包含氢气和/或含卤素的气体的气体混合物在高于1300℃的温度下进行蚀刻。
或者,还可以使用化学增强机械抛光方法(化学氧化)对目标在于外延的晶片侧进行抛光,该方法可以为例如溶液中的氧化铝或二氧化硅磨料,其在对晶片表面造成腐蚀时使晶片表面氧化。移除的量小于1μm,且表面下损伤几乎消除。按照本发明的方法的实施例,与晶片相关的平坦度指标在该步骤之后基本上无变化(小于+/-10%),而在使用化学机械抛光所抛光的面上粗糙度值Rq低于0.5nm。
然后将SiC外延沉积在晶片上。可以应用通过化学气相沉积生长SiC的领域的技术人员已知的任何标准技术。可使用温度均匀性、压力和流动调整CVD工具处的外延沉积,以使得晶片TTV和LTV保持基本上无变化(低于+/-20%)。最终的晶片由于起始抛光晶片的平坦度而在外延表面上表现出有限的台阶积累或无台阶积累。
实例1
将上文所公开的且在图1中示出的方法流程用于制造75mm和100mm直径的4H-SiC晶片。晶片表现出0.015至0.028Ω·cm的电阻率范围。对于步骤100-105-110,将SiC铸锭加工成正确的柱体。将柱形铸锭安装在塑料梁上并置于多线锯中。使用线锯和引导在铸锭周边上的金刚石浆液流切割铸锭。从锯中移除梁并沉入浴中以将切片与梁分离。对切片进行冲洗,然后放到盒中。将晶片转移到自动化边缘磨削系统,其中使用金刚石轮形成梯形边缘轮廓。该方法遵循SEMI标准M55的指导原则。
对于步骤115,如所指出的那样,使用研磨或磨削执行锯伤移除。使用直径>40英寸的研磨机在晶片双面上同时执行研磨。将晶片置于位于研磨台上的若干不锈钢载具中。研磨台由铁制成,将含有金刚石粒子的浆液引到台面上,同时使载具在研磨板之间旋转。在一项单独的尝试中,使用单晶片方法并用粘结金刚石磨轮按顺序磨削晶片的每一侧来执行磨削而不是研磨。在从晶片移除材料后,在任一种情况下,均对晶片进行洗涤和冲洗。
使用直径>40英寸的抛光机在晶片双面上同时执行步骤120(抛光)。聚氨酯抛光垫覆盖抛光台顶板和底板。将晶片置于位于抛光台上的若干不锈钢载具中。将含有金刚石粒子的抛光浆液在抛光过程中引到台面上,同时使载具在抛光板之间旋转。在从晶片移除材料后,对晶片进行洗涤和冲洗。
对于使用步骤130(CMP抛光)加工的样品,将晶片置于由塑料载具形成的若干凹坑之一中,这些塑料载具连接到直径大于20英寸的单面抛光系统上的三个抛光头之一。每个抛光头可根据晶片直径容纳若干晶片。将聚氨酯布置于抛光台上。将抛光头降低到台上,并使台旋转,且在台旋转的同时将具有氧化物磨料颗粒的抛光浆液引到台上。
对于使用步骤125(外延)加工的样品,使用间歇式CVD系统将SiC的CVD膜沉积在抛光后的晶片上。将晶片置于石墨基座上然后置于真空室中。将室抽到真空,并使用射频感应加热将基座加热到1500℃以上的温度。首先,将包含氢气和氯化氢的气体混合物进料至室内以蚀刻晶片的表面。将系统排空,并用氢气灌注到工艺压力。将氮、硅和碳气体的混合物引入室中以形成膜。最终的膜厚为10μm,膜掺杂在3-6×1016/cm3的范围内。
已使用MTI Instruments Incorporated(MTI仪器公司)AutoScan 200测量系统对SiC基板晶片进行了测试。这是一种基于电容的晶片形状测试,其中计算按照ASTM/SEMI标准(TTV ASTM/SEMI F533、弯曲度ASTM/SEMI F534、翘曲度ASTM/SEMI F1390和平坦度ASTM/SEMIF1530-02)进行。用于SBIR和SFQR测量的部位大小为1×1cm。使用Digital Instruments Inc.(数字仪器公司)原子力显微镜以轻敲模式评价了粗糙度。评价了晶片中心2×2微米的部位。应该指出的是,US8436366并未使用相同的测量方案来测量TTV,US8436366中的附图显示了以背面为基准的或夹在卡盘上的测量,而本项工作中报道的TTV测量基于浮动基板。因此,不直接在本发明与US8436366之间比较TTV值。
表1列出了76mm直径的抛光SiC晶片的平坦度的测量。对于该晶片,通过研磨进行了锯伤移除,并通过化学机械抛光步骤执行了抛光。
测量 值(微米)
中心厚度 399.1
平均厚度 398.6
最小厚度 397.6
最大厚度 399.3
TTV 1.7
弯曲度 0.5
翘曲度 23.3
SORI 15.8
GBIR 1.7
最大SFQR .17
最大SBIR 0.51
均方根粗糙度AFM(2×2μm部位) 0.072x 10-3
表2列出了100mm直径的抛光SiC晶片的平坦度的测量。对于该晶片,通过磨削进行了锯伤移除,并通过坯料抛光步骤执行了抛光。
测量 值(微米)
中心厚度 358.8
平均厚度 358.41
最小厚度 357.8
最大厚度 358.9
TTV 1.05
弯曲度 -9.3
翘曲度 15.6
SORI 15.3
GBIR 1.05
最大SFQR 0.20
最大SBIR 0.88
均方根粗糙度AFM(2×2μm部位) 0.741x 10-3
表3列出了具有10μm外延膜的76mm直径的SiC晶片的平坦度的测量。对于该晶片,通过磨削进行了锯伤移除,并通过坯料抛光步骤执行了抛光。
测量 值(微米)
中心厚度 372.1
平均厚度 371.9
最小厚度 371.4
最大厚度 372.2
TTV 0.79
弯曲度 -7.30
翘曲度 15.2
SORI 14.2
GBIR 0.79
最大SFQR 0.21
最大SBIR 0.58
表4列出了具有10μm外延膜的100mm直径的SiC晶片的平坦度的测量。对于该晶片,通过磨削进行了锯伤移除,并通过坯料抛光步骤执行了抛光。
测量 值(微米)
中心厚度 372.7
平均厚度 372.9
最小厚度 372.6
最大厚度 373.3
TTV 0.73
弯曲度 -2.85
翘曲度 15.6
SORI 10.0
GBIR 0.73
最大SFQR 0.23
最大SBIR 0.38
应当理解,本文所述的工艺和技术不与任何具体设备固有地相关,并且可通过组件的任何合适组合来实施。此外,可根据本文所述的教导内容使用各种类型的通用器件。已结合具体实例描述了本发明,所述具体实例在所有方面均旨在是示例性的而非限制性的。本领域技术人员将理解,多种不同组合将适用于实施本发明。
此外,在考虑本说明书并实施本文所公开的发明后,本发明的其他具体实施对于本领域技术人员将显而易见。可单独地或以任何组合使用所述实施例的各个方面和/或组成部分。旨在使本说明书和实例仅以举例方式考虑,而本发明的真实范围和精神由以下权利要求书指示。

Claims (22)

1.一种基板,包括具有后表面和前表面的抛光碳化硅晶片,所述前表面针对外延沉积进行了调节,其中基于一平方厘米的部位大小所述抛光碳化硅晶片具有0.1至1.5μm的局部厚度变化(LTV)和0.01至0.3μm的部位正面最小二乘焦平面范围(SFQR)。
2.根据权利要求1所述的基板,其中所述前表面具有的均方根粗糙度Rq。
3.根据权利要求1至2中任一项所述的基板,其中所述基板具有0.1至5μm范围内的总厚度变化(TTV)。
4.根据权利要求1至3中任一项所述的基板,其中所述基板还具有0.1至35μm的翘曲度。
5.一种包括后表面和前表面的单晶碳化硅基板,所述前表面具有在其上生长的SiC的外延层,具有所述外延层的所述基板基于一平方厘米的部位大小表现出0.1至1.8μm的局部厚度变化(LTV)和0.01至0.45μm的部位正面最小二乘焦平面范围(SFQR)。
6.根据权利要求5所述的基板,其中具有所述外延层的所述基板还同时表现出0.1至6μm的总厚度变化(TTV)和0.1至40μm的翘曲度。
7.根据权利要求5至6中任一项所述的基板,其中所述前表面的均方根粗糙度值Rq在2.0×2.0μm的部位大小上测量时小于2nm。
8.根据权利要求5至7中任一项所述的单晶碳化硅基板,其中基于一平方厘米的部位大小所述基板同时表现出0.1至5μm的总厚度变化(TTV)、0.1至35μm的翘曲度、0.1至1.5μm的局部厚度变化(LTV)和0.01至0.3μm的部位正面最小二乘焦平面范围(SFQR)。
9.一种制造单晶碳化硅晶片的方法,包括:
(i)将单晶硅的铸锭切成多个晶片;
(ii)对步骤(i)的所述晶片的每一个的周缘进行倒角;
(iii)从步骤(ii)的所述晶片的每一个的前表面和后表面执行锯伤移除;以及
(iv)对步骤(iii)的每个晶片的两个表面同时抛光;从而制造基于一平方厘米的部位大小具有0.1至1.5μm的局部厚度变化(LTV)和0.01至0.3μm的部位正面最小二乘焦平面范围(SFQR)的晶片。
10.根据权利要求9所述的方法,其中在步骤(i)中,每个晶片同时满足小于10μm的总厚度变化(TTV)和小于35μm的翘曲度。
11.根据权利要求9至10中任一项所述的方法,从而制造基于一平方厘米的部位大小具有0.1至5μm的总厚度变化(TTV)、0.1至35μm的翘曲度和0.1至1.5μm的局部厚度变化(LTV)的晶片。
12.根据权利要求9至11中任一项所述的方法,其中使用具有直径为所述晶片的直径的至少三倍的表面的研磨工具执行所述锯伤移除步骤。
13.根据权利要求11至12中任一项所述的方法,其中在台面直径为所述晶片的直径的至少三倍的双面研磨机上研磨所述基板切片。
14.根据权利要求11至13中任一项所述的方法,其中在切片后,使用单晶片、金刚石砂轮磨床一次一侧地加工所述基板。
15.根据权利要求9至14中任一项所述的方法,其中使用具有直径为所述晶片的直径的至少三倍的表面的抛光工具执行所述抛光步骤。
16.根据权利要求13至15中任一项所述的方法,其中通过将所述晶片置于不锈钢载具中执行所述抛光步骤。
17.根据权利要求14至16中任一项所述的方法,其中执行所述抛光步骤以便移除在所述锯伤移除步骤中移除的那样多的SiC的25%。
18.根据权利要求9至17中任一项所述的方法,还包括对所述前表面施加化学增强机械抛光。
19.根据权利要求16至18中任一项所述的方法,其中使用直径为所述晶片直径的三倍以上的抛光机执行施加化学增强机械抛光的所述步骤。
20.根据权利要求9至19中任一项所述的方法,还包括在CVD室中使用包含氢气和/或氯气的气体混合物在高于1300℃的温度下蚀刻所述晶片的所述前表面。
21.根据权利要求9至20中任一项所述的方法,还包括用化学增强机械抛光和/或高温气相蚀刻处理所述前表面,并之后在所述前表面上沉积SiC的外延层。
22.根据权利要求9至21中任一项所述的方法,其中执行所述锯伤移除步骤以便从每个晶片移除60至85μm的SiC材料,并且执行所述抛光步骤以便移除在所述锯伤移除步骤中移除的SiC材料量的四分之一。
CN201380060888.1A 2012-10-26 2013-09-10 平坦的SiC半导体基板 Active CN104813439B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261719310P 2012-10-26 2012-10-26
US61/719,310 2012-10-26
US13/959,896 2013-08-06
US13/959,896 US9018639B2 (en) 2012-10-26 2013-08-06 Flat SiC semiconductor substrate
PCT/US2013/059064 WO2014065949A1 (en) 2012-10-26 2013-09-10 FLAT SiC SEMICONDUCTOR SUBSTRATE

Publications (2)

Publication Number Publication Date
CN104813439A true CN104813439A (zh) 2015-07-29
CN104813439B CN104813439B (zh) 2017-04-12

Family

ID=49226567

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380060888.1A Active CN104813439B (zh) 2012-10-26 2013-09-10 平坦的SiC半导体基板

Country Status (6)

Country Link
US (2) US9018639B2 (zh)
EP (1) EP2912681B1 (zh)
JP (1) JP2016501809A (zh)
KR (1) KR20150074176A (zh)
CN (1) CN104813439B (zh)
WO (1) WO2014065949A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109321980A (zh) * 2018-10-16 2019-02-12 山东天岳先进材料科技有限公司 一种高平整度、低损伤大直径单晶碳化硅衬底
CN109659221A (zh) * 2019-02-01 2019-04-19 中国科学技术大学 一种碳化硅单晶薄膜的制备方法
CN109957838A (zh) * 2017-12-22 2019-07-02 昭和电工株式会社 SiC锭的制造方法
CN110722692A (zh) * 2019-10-12 2020-01-24 江苏澳洋顺昌集成电路股份有限公司 一种控制研磨产品bow值加工的方法
CN111630213A (zh) * 2018-01-24 2020-09-04 昭和电工株式会社 单晶4H-SiC生长用籽晶及其加工方法
CN112424402A (zh) * 2018-07-20 2021-02-26 株式会社电装 包含碳化硅晶片的半导体晶片以及SiC半导体装置的制造方法
TWI725910B (zh) * 2020-02-28 2021-04-21 南韓商Skc股份有限公司 晶圓、磊晶晶圓以及其製造方法
CN113601376A (zh) * 2021-08-10 2021-11-05 山西烁科晶体有限公司 碳化硅双面抛光中单面抛光速率的测定方法
CN116670328A (zh) * 2021-10-28 2023-08-29 东海炭素株式会社 一种多晶SiC成型体及其制造方法

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2058844A1 (en) * 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US9041841B2 (en) * 2008-10-10 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor having enhanced backside illumination quantum efficiency
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130019918A1 (en) 2011-07-18 2013-01-24 The Regents Of The University Of Michigan Thermoelectric devices, systems and methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013109729A1 (en) 2012-01-17 2013-07-25 Silicium Energy, Inc. Systems and methods for forming thermoelectric devices
EP2885823B1 (en) 2012-08-17 2018-05-02 Matrix Industries, Inc. Methods for forming thermoelectric devices
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070795A1 (en) 2012-10-31 2014-05-08 Silicium Energy, Inc. Methods for forming thermoelectric elements
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9657409B2 (en) 2013-05-02 2017-05-23 Melior Innovations, Inc. High purity SiOC and SiC, methods compositions and applications
US9919972B2 (en) 2013-05-02 2018-03-20 Melior Innovations, Inc. Pressed and self sintered polymer derived SiC materials, applications and devices
US11091370B2 (en) 2013-05-02 2021-08-17 Pallidus, Inc. Polysilocarb based silicon carbide materials, applications and devices
US10322936B2 (en) 2013-05-02 2019-06-18 Pallidus, Inc. High purity polysilocarb materials, applications and processes
WO2015005064A1 (ja) * 2013-07-09 2015-01-15 富士電機株式会社 炭化珪素半導体装置の製造方法および炭化珪素半導体装置
JP2015065318A (ja) * 2013-09-25 2015-04-09 住友電気工業株式会社 炭化珪素半導体装置の製造方法
JP6233058B2 (ja) * 2013-09-25 2017-11-22 住友電気工業株式会社 炭化珪素半導体基板の製造方法
US20150170928A1 (en) * 2013-12-16 2015-06-18 Sumitomo Electric Industries, Ltd. Silicon carbide substrate and fabrication method thereof
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3123532B1 (en) * 2014-03-25 2018-11-21 Matrix Industries, Inc. Thermoelectric devices and systems
US9640656B2 (en) 2014-04-04 2017-05-02 Micron Technology, Inc. Transistors having strained channel under gate in a recess
WO2015172014A1 (en) * 2014-05-09 2015-11-12 Saint-Gobain Ceramics & Plastics, Inc. High quality sapphire substrates and method of making said sapphire substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6315579B2 (ja) * 2014-07-28 2018-04-25 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102343142B1 (ko) 2014-09-16 2021-12-27 삼성디스플레이 주식회사 유기 발광 소자
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9455195B2 (en) * 2014-12-05 2016-09-27 International Business Machines Corporation Method of forming performance optimized gate structures by silicidizing lowered source and drain regions
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102322015B1 (ko) * 2015-04-07 2021-11-05 삼성디스플레이 주식회사 박막트랜지스터 어레이 기판의 제조 방법 및 그에 따라 제조된 박막 트랜지스터 어레이 기판
US10283595B2 (en) * 2015-04-10 2019-05-07 Panasonic Corporation Silicon carbide semiconductor substrate used to form semiconductor epitaxial layer thereon
JP6638730B2 (ja) * 2015-04-22 2020-01-29 日産化学株式会社 有機エレクトロニクスにおける使用に適した非水系組成物
JP5975200B1 (ja) * 2015-05-11 2016-08-23 住友電気工業株式会社 炭化珪素単結晶基板、炭化珪素半導体装置および炭化珪素半導体装置の製造方法
CN107532327B (zh) * 2015-05-11 2019-12-17 住友电气工业株式会社 碳化硅单晶衬底、碳化硅半导体器件以及制造碳化硅半导体器件的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6524233B2 (ja) * 2015-07-29 2019-06-05 昭和電工株式会社 エピタキシャル炭化珪素単結晶ウェハの製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017105697A (ja) * 2015-11-26 2017-06-15 東洋炭素株式会社 薄型のSiCウエハの製造方法及び薄型のSiCウエハ
DE102015224933A1 (de) * 2015-12-11 2017-06-14 Siltronic Ag Monokristalline Halbleiterscheibe und Verfahren zur Herstellung einer Halbleiterscheibe
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP2019523391A (ja) 2016-05-03 2019-08-22 マトリックス インダストリーズ,インコーポレイテッド 熱電デバイス及びシステム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6773506B2 (ja) * 2016-09-29 2020-10-21 株式会社ディスコ ウエーハ生成方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
USD819627S1 (en) 2016-11-11 2018-06-05 Matrix Industries, Inc. Thermoelectric smartwatch
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
WO2018111628A1 (en) * 2016-12-12 2018-06-21 Applied Materials, Inc. Methods for silicide formation
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106625204B (zh) * 2017-01-06 2019-05-24 东莞市天域半导体科技有限公司 一种大尺寸SiC晶片的背面处理方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651072A (zh) * 2017-05-19 2020-01-03 住友电气工业株式会社 碳化硅衬底和碳化硅外延衬底
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
DE102017210423A1 (de) * 2017-06-21 2018-12-27 Siltronic Ag Verfahren, Steuerungssystem und Anlage zum Bearbeiten einer Halbleiterscheibe sowie Halbleiterscheibe
DE102018209589B4 (de) * 2017-06-22 2023-05-04 Schott Ag Verbund aus einem Bauteil, insbesondere einem elektronischen Bauteil, und einem Glas- oder Glaskeramikmaterial sowie Verfahren zu dessen Herstellung
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP7298915B2 (ja) * 2018-10-16 2023-06-27 山▲東▼天岳先▲進▼科技股▲フン▼有限公司 単結晶炭化ケイ素基板の製造方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP7534579B2 (ja) 2019-03-05 2024-08-15 学校法人関西学院 SiCエピタキシャル基板の製造方法及びその製造装置
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JPWO2020250678A1 (zh) * 2019-06-13 2020-12-17
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
JP6761917B1 (ja) * 2019-11-29 2020-09-30 Jx金属株式会社 リン化インジウム基板、半導体エピタキシャルウエハ、及びリン化インジウム基板の製造方法
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP6761916B1 (ja) * 2019-11-29 2020-09-30 Jx金属株式会社 リン化インジウム基板、半導体エピタキシャルウエハ、及びリン化インジウム基板の製造方法
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12054850B2 (en) * 2019-12-27 2024-08-06 Wolfspeed, Inc. Large diameter silicon carbide wafers
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP7543853B2 (ja) 2020-11-09 2024-09-03 住友電気工業株式会社 炭化珪素エピタキシャル基板
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102283879B1 (ko) * 2021-01-14 2021-07-29 에스케이씨 주식회사 탄화규소 웨이퍼의 제조방법, 탄화규소 웨이퍼 및 웨이퍼 제조용 시스템
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12024794B2 (en) 2021-06-17 2024-07-02 Wolfspeed, Inc. Reduced optical absorption for silicon carbide crystalline materials
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11869833B2 (en) 2021-09-15 2024-01-09 Qualcomm Incorporated Package comprising a substrate with a via interconnect coupled to a trace interconnect and method of fabricating the same
JP7528964B2 (ja) 2022-02-04 2024-08-06 株式会社プロテリアル SiCエピタキシャル基板の製造方法
US20230339069A1 (en) * 2022-04-20 2023-10-26 Siltronic Corporation System and method for processing silicon wafers
JP7268784B1 (ja) 2022-05-31 2023-05-08 株式会社レゾナック SiC基板及びSiCエピタキシャルウェハ

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000235941A (ja) * 1999-02-11 2000-08-29 Wacker Siltronic G Fuer Halbleitermaterialien Ag 半導体ウェハ、半導体ウェハの製造方法および該製造方法の使用
CN102257190A (zh) * 2009-04-15 2011-11-23 住友电气工业株式会社 衬底、具有薄膜的衬底、半导体器件和半导体器件的制造方法

Family Cites Families (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL87348C (zh) 1954-03-19 1900-01-01
US3691694A (en) 1970-11-02 1972-09-19 Ibm Wafer polishing machine
US4582561A (en) 1979-01-25 1986-04-15 Sharp Kabushiki Kaisha Method for making a silicon carbide substrate
US4912063A (en) 1987-10-26 1990-03-27 North Carolina State University Growth of beta-sic thin films and semiconductor devices fabricated thereon
US4866005A (en) 1987-10-26 1989-09-12 North Carolina State University Sublimation of silicon carbide to produce large, device quality single crystals of silicon carbide
US4912064A (en) 1987-10-26 1990-03-27 North Carolina State University Homoepitaxial growth of alpha-SiC thin films and semiconductor devices fabricated thereon
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
JP2804860B2 (ja) 1991-04-18 1998-09-30 新日本製鐵株式会社 SiC単結晶およびその成長方法
US5149338A (en) 1991-07-22 1992-09-22 Fulton Kenneth W Superpolishing agent, process for polishing hard ceramic materials, and polished hard ceramics
US5709745A (en) 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
JPH06316499A (ja) 1993-04-30 1994-11-15 Sharp Corp 炭化珪素単結晶の製造方法
US5679153A (en) 1994-11-30 1997-10-21 Cree Research, Inc. Method for reducing micropipe formation in the epitaxial growth of silicon carbide and resulting silicon carbide structures
EP0795050B1 (de) 1994-12-01 1999-07-28 Siemens Aktiengesellschaft Verfahren und vorrichtung zum herstellen von siliciumcarbid-einkristallen durch sublimationszüchtung
US5899743A (en) 1995-03-13 1999-05-04 Komatsu Electronic Metals Co., Ltd. Method for fabricating semiconductor wafers
SE9503428D0 (sv) 1995-10-04 1995-10-04 Abb Research Ltd A method for epitaxially growing objects and a device for such a growth
RU2094547C1 (ru) 1996-01-22 1997-10-27 Юрий Александрович Водаков Сублимационный способ выращивания монокристаллов карбида кремния и источник карбида кремния для осуществления способа
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
US5944890A (en) 1996-03-29 1999-08-31 Denso Corporation Method of producing single crystals and a seed crystal used in the method
US5895583A (en) 1996-11-20 1999-04-20 Northrop Grumman Corporation Method of preparing silicon carbide wafers for epitaxial growth
US5954881A (en) 1997-01-28 1999-09-21 Northrop Grumman Corporation Ceiling arrangement for an epitaxial growth reactor
TW358764B (en) 1997-07-07 1999-05-21 Super Silicon Crystal Res Inst A method of double-side lapping a wafer and an apparatus therefor
JPH11121311A (ja) 1997-10-13 1999-04-30 Mitsui Eng & Shipbuild Co Ltd 炭化ケイ素材およびその製造方法並びに炭化ケイ素ウエハ
JPH11135464A (ja) 1997-10-30 1999-05-21 Komatsu Electron Metals Co Ltd 半導体ウェハの製造方法
US5888887A (en) 1997-12-15 1999-03-30 Chartered Semiconductor Manufacturing, Ltd. Trenchless buried contact process technology
US6214108B1 (en) 1998-05-19 2001-04-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Method of manufacturing silicon carbide single crystal and silicon carbide single crystal manufactured by the same
JP3664593B2 (ja) * 1998-11-06 2005-06-29 信越半導体株式会社 半導体ウエーハおよびその製造方法
JP3329288B2 (ja) 1998-11-26 2002-09-30 信越半導体株式会社 半導体ウエーハおよびその製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
JP4185215B2 (ja) 1999-05-07 2008-11-26 弘之 松波 SiCウエハ、SiC半導体デバイス、および、SiCウエハの製造方法
US6579359B1 (en) 1999-06-02 2003-06-17 Technologies And Devices International, Inc. Method of crystal growth and resulted structures
US6329088B1 (en) 1999-06-24 2001-12-11 Advanced Technology Materials, Inc. Silicon carbide epitaxial layers grown on substrates offcut towards <1{overscore (1)}00>
DE50006005D1 (de) 1999-07-07 2004-05-13 Siemens Ag Keimkristallhalter mit seitlicher einfassung eines sic-keimkristalls
EP1134808B1 (en) * 1999-07-15 2011-10-05 Shin-Etsu Handotai Co., Ltd. A method of producing a bonded wafer
DE19938340C1 (de) 1999-08-13 2001-02-15 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
JP3692076B2 (ja) 1999-09-06 2005-09-07 株式会社シクスオン SiC単結晶およびその成長方法
JP3854508B2 (ja) 1999-09-07 2006-12-06 株式会社シクスオン SiCウエハ、SiC半導体デバイス、およびSiCウエハの製造方法
JP2001291690A (ja) * 2000-01-31 2001-10-19 Shin Etsu Handotai Co Ltd 研磨装置及び方法
EP1602444B1 (en) * 2000-01-31 2008-03-12 Shin-Etsu Handotai Company Limited Polishing method
JP4880164B2 (ja) 2000-02-15 2012-02-22 ザ フォックス グループ,インコーポレイティド 低欠陥密度炭化ケイ素材料
DE60105218T2 (de) 2000-04-07 2005-08-04 Hoya Corp. Siliciumkarbid und Verfahren zu seiner Herstellung
JP3650727B2 (ja) 2000-08-10 2005-05-25 Hoya株式会社 炭化珪素製造方法
DE10043599A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf einem oder mehreren insbesondere ebenfalls kristalliner Substraten
US6956238B2 (en) 2000-10-03 2005-10-18 Cree, Inc. Silicon carbide power metal-oxide semiconductor field effect transistors having a shorting channel and methods of fabricating silicon carbide metal-oxide semiconductor field effect transistors having a shorting channel
JP4275308B2 (ja) 2000-12-28 2009-06-10 株式会社デンソー 炭化珪素単結晶の製造方法およびその製造装置
JP4903946B2 (ja) 2000-12-28 2012-03-28 株式会社ブリヂストン 炭化ケイ素単結晶の製造方法及び製造装置
JP2002220299A (ja) 2001-01-19 2002-08-09 Hoya Corp 単結晶SiC及びその製造方法、SiC半導体装置並びにSiC複合材料
JP3811624B2 (ja) 2001-04-27 2006-08-23 松下電器産業株式会社 半導体装置
WO2002099169A1 (fr) 2001-06-04 2002-12-12 The New Industry Research Organization Carbure de silicium monocristal et son procede de production
JP2003068654A (ja) 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
JP4463448B2 (ja) 2001-09-07 2010-05-19 パナソニック株式会社 SiC基板及びSiC半導体素子の製造方法
JP3845563B2 (ja) 2001-09-10 2006-11-15 株式会社東芝 炭化珪素膜のcvd方法、cvd装置及びcvd装置用サセプター
DE10247017B4 (de) 2001-10-12 2009-06-10 Denso Corp., Kariya-shi SiC-Einkristall, Verfahren zur Herstellung eines SiC-Einkristalls, SiC-Wafer mit einem Epitaxiefilm und Verfahren zur Herstellung eines SiC-Wafers, der einen Epitaxiefilm aufweist
EP1306890A2 (en) 2001-10-25 2003-05-02 Matsushita Electric Industrial Co., Ltd. Semiconductor substrate and device comprising SiC and method for fabricating the same
DE10159833C1 (de) 2001-12-06 2003-06-18 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Vielzahl von Halbleiterscheiben
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
JP3881562B2 (ja) 2002-02-22 2007-02-14 三井造船株式会社 SiCモニタウェハ製造方法
TW200307064A (en) 2002-03-19 2003-12-01 Central Res Inst Elect Method for preparing SiC crystal with reduced micro-pipes extended from substrate, SiC crystal, SiC monocrystalline film, SiC semiconductor component, SiC monocrystalline substrate and electronic device, and method for producing large SiC crystal
US7316747B2 (en) 2002-06-24 2008-01-08 Cree, Inc. Seeded single crystal silicon carbide growth and resulting crystals
US7601441B2 (en) 2002-06-24 2009-10-13 Cree, Inc. One hundred millimeter high purity semi-insulating single crystal silicon carbide wafer
FR2843061B1 (fr) 2002-08-02 2004-09-24 Soitec Silicon On Insulator Procede de polissage de tranche de materiau
JP2004099340A (ja) 2002-09-05 2004-04-02 Nippon Steel Corp 炭化珪素単結晶育成用種結晶と炭化珪素単結晶インゴット及びその製造方法
US20040134418A1 (en) 2002-11-08 2004-07-15 Taisuke Hirooka SiC substrate and method of manufacturing the same
JP4593099B2 (ja) 2003-03-10 2010-12-08 学校法人関西学院 単結晶炭化ケイ素の液相エピタキシャル成長法及びそれに用いられる熱処理装置
US20060249073A1 (en) 2003-03-10 2006-11-09 The New Industry Research Organization Method of heat treatment and heat treatment apparatus
JP2004299018A (ja) 2003-03-31 2004-10-28 Japan Science & Technology Agency SiC単結晶基板等の研磨による超平滑結晶面形成方法
JP4480349B2 (ja) 2003-05-30 2010-06-16 株式会社ブリヂストン 炭化ケイ素単結晶の製造方法及び製造装置
JP2005051299A (ja) 2003-07-29 2005-02-24 Toshiba Corp パケット送信装置、パケット受信装置、パケット送信方法及びパケット受信方法
JP3761546B2 (ja) 2003-08-19 2006-03-29 株式会社Neomax SiC単結晶基板の製造方法
US20050059247A1 (en) 2003-09-16 2005-03-17 Matsushita Electric Industrial Co., Ltd. Method for manufacturing SiC substrate
US7018554B2 (en) 2003-09-22 2006-03-28 Cree, Inc. Method to reduce stacking fault nucleation sites and reduce forward voltage drift in bipolar devices
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
DE112005000637T5 (de) 2004-03-24 2008-06-26 Meijo University Educational Foundation, Nagoya Leuchtstoff und Leuchtdiode
WO2005093796A1 (ja) 2004-03-26 2005-10-06 The Kansai Electric Power Co., Inc. バイポーラ型半導体装置およびその製造方法
US20080261401A1 (en) 2004-04-08 2008-10-23 Ii-Vi Incorporated Chemical-Mechanical Polishing of Sic Surfaces Using Hydrogen Peroxide or Ozonated Water Solutions in Combination with Colloidal Abrasive
JP4694144B2 (ja) 2004-05-14 2011-06-08 住友電気工業株式会社 SiC単結晶の成長方法およびそれにより成長したSiC単結晶
JPWO2005116307A1 (ja) 2004-05-27 2008-04-03 株式会社ブリヂストン 炭化ケイ素単結晶ウェハの製造方法
EP1619276B1 (en) 2004-07-19 2017-01-11 Norstel AB Homoepitaxial growth of SiC on low off-axis SiC wafers
CN101001978B (zh) 2004-07-22 2010-10-13 东洋炭素株式会社 衬托器
JP2007182330A (ja) 2004-08-24 2007-07-19 Bridgestone Corp 炭化ケイ素単結晶ウェハ及びその製造方法
JP4442366B2 (ja) 2004-08-27 2010-03-31 住友電気工業株式会社 エピタキシャルSiC膜とその製造方法およびSiC半導体デバイス
WO2006031641A2 (en) 2004-09-10 2006-03-23 Cree, Inc. Method of manufacturing carrier wafer and resulting carrier wafer structures
US7294324B2 (en) 2004-09-21 2007-11-13 Cree, Inc. Low basal plane dislocation bulk grown SiC wafers
US7314521B2 (en) 2004-10-04 2008-01-01 Cree, Inc. Low micropipe 100 mm silicon carbide wafer
US7314520B2 (en) 2004-10-04 2008-01-01 Cree, Inc. Low 1c screw dislocation 3 inch silicon carbide wafer
JP5068423B2 (ja) 2004-10-13 2012-11-07 新日本製鐵株式会社 炭化珪素単結晶インゴット、炭化珪素単結晶ウェハ及びその製造方法
JP2006120897A (ja) 2004-10-22 2006-05-11 Matsushita Electric Ind Co Ltd 炭化珪素素子及びその製造方法
US7300519B2 (en) 2004-11-17 2007-11-27 Cree, Inc. Reduction of subsurface damage in the production of bulk SiC crystals
US20060108325A1 (en) 2004-11-19 2006-05-25 Everson William J Polishing process for producing damage free surfaces on semi-insulating silicon carbide wafers
US7563321B2 (en) 2004-12-08 2009-07-21 Cree, Inc. Process for producing high quality large size silicon carbide crystals
WO2006070480A1 (ja) 2004-12-27 2006-07-06 Nippon Steel Corporation 炭化珪素単結晶、炭化珪素単結晶ウェハ及びその製造方法
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7641736B2 (en) 2005-02-22 2010-01-05 Hitachi Metals, Ltd. Method of manufacturing SiC single crystal wafer
JP4613078B2 (ja) 2005-03-01 2011-01-12 学校法人 名城大学 半導体基板の製造方法
US7422634B2 (en) 2005-04-07 2008-09-09 Cree, Inc. Three inch silicon carbide wafer with low warp, bow, and TTV
US8221549B2 (en) 2005-04-22 2012-07-17 Bridgestone Corporation Silicon carbide single crystal wafer and producing method thereof
DE102005024073A1 (de) 2005-05-25 2006-11-30 Siltronic Ag Halbleiter-Schichtstruktur und Verfahren zur Herstellung einer Halbleiter-Schichtstruktur
JP2007002268A (ja) 2005-06-21 2007-01-11 Plasma Ion Assist Co Ltd 研磨用部材の表面処理方法及びその物品
US7391058B2 (en) 2005-06-27 2008-06-24 General Electric Company Semiconductor devices and methods of making same
US7404858B2 (en) 2005-09-16 2008-07-29 Mississippi State University Method for epitaxial growth of silicon carbide
JP5228268B2 (ja) 2005-09-16 2013-07-03 コニカミノルタ株式会社 有機エレクトロルミネッセンス素子及び液晶表示装置
DE102005045339B4 (de) 2005-09-22 2009-04-02 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben
DE102005046707B3 (de) 2005-09-29 2007-05-03 Siced Electronics Development Gmbh & Co. Kg SiC-PN-Leistungsdiode
JP2007131504A (ja) 2005-11-14 2007-05-31 Shikusuon:Kk SiCエピタキシャルウエーハおよびそれを用いた半導体デバイス
JP4818754B2 (ja) 2006-03-01 2011-11-16 新日本製鐵株式会社 炭化珪素単結晶インゴットの製造方法
JP2008001537A (ja) 2006-06-20 2008-01-10 Toyota Motor Corp 炭化硅素単結晶の製造方法
JP2008001569A (ja) 2006-06-23 2008-01-10 Shin Etsu Chem Co Ltd 単結晶SiC及びその製造方法並びに単結晶SiCの製造装置
JP4946202B2 (ja) 2006-06-26 2012-06-06 日立金属株式会社 炭化珪素半導体エピタキシャル基板の製造方法。
US8980445B2 (en) 2006-07-06 2015-03-17 Cree, Inc. One hundred millimeter SiC crystal grown on off-axis seed
DE102006032455A1 (de) 2006-07-13 2008-04-10 Siltronic Ag Verfahren zum gleichzeitigen beidseitigen Schleifen mehrerer Halbleiterscheiben sowie Halbleierscheibe mit hervorragender Ebenheit
US20100006859A1 (en) 2006-07-19 2010-01-14 Gilyong Chung Method of Manufacturing Substrates Having Improved Carrier Lifetimes
JP4946264B2 (ja) 2006-08-23 2012-06-06 日立金属株式会社 炭化珪素半導体エピタキシャル基板の製造方法
JP2008053178A (ja) 2006-08-28 2008-03-06 Matsushita Electric Works Ltd 無電極放電灯装置及び照明器具
EP1901345A1 (en) 2006-08-30 2008-03-19 Siltronic AG Multilayered semiconductor wafer and process for manufacturing the same
WO2008033994A1 (en) 2006-09-14 2008-03-20 Cree, Inc. Micropipe-free silicon carbide and related method of manufacture
JP4937685B2 (ja) 2006-09-21 2012-05-23 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板及びその製造方法
EP2069557A2 (en) 2006-09-27 2009-06-17 II-VI Incorporated Sic single crystals with reduced dislocation density grown by step-wise periodic perturbation technique
JP4844330B2 (ja) 2006-10-03 2011-12-28 富士電機株式会社 炭化珪素半導体装置の製造方法および炭化珪素半導体装置
WO2008047446A1 (fr) 2006-10-20 2008-04-24 Mitsubishi Electric Corporation Suspension épaisse pour découpe de lingot de silicium et procédé de découpe de lingots de silicium avec celle-ci
JP4842094B2 (ja) 2006-11-02 2011-12-21 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板の製造方法
US7449065B1 (en) 2006-12-02 2008-11-11 Ohio Aerospace Institute Method for the growth of large low-defect single crystals
JP5509520B2 (ja) 2006-12-21 2014-06-04 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100845946B1 (ko) 2007-01-10 2008-07-11 동의대학교 산학협력단 SiC 단결정 성장방법
KR101460993B1 (ko) 2007-01-31 2014-11-13 신에쯔 한도타이 가부시키가이샤 실리콘 웨이퍼의 면취 장치 및 실리콘 웨이퍼의 제조방법 그리고 에치드 실리콘 웨이퍼
US7399217B1 (en) 2007-02-05 2008-07-15 P.R. Hoffman Machine Products Lapping machine
JP4964672B2 (ja) 2007-05-23 2012-07-04 新日本製鐵株式会社 低抵抗率炭化珪素単結晶基板
JP2008311541A (ja) 2007-06-18 2008-12-25 Fuji Electric Device Technology Co Ltd 炭化珪素半導体基板の製造方法
WO2009003100A1 (en) 2007-06-27 2008-12-31 Ii-Vi Incorporated Fabrication of sic substrates with low warp and bow
EP2196565B1 (en) 2007-07-26 2012-06-06 Ecotron Co., Ltd. Method for producing sic epitaxial substrate
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
EP2196566A4 (en) 2007-09-12 2011-11-30 Showa Denko Kk EPITACTIC SIC-INCREDIBLE SUBSTRATE AND METHOD FOR PRODUCING EPICTIC SIC-INCREDIBLE SUBSTRATE
JP5301802B2 (ja) * 2007-09-25 2013-09-25 Sumco Techxiv株式会社 半導体ウェハの製造方法
JP2009088223A (ja) 2007-09-28 2009-04-23 Hitachi Cable Ltd 炭化珪素半導体基板およびそれを用いた炭化珪素半導体装置
WO2009048997A1 (en) 2007-10-12 2009-04-16 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Producing epitaxial layers with low basal plane dislocation concentrations
JP4732423B2 (ja) 2007-11-13 2011-07-27 株式会社デンソー 炭化珪素半導体装置の製造方法
JP2009130266A (ja) 2007-11-27 2009-06-11 Toshiba Corp 半導体基板および半導体装置、半導体装置の製造方法
JP5504597B2 (ja) 2007-12-11 2014-05-28 住友電気工業株式会社 炭化ケイ素半導体装置およびその製造方法
JP5524855B2 (ja) 2007-12-12 2014-06-18 ダウ コーニング コーポレーション 昇華/凝縮プロセスにより炭化ケイ素の大きな均一のインゴットを製造するための方法
JP2009149481A (ja) 2007-12-21 2009-07-09 Siltronic Ag 半導体基板の製造方法
DE102008006745B3 (de) 2008-01-30 2009-10-08 Siltronic Ag Verfahren zur Herstellung einer Halbleiterstruktur
JP2009182126A (ja) 2008-01-30 2009-08-13 Sumitomo Electric Ind Ltd 化合物半導体基板の加工方法および化合物半導体基板
US8221546B2 (en) 2008-03-26 2012-07-17 Ss Sc Ip, Llc Epitaxial growth on low degree off-axis SiC substrates and semiconductor devices made thereby
JP2009256146A (ja) 2008-04-18 2009-11-05 U-Vix Corp 光学ガラスの薄板加工方法
JP5458509B2 (ja) 2008-06-04 2014-04-02 日立金属株式会社 炭化珪素半導体基板
JP5233479B2 (ja) 2008-07-30 2013-07-10 東レ株式会社 研磨パッド
JP2010045279A (ja) 2008-08-18 2010-02-25 Nippon Steel Corp 半導体基板の両面研磨方法
JP2010089983A (ja) 2008-10-07 2010-04-22 Ecotron:Kk SiC単結晶の形成方法
CN101724344A (zh) 2008-10-14 2010-06-09 周海 碳化硅基片的抛光液
JP2010095397A (ja) 2008-10-15 2010-04-30 Nippon Steel Corp 炭化珪素単結晶及び炭化珪素単結晶ウェハ
US8536582B2 (en) 2008-12-01 2013-09-17 Cree, Inc. Stable power devices on low-angle off-cut silicon carbide crystals
CN102301043B (zh) 2009-01-30 2014-07-23 新日铁住金株式会社 外延碳化硅单晶基板及其制造方法
JP5516424B2 (ja) 2009-02-04 2014-06-11 日立金属株式会社 エピタキシャル成長用炭化珪素単結晶基板の製造方法
US20100216373A1 (en) 2009-02-25 2010-08-26 Araca, Inc. Method for cmp uniformity control
JP4547031B2 (ja) 2009-03-06 2010-09-22 新日本製鐵株式会社 炭化珪素単結晶製造用坩堝、並びに炭化珪素単結晶の製造装置及び製造方法
JP5244007B2 (ja) 2009-03-26 2013-07-24 国立大学法人名古屋大学 3C−SiC単結晶の製造方法
EP2411569B1 (en) 2009-03-26 2021-09-22 II-VI Incorporated Sic single crystal sublimation growth method and apparatus
WO2010110123A1 (ja) 2009-03-26 2010-09-30 キヤノンアネルバ株式会社 基板処理方法および結晶性炭化ケイ素(SiC)基板の製造方法
DE102009016132B4 (de) 2009-04-03 2012-12-27 Sicrystal Ag Verfahren zur Herstellung eines langen Volumeneinkristalls aus SiC oder AlN und langer Volumeneinkristall aus SiC oder AlN
JP5501654B2 (ja) 2009-04-24 2014-05-28 新日鐵住金株式会社 炭化珪素単結晶基板、及びその製造方法
JP5453899B2 (ja) 2009-04-24 2014-03-26 新日鐵住金株式会社 炭化珪素単結晶基板の製造方法、及び炭化珪素単結晶基板
CA2761245A1 (en) 2009-05-11 2010-11-18 Sumitomo Electric Industries, Ltd. Semiconductor device
JP5564311B2 (ja) 2009-05-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板の製造方法
US8044408B2 (en) 2009-05-20 2011-10-25 Nippon Steel Corporation SiC single-crystal substrate and method of producing SiC single-crystal substrate
JP5146418B2 (ja) 2009-07-13 2013-02-20 新日鐵住金株式会社 炭化珪素単結晶製造用坩堝及び炭化珪素単結晶の製造方法
WO2011024931A1 (ja) 2009-08-27 2011-03-03 住友金属工業株式会社 SiC単結晶ウエハーとその製造方法
CN102596804A (zh) 2009-09-15 2012-07-18 Ii-Vi有限公司 SiC单晶的升华生长
EP2482307A1 (en) 2009-09-24 2012-08-01 Sumitomo Electric Industries, Ltd. Silicon carbide ingot, silicon carbide substrate, methods for manufacturing the ingot and the substrate, crucible, and semiconductor substrate
KR101666596B1 (ko) 2009-09-29 2016-10-14 후지 덴키 가부시키가이샤 SiC 단결정 및 그 제조 방법
JP5446681B2 (ja) 2009-09-30 2014-03-19 富士電機株式会社 SiC単結晶製造方法
KR20120022952A (ko) 2009-10-13 2012-03-12 스미토모덴키고교가부시키가이샤 탄화규소 기판의 제조 방법 및 탄화규소 기판
WO2011065992A1 (en) 2009-11-24 2011-06-03 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse sequential lateral solidification
JP4827963B2 (ja) 2009-12-11 2011-11-30 国立大学法人九州大学 炭化珪素の研磨液及びその研磨方法
JP4887418B2 (ja) 2009-12-14 2012-02-29 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
CN102107391B (zh) 2009-12-24 2014-01-15 北京天科合达蓝光半导体有限公司 一种SiC单晶晶片的加工方法
US8165706B2 (en) * 2009-12-29 2012-04-24 Memc Electronic Materials, Inc. Methods for generating representations of flatness defects on wafers
US9059193B2 (en) 2010-01-08 2015-06-16 Mitsubishi Electric Corporation Epitaxial wafer and semiconductor element
EP2532773A4 (en) 2010-02-05 2013-12-11 Sumitomo Electric Industries PROCESS FOR PRODUCING SILICON CARBIDE SUBSTRATE
PL234396B1 (pl) 2010-04-01 2020-02-28 Instytut Tech Materialow Elektronicznych Sposób wytwarzania kryształów, zwłaszcza węglika krzemu, z fazy gazowej
JP5304713B2 (ja) 2010-04-07 2013-10-02 新日鐵住金株式会社 炭化珪素単結晶基板、炭化珪素エピタキシャルウェハ、及び薄膜エピタキシャルウェハ
JP4850960B2 (ja) 2010-04-07 2012-01-11 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板の製造方法
CN102859654B (zh) 2010-05-10 2016-01-13 三菱电机株式会社 碳化硅外延晶片及其制造方法、外延生长用碳化硅块状衬底及其制造方法
JP4880052B2 (ja) 2010-05-11 2012-02-22 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板及びその製造方法
JP2011243619A (ja) 2010-05-14 2011-12-01 Sumitomo Electric Ind Ltd 炭化珪素基板の製造方法、半導体装置の製造方法、炭化珪素基板および半導体装置
JP2011243770A (ja) 2010-05-19 2011-12-01 Sumitomo Electric Ind Ltd 炭化珪素基板、半導体装置、炭化珪素基板の製造方法
JP5236687B2 (ja) 2010-05-26 2013-07-17 兵庫県 表面処理方法及び表面処理装置
US8445386B2 (en) 2010-05-27 2013-05-21 Cree, Inc. Smoothing method for semiconductor material and wafers produced by same
JP2012004269A (ja) 2010-06-16 2012-01-05 Sumitomo Electric Ind Ltd 炭化珪素半導体装置の製造方法および炭化珪素半導体装置の製造装置
JP2012004494A (ja) 2010-06-21 2012-01-05 Sumitomo Electric Ind Ltd 炭化珪素基板の製造方法および製造装置
JP2012028565A (ja) 2010-07-23 2012-02-09 Kansai Electric Power Co Inc:The バイポーラ半導体素子の製造方法およびバイポーラ半導体素子
JP5839315B2 (ja) 2010-07-30 2016-01-06 株式会社デンソー 炭化珪素単結晶およびその製造方法
JP5698043B2 (ja) 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US8916880B2 (en) 2010-08-24 2014-12-23 Mitsubishi Electric Corporation Silicon carbide epitaxial wafer and semiconductor device
JP5276068B2 (ja) 2010-08-26 2013-08-28 株式会社豊田中央研究所 SiC単結晶の製造方法
US8927396B2 (en) 2010-11-17 2015-01-06 Nippon Steel & Sumitomo Metal Corporation Production process of epitaxial silicon carbide single crystal substrate
JP2012114210A (ja) 2010-11-24 2012-06-14 Sumitomo Electric Ind Ltd 炭化珪素半導体装置の製造方法および炭化珪素半導体装置の製造装置
CN102569055B (zh) 2010-12-14 2014-05-21 北京天科合达蓝光半导体有限公司 一种碳化硅单晶晶片表面及平整度的调整方法
WO2012144614A1 (ja) 2011-04-21 2012-10-26 新日本製鐵株式会社 エピタキシャル炭化珪素単結晶基板及びその製造方法
JP5958949B2 (ja) 2011-05-26 2016-08-02 一般財団法人電力中央研究所 炭化珪素基板、炭化珪素ウェハ、炭化珪素ウェハの製造方法及び炭化珪素半導体素子
JP2013014469A (ja) 2011-07-04 2013-01-24 Panasonic Corp SiCエピタキシャル基板およびその製造方法
WO2013011751A1 (ja) 2011-07-20 2013-01-24 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
WO2013021902A1 (ja) 2011-08-05 2013-02-14 住友電気工業株式会社 基板、半導体装置およびこれらの製造方法
JP5316612B2 (ja) 2011-08-09 2013-10-16 日立金属株式会社 炭化珪素半導体エピタキシャル基板の製造方法
JP5696630B2 (ja) 2011-09-21 2015-04-08 住友電気工業株式会社 炭化珪素基板およびその製造方法
JP5076020B2 (ja) 2011-10-25 2012-11-21 昭和電工株式会社 SiCエピタキシャルウェハ
KR101971597B1 (ko) 2011-10-26 2019-04-24 엘지이노텍 주식회사 웨이퍼 및 박막 제조 방법
US8889439B2 (en) 2012-08-24 2014-11-18 Tsmc Solid State Lighting Ltd. Method and apparatus for packaging phosphor-coated LEDs
US20140054609A1 (en) 2012-08-26 2014-02-27 Cree, Inc. Large high-quality epitaxial wafers
US8860040B2 (en) 2012-09-11 2014-10-14 Dow Corning Corporation High voltage power semiconductor devices on SiC

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000235941A (ja) * 1999-02-11 2000-08-29 Wacker Siltronic G Fuer Halbleitermaterialien Ag 半導体ウェハ、半導体ウェハの製造方法および該製造方法の使用
CN102257190A (zh) * 2009-04-15 2011-11-23 住友电气工业株式会社 衬底、具有薄膜的衬底、半导体器件和半导体器件的制造方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109957838A (zh) * 2017-12-22 2019-07-02 昭和电工株式会社 SiC锭的制造方法
US10837123B2 (en) 2017-12-22 2020-11-17 Showa Denko K.K. Method of manufacturing SiC ingot
CN109957838B (zh) * 2017-12-22 2021-06-18 昭和电工株式会社 SiC锭的制造方法
CN111630213B (zh) * 2018-01-24 2022-01-18 昭和电工株式会社 单晶4H-SiC生长用籽晶及其加工方法
CN111630213A (zh) * 2018-01-24 2020-09-04 昭和电工株式会社 单晶4H-SiC生长用籽晶及其加工方法
US11781244B2 (en) 2018-01-24 2023-10-10 Resonac Corporation Seed crystal for single crystal 4H—SiC growth and method for processing the same
CN112424402A (zh) * 2018-07-20 2021-02-26 株式会社电装 包含碳化硅晶片的半导体晶片以及SiC半导体装置的制造方法
CN112424402B (zh) * 2018-07-20 2023-02-03 株式会社电装 包含碳化硅晶片的半导体晶片以及SiC半导体装置的制造方法
CN109321980A (zh) * 2018-10-16 2019-02-12 山东天岳先进材料科技有限公司 一种高平整度、低损伤大直径单晶碳化硅衬底
CN109659221A (zh) * 2019-02-01 2019-04-19 中国科学技术大学 一种碳化硅单晶薄膜的制备方法
CN110722692A (zh) * 2019-10-12 2020-01-24 江苏澳洋顺昌集成电路股份有限公司 一种控制研磨产品bow值加工的方法
CN110722692B (zh) * 2019-10-12 2021-09-07 江苏澳洋顺昌集成电路股份有限公司 一种控制研磨产品bow值加工的方法
TWI725910B (zh) * 2020-02-28 2021-04-21 南韓商Skc股份有限公司 晶圓、磊晶晶圓以及其製造方法
CN113601376A (zh) * 2021-08-10 2021-11-05 山西烁科晶体有限公司 碳化硅双面抛光中单面抛光速率的测定方法
CN116670328A (zh) * 2021-10-28 2023-08-29 东海炭素株式会社 一种多晶SiC成型体及其制造方法

Also Published As

Publication number Publication date
JP2016501809A (ja) 2016-01-21
US9165779B2 (en) 2015-10-20
WO2014065949A1 (en) 2014-05-01
EP2912681B1 (en) 2016-10-26
US9018639B2 (en) 2015-04-28
CN104813439B (zh) 2017-04-12
US20150194319A1 (en) 2015-07-09
US20140117380A1 (en) 2014-05-01
KR20150074176A (ko) 2015-07-01
EP2912681A1 (en) 2015-09-02

Similar Documents

Publication Publication Date Title
CN104813439B (zh) 平坦的SiC半导体基板
Yamamura et al. Plasma assisted polishing of single crystal SiC for obtaining atomically flat strain-free surface
KR101654440B1 (ko) SiC 에피택셜 웨이퍼 및 그의 제조 방법
CN105755534B (zh) 衬底、半导体器件及其制造方法
US6861360B2 (en) Double-sided polishing process for producing a multiplicity of silicon semiconductor wafers
US8530353B2 (en) SiC substrate and method of manufacturing the same
JP5304713B2 (ja) 炭化珪素単結晶基板、炭化珪素エピタキシャルウェハ、及び薄膜エピタキシャルウェハ
CN101722462B (zh) 抛光半导体晶片两面的方法
Huo et al. Nanogrinding of SiC wafers with high flatness and low subsurface damage
CN103506928B (zh) 超硬半导体材料抛光方法
CN102149857A (zh) 衬底、提供有外延层的衬底、制造衬底的方法和制造提供有外延层的衬底的方法
CN101151402A (zh) 低翘曲度、弯曲度和ttv的75毫米碳化硅晶片
KR20080069531A (ko) Iii-v족 화합물 반도체 기판의 제조 방법
JP2006328455A (ja) エピタキシャル炭化珪素単結晶基板及びその製造方法
WO2017164233A1 (ja) 窒化アルミニウム単結晶基板の製造方法
JP2009218575A (ja) 半導体基板の製造方法
WO2021132491A1 (ja) Iii族窒化物単結晶基板およびその製造方法
JP7158594B2 (ja) リン化インジウム基板
WO2021106247A1 (ja) リン化インジウム基板、半導体エピタキシャルウエハ、及びリン化インジウム基板の製造方法
WO2021106248A1 (ja) リン化インジウム基板、半導体エピタキシャルウエハ、及びリン化インジウム基板の製造方法
JP6126833B2 (ja) SiC基板の製造方法
JP2009051678A (ja) サファイア基板の製造方法
JP2005209862A (ja) 半導体エピタキシャルウェーハの製造方法
JP7499933B1 (ja) リン化インジウム基板及び半導体エピタキシャルウエハ
JP7055233B1 (ja) リン化インジウム基板

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: michigan

Patentee after: Dow organosilicon company

Address before: michigan

Patentee before: Dow Corning

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20191227

Address after: Delaware, USA

Patentee after: DDP special electronic materials 9 Co., Ltd

Address before: michigan

Patentee before: Dow Silicone Company, USA

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20200602

Address after: Delaware, USA

Patentee after: Sk silicon Derong Co.,Ltd.

Address before: Delaware, USA

Patentee before: DDP special electronic materials 9 Co.,Ltd.