JP2016028458A - 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置 - Google Patents

集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置 Download PDF

Info

Publication number
JP2016028458A
JP2016028458A JP2015225020A JP2015225020A JP2016028458A JP 2016028458 A JP2016028458 A JP 2016028458A JP 2015225020 A JP2015225020 A JP 2015225020A JP 2015225020 A JP2015225020 A JP 2015225020A JP 2016028458 A JP2016028458 A JP 2016028458A
Authority
JP
Japan
Prior art keywords
dtc
fet
terminal
capacitance
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015225020A
Other languages
English (en)
Other versions
JP6151333B2 (ja
Inventor
ランタ,テロ,タピオ
Tapio Ranta Tero
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PSemi Corp
Original Assignee
Peregrine Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Peregrine Semiconductor Corp filed Critical Peregrine Semiconductor Corp
Publication of JP2016028458A publication Critical patent/JP2016028458A/ja
Application granted granted Critical
Publication of JP6151333B2 publication Critical patent/JP6151333B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/16Modifications for eliminating interference voltages or currents
    • H03K17/161Modifications for eliminating interference voltages or currents in field-effect transistor switches
    • H03K17/162Modifications for eliminating interference voltages or currents in field-effect transistor switches without feedback from the output circuit to the control circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F21/00Variable inductances or transformers of the signal type
    • H01F21/12Variable inductances or transformers of the signal type discontinuously variable, e.g. tapped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G7/00Capacitors in which the capacitance is varied by non-mechanical means; Processes of their manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H11/00Networks using active elements
    • H03H11/02Multiple-port networks
    • H03H11/28Impedance matching networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0153Electrical filters; Controlling thereof
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03JTUNING RESONANT CIRCUITS; SELECTING RESONANT CIRCUITS
    • H03J3/00Continuous tuning
    • H03J3/20Continuous tuning of single resonant circuit by varying inductance only or capacitance only
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/10Modifications for increasing the maximum permissible switched voltage
    • H03K17/102Modifications for increasing the maximum permissible switched voltage in field-effect transistor switches
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/51Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
    • H03K17/56Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
    • H03K17/687Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M1/00Analogue/digital conversion; Digital/analogue conversion
    • H03M1/10Calibration or testing
    • H03M1/1009Calibration
    • H03M1/1033Calibration over the full range of the converter, e.g. for correcting differential non-linearity
    • H03M1/1057Calibration over the full range of the converter, e.g. for correcting differential non-linearity by trimming, i.e. by individually adjusting at least part of the quantisation value generators or stages to their nominal values
    • H03M1/1061Calibration over the full range of the converter, e.g. for correcting differential non-linearity by trimming, i.e. by individually adjusting at least part of the quantisation value generators or stages to their nominal values using digitally programmable trimming circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03JTUNING RESONANT CIRCUITS; SELECTING RESONANT CIRCUITS
    • H03J2200/00Indexing scheme relating to tuning resonant circuits and selecting resonant circuits
    • H03J2200/10Tuning of a resonator by means of digitally controlled capacitor bank
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M1/00Analogue/digital conversion; Digital/analogue conversion
    • H03M1/66Digital/analogue converters
    • H03M1/74Simultaneous conversion
    • H03M1/80Simultaneous conversion using weighted impedances
    • H03M1/802Simultaneous conversion using weighted impedances using capacitors, e.g. neuron-mos transistors, charge coupled devices
    • H03M1/804Simultaneous conversion using weighted impedances using capacitors, e.g. neuron-mos transistors, charge coupled devices with charge redistribution

Abstract

【課題】 集積回路素子内のキャパシタのデジタル的に調整に用いられる方法及び装置を記載する。
【解決手段】 第1及び第2の端子の間に適用されるキャパシタンスをデジタル的に制御することを可能にするデジタル同調キャパシタDTCが記載される。幾つかの実施形態では、第1の端子はFW+端子を有し、第2の端子はRF端子を有する。幾つかの実施形態では、DTCは、最下位ビット(LSB)から最上位ビット(MSB)まで重要性で順序付けられた複数のサブ回路を有し、複数の有効ビットのサブ回路は一緒に並列に結合され、各サブ回路は第1のRF端子に結合された第1の節点と第2のRW端子に結合された第2の節点とを有する。DTCはデジタル制御ワードを受信する入力手段を更に有し、デジタル制御ワードは、同様にLSBからMSBまで重要性で順序付けられたビットを有する。
【選択図】図6B

Description

本発明は、集積回路素子に関し、より詳細には集積回路素子内の集積回路部品のキャパシタンスをデジタル同調する方法及び装置に関する。
キャパシタは電荷を蓄積するために電子装置内で広く用いられる。良く知られているように、一般に、キャパシタは基本的に絶縁体により分離された2つの導電板を有する。キャパシタは、限定でなくフィルタ、アナログ−デジタル変換器、メモリ素子、種々の制御装置、電力増幅器、調整可能な(「適応型」又は「再構成可能な」とも称される)マッチング回路等を含む複数の電子回路内で用いられる。
集積回路の設計及び製造の分野の当業者に良く知られた1つの問題は、集積回路部品に関連する許容範囲、特に受動回路部品の許容範囲の値が悪いことである。プロセス変動、素子パラメータの散らばり、導電層シートの抵抗値やフィルム厚のような臨界パラメータの変動、プロセスの不均一性及び製造装置の清潔さ及び他の要因により、集積回路の受動的電気部品は、屡々、それらに類似する別個の外部受動電気部品よりもおよそ遙かに粗悪な許容範囲を有する。従って、従来、オン・チップの受動電気部品を用いて同調回路を実施することは、困難であり高価であることが分かっている。
製造後のトリミング技術は、「ツェナー・ザッピング(Zener-zapping)」、レーザ・トリミング及びヒューズ・トリミング(fuse trimming)を含む種々の方法を用いて回路を物理的に変更するために、集積回路を製造及び試験した後に用いられうる。不利なことに、従来の製造後技術は静的解決法のみを実現する。トリミングされた素子は公称条件下で適切に機能しうるが、集積回路の全ての動作条件下で適切に機能しないかもしれない。従って、製造後トリミング技術の使用を必要とせず、集積回路内の受動電気部品の許容範囲を向上する方法が望まれる。更に、全ての動作条件下で集積回路の性能特性を動的に監視し補正する改良された方法及び装置が望まれる。改良された方法及び装置は、特に同調回路の性能特性がオン・チップの受動電気素子の粗悪な許容範囲により及び素子の変化する動作条件により不利な影響を受けるときに、これらの性能特性を監視及び補正すべきである。
図1は、オン・チップの受動電気素子を用いて適応型同調回路を実施する問題を解決するときの従来の試みを示す。図1に示されるように、集積された切り替え可能キャパシタ回路100を用い、集積同調回路の2つの端子(つまり端子A101及び端子B103)は、切り替え可能に接続された一式のキャパシタ(C乃至C)に選択的に結合されうる。各キャパシタは、関連付けられた個々の結合スイッチSを閉じることにより、端子101、103の間に選択的に結合される。例えば、キャパシタC102は、関連付けられたスイッチS110を閉じることにより、端子101、103の間に結合される。同様に、キャパシタC104は、関連付けられたスイッチS112を閉じることにより、端子101、103の間に結合される。最後に、キャパシタC108は、関連付けられたスイッチS116を閉じることにより、端子101、103の間に結合される。個々のキャパシタは並列構成で接続されているので、端子101、103の間の総キャパシタンスは、(スイッチが回路にキャパシタンスを導入しないと仮定すると)回路に切り替えられる個々のキャパシタの和に等しい。端子101、103を、切り替え可能なキャパシタ回路100と同一の集積回路にある同調回路に電気的に接続することにより、キャパシタは選択的に切り替えられ同調回路に接続されるか切り離されうる。それにより、端子101、103の間のキャパシタンスが所望の値まで充電される。従って、キャパシタC乃至Cの潜在的に粗悪な許容範囲にも拘わらず、同調回路は、端子A101及び端子B103の間のキャパシタンスを単に変更することにより所望のパラメータの範囲内で動作するよう順応して調整されうる。
不利なことに、この従来の手法は、同調回路が比較的高い周波数で動作するときに望ましくない。例えば、同調回路がGHz範囲の動作周波数で動作するとき、一式のスイッチ(例えば、110、112、114及び116)は、同調回路に有意な損失を導入し、それにより回路の性能特性を低下させてしまう。図1に示された従来の解決策は、不利なことに、空間量(つまり、集積回路の面積)とスイッチを収容し動作させるために必要な電力量の両方を増大させてしまう。電力要件は、一式のスイッチを動作させるために必要なDC電流により増大する。
良く知られているように、半導体素子の製造において、多くの異なる機能を単一のチップに集積するという、例えばアナログ及びデジタル回路を同一の集積回路ダイに製造するという要望がある。例えば、最近、種々の携帯電話端末(又は携帯電話機)の機能及び回路を単一の集積回路素子に集積する努力がなされている。ほんの数年前、デジタル・ベースバンド、中間周波数(IF)及び無線周波数(RF)回路の単一のシステム・オン・チップ(SoC)集積回路への集積は、互換性のないプロセス技術、生産の限度、高い試験コスト、受動部品の整合が悪い及び適切なアナログ特性を有するオン・チップ受動部品がない等のような多数の要因のために、予期できないか又は不可能に近いように思えた。しかしながら、回路設計、ハードウェア部品の物理的実装、プロセス技術、製造及び試験技術における多くの進歩があった。これらの進歩は、デジタル・ベースバンド、混合信号及びRF回路の単一の集積回路素子への集積をより現実的にしている。このような進歩の1つは、Rodd Novak氏による記事「Overcoming the RF Challenges of Multiband Mobile Handset Design」、RF/Microwave Switches and Connectors、2007年7月20日出版、www.rfdesign.comに記載されている。この記事は、参照されることにより全体が本願明細書に組み込まれる。
Nvak氏の記事に記載されているように、携帯電話の複雑性は、急速に増大しており、二重帯域から三重帯域へ、最近では四重帯域へ移行している。更に、携帯電話機は、Bluetooth(登録商標)、Wi−Fi及びGPSのような周辺機器の無線のために種々の信号に対応できる必要がある。この傾向は、他の機能が追加される度に続くと予想される。Novakの記事に記載されているように、三重帯域のWCDMA(登録商標)及び四重帯域のEDGEプラットフォームを組み込む端末が、現在開発されている。これらのアーキテクチャは、単一の端末内に少なくとも7個の通信機を必要とする。周辺無線の人気の高まり及びアンテナにアクセスするために必要な機能により、複雑性は増大し続けるだろう。携帯電話端末の設計における複雑性の増大は、高出力信号経路の数を3倍よりももっと、RFフロントエンドを大いに複雑にしている。元来、多重帯域端末は、全て異なる帯域で動作する複数のRF信号経路に対応しなければならない。更に、全てのRF信号経路は単一のアンテナへのアクセスを共有しなければならない。Novakの記事に記載されているように、非常に効率的な解決策は、単一の単極多投RFスイッチを用いて全ての競合するRF信号経路をアンテナに向けることである。
本願の譲受人は、このようなRFスイッチを開発しており現在市販している。また、例であるRFスイッチの設計は、本願の譲受人による出願及び特許に記載されている。例えば、次の出願及び特許は、携帯端末回路の更なる集積を実現するRFスイッチの設計を記載している。米国特許番号6,804,502、2004年10月12日発行、Burgener他;米国特許番号7,123,898、2006年10月17日発行、Burgener他(以上2つの特許の発明の名称は「Switch Circuit and Method of Switching Radio Frequency Signals」である);継続中の米国特許出願番号11/582,206、2006年10月16日出願、発明の名称「Switch Circuit and Method of Switching Radio Frequency Signals」;継続中の米国特許出願番号11/347,014、2006年2月3日出願、発明の名称「Symmetrically and Asymmetrically Stacked Transistor Grouping RF Switch」;米国特許番号7,248,120、2007年7月24日発行、Burgener他;米国特許番号7,088,971、2006年8月8日発行、Burgener他;継続中の米国特許出願番号11/501,125、2006年8月7日、発明の名称「Integrated RF Front End with Stacked Transistor Switch」;継続中の米国特許出願番号11/127,520、2005年5月1日出願、発明の名称「Improved Switch Circuit and Method of Switching Radio Frequency Signals」。全ての上述の継続中の特許出願及び発行された特許は、参照されることにより全体が本願明細書に組み込まれる。
RFスイッチの設計におけるこれらの進歩は携帯端末回路の更なる集積を実現するが、携帯端末のアンテナ端子に存在するインピーダンスの不整合の結果として有意な問題が生じる。アンテナ端子のインピーダンスを広範囲に亘って変化させる携帯端末の変化する動作環境により、アンテナのインピーダンス不整合は、携帯端末を設計する技術者に有意な技術的課題を突きつける。アンテナのインピーダンス不整合に関連する問題は、Qiao他による記事「Antenna Impedance Mismatch Measurement and Correction for Adaptive CDMA Transceivers」、2005年6月12−17日発行、IEEE、2005 Microwave Symposium Digest、2005 IEEE MTT-S International、4頁以降を参照(以下では「Qiao氏の記事」と称す)に記載されている。Qiao氏の記事は参照されることにより本願明細書に全体が組み込まれる。
Qiao氏の記事に記載されているように、携帯端末は、種々の構成及び位置で、端末、特にアンテナを予測し難い方法で操作するユーザにより用いられる。公称アンテナは50オームの入力インピーダンスを提供するが、実際の使用では、アンテナ端子のインピーダンスは、最大10:1の電圧定在波比(VSWR)により特徴付けられる広い範囲に渡って変化しうる。(Qiao氏の記事の要旨を参照)。従って、主な設計工学の課題は、広範囲のアンテナ・インピーダンスに渡り携帯端末の適正な動作を維持することである。
例えば、受信機では、最適でない電源インピーダンスは雑音指数、利得及びダイナミック・レンジを悪化させる。電力増幅器では、アンテナのインピーダンス不整合は、効率、電力利得、最大出力電力及び線形性に大きな影響を与える。最悪の場合には、高い定在波振幅又は回路内の不整合によって引き起こされる可能な発振は、電力増幅器を損傷させうる。上記の本願明細書に組み込まれたQiao氏の記事に記載されているように、ある従来の解決策によると、アイソレータ又は電圧定在波比(VSWR)保護回路は、アンテナのインピーダンス不整合に関連する問題を緩和するために、増幅器とアンテナとの間に挿入される。残念ながら、この解決策は、減衰を生じ、従ってアンテナの効率を低下させるので、不利である。他の可能な解決策は、電力増幅器のダイナミック・バイアスを用いて又は調整可能なマッチング回路を用いてインピーダンス不整合を補正することを含む。アンテナ・インピーダンスの変動を生じる環境変化に対して順応して補正することは(例えば、携帯電話のアンテナの先端にフィンガを設置することは)、端末のRFフロントエンドの同調部品の必要性に対する重要な動機である。更に、同調部品は、携帯電話機のアンテナ数を増加させずに、RFフロントエンドに更に多くの周波数帯域をカバーさせる。1つのアンテナは、携帯電話機内でより多くの周波数帯域をカバーする必要がある。これは、従来の携帯端末では達成することが困難であると分かっている。同調マッチング回路を用いて、厳しい不整合状態下でも増幅器の性能を保つことができる。同調マッチング回路の幾つかの例は、従来技術で見付かる。
例えば、アンテナのインピーダンス不整合に関連する問題を軽減するのに用いられる調整可能なマッチング回路の例は、Peter Sjoblomによる論文(以下、「Sjoblomの論文」という)「An Adaptive Impedance Tuning CMOS Circuit for ISM 2.4-GHz Band」、IEEE Transactions on Circuits and Systems-I:Regular Papers、Vol.52、No.6、pp.1115-1124、2005年6月に記載されている。該論文に記載されているように、適応型(又はリコンフィギャブル)マッチング回路は、RFアンテナとRFスイッチの間で、変化するアンテナのインピーダンスに連続的に適応するために用いられる。Sjoblomの論文に記載された適応型マッチング回路は、インダクタと連動するスイッチド・キャパシタ・バンクを用いて、バルクCMOSプロセスを用いて実装される。キャパシタ及びインダクタは、梯子形回路を形成する。アンテナ側では、電圧検出器の後にアナログ−デジタル(A/D)変換器が続く。制御システムは、最良の性能を生む状態に達するよう、全ての可能な組み合わせを通してキャパシタのバンクを切り替えることにより、適応型マッチング回路を制御する。図2A及び2Bは、Sjoblomの論文に従って作成された従来技術の調整可能なマッチング回路の2つの例(それぞれ200及び200’)を示す。図2Aに示されるように、調整可能なマッチング回路200の例は、インダクタ204及び負荷206に結合された3個のスイッチド・キャパシタ202のバンクを有する。負荷206は、標準的にRFアンテナを有する。広範囲のインピーダンスに整合する十分な許容範囲を得るためには、単一のインダクタでは十分でない。別の従来の適応型マッチング回路200’は、図2Bに示される。この別の回路は、図2Bに示されるように配置されアンテナ214に結合された2個のインダクタ(204’及び204’’)及び3個のキャパシタ・バンク(208、210及び212)を有する。インダクタ(204、204’及び204’’)は、標準的に「フリップチップ・パッケージング」又は低温焼結多層セラミック(LTCC)基板に配置される。
不都合なことに、Sjoblomの論文に記載された調整可能回路は、特定の無線通信用途により必要とされる十分な電力を供給するように設計しないし、できない。例えば、調整回路200、200’の電力処理能力は、良く知られたGSM(登録商標)(Global System for Mobile communications)で用いられるよう設計された携帯型端末には不十分である。GSM(登録商標)/WCDMA(登録商標)端末で使用できるよう、調整可能な構成要素は、(GSM(登録商標)規格に基づき)36dBmより大きい高調波を生成することなく、少なくとも+35dBmの電力を許容する必要がある。また、WCDMA(登録商標)のIMD3(第3調波歪)は、十分に低い必要がある(標準的に−105dBm..−99dBm)。これらは、端末のアンテナのスイッチに課される要件と同じである。Sjoblomの論文は、低電力用途(標準的に+20..+25dBm)のために設計されている。Sjoblomの論文は単一のFET及び1つのキャパシタを用いるが、本発明の教示のデジタル同調キャパシタ(以下、「DTC(digitally tuned capacitor)」という)は、多数のFET(標準的に5〜6)のスタックを用い、DTCの電力処理能力を向上させる。バルクCMOSプロセスで構築されたものは、高い電力処理要件を満たすことができない。UltraCmosプロセスは、DTC内でスタック・トランジスタを用いることを許容でき、それにより(GSM(登録商標)/WCDMA(登録商標)のアンテナの切り替えと同じように)DTCに高い電力レベルを処理させる。スタック・トランジスタは、基板結合に関連する問題により、バルクCMOSプロセスを用いて実装できない。
上述のQiaoの論文は、シャント・キャパシタ304に結合されたシリコン・オン・サファイア(SOS)スイッチ302を有する調整可能なマッチング回路300を記載している。Qiaoの論文に従って作成された従来の調整可能なマッチング回路300の例は、図3に示される。図3に示されるように、この調整可能なマッチング回路は、64(26)個の可能なキャパシタ状態を提供する6個のトランジスタ302を有する。最良の状態は、如何なる特定の不整合の状況にも適合するよう選択される。調整可能なマッチング回路300は、離散構成要素を用いて、PCB基板上に実装される。トランジスタ302は、並列に配置されワイヤ・ボンディングにより結合された1000μm*0.5のμmFETを有する。全スイッチのオン抵抗値は約0.5オームであり、オフ・キャパシタンスは約1.8pFである。Qiao他により教示されたスイッチド・キャパシタの手法は有望な特徴を有するが、該手法を用いた集積回路の実装は有意な集積回路面積を占有しうる。例えば、ダイ面積の推定は、0.5オームFET辺り約1.2mmである。これは、6ビット・スイッチド・キャパシタでは、キャパシタ204を有さず7.2mmを超える。完全な調整可能なマッチング回路は、全部で4個のスイッチド・キャパシタ・バンクを必要とし、結果として総FET面積は約30mmになる。Qiaoの教示により必要とされる大きすぎるダイ面積に加えて、別個のキャパシタの許容範囲の差により、全体のキャパシタンスを正確に制御することも困難である。また、不都合なことに、回路は、特定の用途では粗悪な電力処理能力、線形性及びQファクタ値を有する。更に、この従来の解決策では、性能の劣化は、別個のキャパシタの寄生インダクタンスにより引き起こされる。サファイア基板上の集積回路を用いた集積されたソリューションの寄生インダクタンス及び品質因子(Q)は、別個のSMDキャパシタを用いて標準的に達成可能な品質因子よりも高いので、(別個のキャパシタとは対照的に)集積されたキャパシタを用いることは不利である。
上述のQiao及びSjoblomの論文の両者に記載されたように、集積回路技術を用いた高周波数では、CMOSスイッチ及びキャパシタの代わりに、微小電気機械システム(MEMS)スイッチを用いて多くの研究が行われている。MEMSスイッチ、バラクタ及び薄膜チタン酸バリウムストロンチウム(Barium Strontium Titanate:BST)調整可能キャパシタは、調整可能又はスイッチド・マッチング回路の設計で用いられている。不都合なことに、これらの手法は、価格、調整範囲(「同調比」とも称される)(一般に最大利用可能キャパシタンス/最小利用可能キャパシタンスに相当する)、集積化及び線形性の不利点を有する。種々の理由から、これらの解決策は、多くの無線通信仕様により課される電力処理、同調比率及び線形性の要件を満たさない。何年もの研究及び開発の後でも、調整可能な構成要素の機会を追求するために設立された幾つかのMEMS及びBST製造業者は、種々の携帯電話仕様で示された要件及び仕様に達していない。従って、GSM(登録商標)の電力レベル(つまり+35dBm)及びWCDMA(登録商標)の線形性(IMD3、−105dBm)のために大量生産された調整可能なキャパシタ又はインダクタは、全く存在しない。BSTキャパシタは、BSTキャパシタのQファクタが有意に劣化する高温で動作するとき、重大な問題を示す。
例えば、バラクタ・ダイオード及びバルクCMOSスイッチド・キャパシタは、これらの携帯電話仕様の電力及び線形性要件を満たさない。MEMSスイッチド・キャパシタ・バンクが存在するが、それらは電力及び線形性の要件を満たすとは思えない。それらは、別個の高電圧ドライバ・チップ及び密封パッケージを必要とし、携帯端末の用途では信頼性が問題である。BST電圧調整可能キャパシタは、強誘電体材料に基づく。これらの従来の解決策は、電力及び線形性要件を満たすのが困難である。それらは、不都合なことに、高バイアス電圧(例えば20−40V)を生成するために外部高電圧(HV)集積回路を必要とし、一般に他の制御電子機器と共に集積できない。BST電圧調整可能キャパシタは、ヒステリシス及び温度安定度による性能の劣化にも苦しむ。
従って、集積回路素子内のキャパシタをデジタル的に調整する方法及び装置が必要である。従来の解決策に関連する不利点を克服し、単一の集積回路への調整可能なキャパシタ回路の集積を実現する方法及び装置が必要である。他の移動端末回路及び機能と共に使用される調整可能なマッチング回路の完全な集積を実現する装置が必要である。また、調整可能なアンテナ・マッチング回路のような集積された同調キャパシタ回路を動的に較正できる装置及び方法が必要である。本発明の教示はこのような方法及び装置を提供する。
本開示の実施形態の詳細は、添付の図面及び以下の説明に詳細に記載される。本開示の詳細が分かると、多数の追加の工夫及び変更が当業者に明らかになる。
集積回路素子内のキャパシタのデジタル的な調整に用いられる方法及び装置が記載される。第1及び第2の端子の間に適用されるキャパシタンスをデジタル的に制御することを可能にするデジタル同調キャパシタDTCが記載される。幾つかの実施形態では、第1の端子はRF+端子を有し、第2の端子はRF−端子を有する。幾つかの実施形態では、DTCは、最下位ビット(LSB)から最上位ビット(MSB)まで重要性で順序付けられた複数のサブ回路を有し、複数の有効ビットのサブ回路は一緒に並列に結合され、各サブ回路は第1のRF端子に結合された第1の節点と第2のRF端子に結合された第2の節点とを有する。DTCはデジタル制御ワードを受信する入力手段を更に有し、デジタル制御ワードは、同様にLSBからMSBまで重要性で順序付けられたビットを有する。デジタル制御ワードの各有効ビットは、DTCの対応する関連付けられた有効ビットのサブ回路に結合され、それにより関連付けられサブ回路の切り替え動作を制御する。DTCはユニット・セルを用いて実施され、LSBのサブ回路は単一のユニット・セルを有する。次の有効ビットのサブ回路は、該次の有効ビットのサブ回路の関連付けられた対応する前の有効ビットのサブ回路を実施するために用いられたユニット・セルのx倍の数のインスタンスを有し、値xはDTCの有効ビットのサブ回路を重み付けするために用いられた重み付け符号化に依存する。DTCは、2進符号、サーモメータ符号、両者の組み合わせ、又は如何なる他の都合の良い有用な符号に従い重み付けされてもよい。多くの実施形態では、ユニット・セルは、キャパシタと直列の複数のスタックされたFETを有する。ユニット・セルは、スタックされたFETのゲートに結合された複数のゲート抵抗器R、及びスタックされたFETを有のドレインとソースとに渡って結合された複数の抵抗器RDSを有してもよい。スタックされたFETはDTCの電力処理能力を向上させ、現在の又は将来の通信規格により課される高電力処理要件に適合する又はそれを超えるようにする。
オン・チップの受動電気素子を用いて適応型同調回路を実施する問題を解決するときの従来の試みの概略図である。 個々のインダクタ及び負荷に結合されたシャント・キャパシタのバンクを有する従来の調整可能なマッチング回路の例の概略図である。 個々のインダクタ及び負荷に結合されたシャント・キャパシタのバンクを有する従来の調整可能なマッチング回路の例の概略図である。 64(26)個の可能なキャパシタ状態を提供する6個のトランジスタのスタックを有する従来の調整可能なマッチング回路の別の例の概略図である。 本発明の教示によるデジタル同調キャパシタ(DTC)の一実施形態の概略図を示す。 図4AのDTCの概略図を示す。 本発明の教示によるデジタル同調キャパシタ(DTC)の別の実施形態の概略図を示す。 図4CのDTCの概略図を示す。 デジタル同調キャパシタ(DTC)の別の実施形態の概略図を示す。 図5AのDTCのスイッチングFETに関連するオン抵抗値及びオフ・キャパシタンスを示す等価回路である。 デジタル同調キャパシタ(DTC)の別の実施形態の概略図を示す。 図5CのDTCのスイッチングFETに関連するオン抵抗値及びオフ抵抗値を示す等価回路である。 DTCはユニット・セル設計ブロック技術に従い設計され、DTCは関連する対応するMIMキャパシタに直列に結合された複数のスタックFETを有する、本発明の教示に従い作成されたDTCの別の実施形態の詳細な設計を示す。 DTCは図6Aよりも更に一般化されたDTCを有し、ユニット・セル設計ブロック技術に従い設計され、DTCは関連する対応するMIMキャパシタに直列に結合された複数のスタックFETを有する、本発明の教示に従い作成されたDTCの別の実施形態の詳細な設計を示す。 本発明の教示によるDTCを実装するために用いられる一般化されたユニット・セル設計ブロック(LSBサブ回路)の概略図である。 図7Aのユニット・セル設計ブロックのオン状態のRF等価回路の概略図である。 図7Bのオン状態のRF等価回路の簡略化された等価回路概略図である。 図7A乃至7Cのユニット・セル設計ブロックのQ対周波数曲線を示す図である。 図7Aのユニット・セル設計ブロックのオフ状態のRF等価回路の概略図である。 図7Eのオフ状態のRF等価回路の簡略化された等価回路概略図である。 図7Eのオフ状態のRF等価回路のQOFF対周波数を示す図である。 図7A乃至7Gを参照して説明される設計原理及び概念を用いた完全に実装された完全なDTCの簡略化された等価回路を示す。 図7Hの完全に実装された完全なDTCの簡略化された等価回路を示す。 効率的なスタックの高さは本発明のDTCの実際のスタックの高さを超えている、効率的なFETスタックの高さが本発明の教示を用いてどのように達成されるかを示すFETスタックの簡略化された概略図を示す。 表1に示された設計特性に従い作成された1GHzのDTCの例の概略図である。 図8Aの1GHzのDTCのモデル・シミュレーションを示す。 図8AのDTCの総キャパシタンスとDTCのキャパシタンス制御ワードの設定の図である。 所与の適用信号周波数における、図8AのDTCの総Qファクタ値対DTCのキャパシタンス制御ワードの設定の図である。 本発明の教示に従い作成された図8AのDTCの1xbitLSBユニット・セルの集積回路レイアウトの例を示す。 図8Aの1GHzのDTCの集積回路レイアウトの例を示す。 表1に示された設計特性に従い作成された2GHzのDTCの例の概略図である。 図9Aの2GHzのDTCのモデル・シミュレーションを示す。 図9AのDTCの総キャパシタンスとDTCのキャパシタンス制御ワードの設定の図である。 所与の適用信号周波数における、図9AのDTCの総Qファクタ値対DTCのキャパシタンス制御ワードの設定の図である。 本発明の教示に従い作成された図9AのDTCの1xbitLSBユニット・セルの集積回路レイアウトの例を示す。 図9Aの2GHzのDTCの集積回路レイアウトの例を示す。 本発明のDTCのキャパシタンス同調曲線と薄膜チタン酸バリウムストロンチウム(BST)調整可能キャパシタのキャパシタンス同調曲線との比較を示す。 本発明のDTCのキャパシタンス同調曲線と薄膜チタン酸バリウムストロンチウム(BST)調整可能キャパシタのキャパシタンス同調曲線との比較を示す。 選択された最小Qファクタ値(Qmin)における、DTCの調整範囲対適用信号の周波数のグラフを示す。 調整範囲及びダイ面積要件対所与の適用信号周波数900MHzにおける選択されたDTCの最小Qファクタ値(Qmin)のグラフを示す。 最大DTCキャパシタンス(Cmax)が増大するにつれ、異なるFETスタック高さに関連するFETダイ面積要件(つまり、DTCのFETのダイ面積要件)がどのように増加するかを示す図である。 調整範囲及びダイ面積要件対選択された変更されていないDTCの最小Qファクタ値(Qmin)のグラフを示す。 変更されたDTCは並列の固定キャパシタを有するよう変更された変更されていないDTCを有し、調整範囲及びダイ面積要件対変更されたDTCの最小Qファクタ値(Qmin)のグラフを示す。 本発明の教示に従い作成された変更されていないDTCの簡略化された概略図であり、DTCパラメータ値も有する。 本発明の教示に従い作成された変更されたDTCの簡略化された概略図であり、変更されたDTCは固定キャパシタを図15Aの変更されていないDTCに並列に結合することにより実装される。 「HaRP」設計技術に従い作成された4端子ACC MOSFETの簡略化された概略図を示し、ACC MOSFETはDTCの幾つかの実施形態のFETスタックを有するFETを実装するために用いられる。
種々の図面内の同様の参照符号及び記号は同様の要素を示す。
<本発明を実施するモード>
本願明細書を通じて、示される好適な実施形態及び例は、例として考えられるべきであり、本発明を限定すると考えられるべきではない。
図4Aは、本発明の教示に従い、集積回路素子内で用いられるデジタル同調キャパシタ(以下、「DTC」という)400の一実施形態の概略図を示す。図4Aに示されるように、例である一実施形態では、DTC400は、対応するMOSFET素子に直列に結合された第1の端子を有する複数のキャパシタ(例えば、キャパシタ402、404、406及び408)を有する(つまり、キャパシタ402はFET402’のソースに結合され、キャパシタ404はFET404’のソースに結合され、キャパシタ406はFET406’のソースに結合され、キャパシタ408はFET408’のソースに結合される)。図4Aに示された実施形態では、キャパシタ402、404、406及び408の第2の端子は、グランド節点又はグランド端子410に結合される。しかしながら、本発明の教示に従い作成されたDTCの更に一般的な実施では、キャパシタ402、404、406及び408の第2の端子は、一緒に結合され、接地されていない端子又はポートに結合されてもよい。このような設置されていない端子又はポートは、幾つかの実施形態では、負荷、RFポート若しくは端子(負又は正のRFポート)又は如何なる他の都合のよいポート若しくは端子に結合されてもよい。
図4Aに示されるように、FETのドレインは、一緒に結合され、負荷端子412に結合される。従って、負荷端子412とグランド端子410は、それぞれ図1の端子A101及びB103に類似している。以下に詳細に記載されるように、幾つかの実施形態では、負荷端子412は移動端末アンテナを有してもよい。図4C及び4Dの更に一般化されたDTC400’’に示されるように、負荷端子412はRF+端子412’を有し、「グランド」端子410はRF−端子410’を有する(図4C及び4Dを参照して以下に記載される更に一般化された場合には、グランド端子410は必ずしも接地に結合されない)。これらの更に一般化されたDTCの実施形態は、以下に更に詳細に記載される。電子素子設計分野の当業者は、代案として、FETがN型又はP型MOSFETかに依存して、複数のキャパシタ(402−408)がFET(402’−408’)のドレインに結合されてもよいこと、及びソースが負荷端子412に結合されてもよいことを理解する。本発明の教示による一実施形態では、複数のキャパシタは金属−絶縁体−金属(MIM)キャパシタを有する。良く知られているように、MIMキャパシタは、DCデカップリング、マッチング及びバイアス回路内のモノリシック集積回路素子で広く用いられている。集積回路素子では、有利なことに、種々のMIMキャパシタは非常に良好な整合特性を示す(つまり、種々のMIMキャパシタは優れた許容範囲特性を有する)。
本発明の教示のDTCは本願を通じてMIMキャパシタ(例えば図4Aのキャパシタ402−408)を用いて実施されるとして記載されるが、電子設計分野の当業者は、MIMキャパシタが他の実施形態では別の種類のキャパシタを有してもよいことを理解する。より詳細には、これらのキャパシタは、高いQファクタ値を有する如何なる有用なRFキャパシタを有してもよい。幾つかの実施形態では、キャパシタは、MIM(金属−絶縁体−金属)、MMM(金属−金属−金属)、インターデジット型キャパシタ(Interdigitated Capacitors:IDC)及びそれらの変形を有してもよい。「MIM」キャパシタは、他の実施形態ではオフ状態にバイアスされたFETを有してもよい。
本発明の教示によると、MIMキャパシタ(つまりキャパシタ402−408)のキャパシタンス値は、都合の良い望ましい方法で重み付けされる。例えば、一実施形態では、DTCのMIMキャパシタは2値の重みを与えられる。更に詳細には、本実施形態によると、最下位キャパシタC402は、所望の最下位(又は最低)キャパシタンスCLSBを有するよう設計される。次のビットのキャパシタC404は、CLSBの2倍又は2×CLSBのキャパシタンスを有するよう設計される。2値の重みは、各次のビットのキャパシタンスが前のキャパシタンスより2の冪乗大きいキャパシタンスを有するような方法で割り当てられる。最後に最上位のキャパシタC408は、2n−1×CLSBのキャパシタンスを有するよう設計される。
IC製造分野の当業者は、幾つかの代替手段が選択されたキャパシタのキャパシタンスを実施するために用いられてもよいことを理解する。例えば、一実施形態では、選択されたキャパシタ(例えばC404)は2つの前段のキャパシタ(本例ではC402)を並列に配置することにより形成されうる。同様に、次のビットのキャパシタ(例えばC406)は4つの最下位キャパシタ(本例ではC402)を並列に配置することにより形成されうる。代案として、キャパシタは、所望のキャパシタンス値を有するよう異なる物理的寸法に設計されてもよい。更に、図4Aに示された実施形態のMIMキャパシタは2値の重みを有するとして記載されたが、電子設計分野の当業者は、如何なる都合の良いキャパシタンス−重み付け方式がMIMキャパシタに割り当てられてもよいことを理解する。例えば、代替の実施形態では、対数スケーリングが望ましく、各キャパシタは前段のキャパシタより10倍大きいキャパシタンス値を有するよう設計されうる。より詳細には、図4Aを再び参照すると、キャパシタC404は10×CLSBのキャパシタンスを有するよう設計され、C402はCLSBのキャパシタンスを有するよう設計される。本実施形態では、Cは10n−1×CLSBのキャパシタンスを割り当てられる。以下に詳細に記載されるように、本教示の一実施形態によると、MIMキャパシタは「サーモメータ・コード化(thermometer coding)」方式を用いて重み付けされる。
以下に更に詳細に記載されるように、本発明のDTCの一実施形態では、MIMキャパシタ(例えばキャパシタ402−408)は「ユニット・セル」設計ブロックの一部として設計される。以下に更に詳細に記載されるように、ユニット・セルは、所望の機能を達成するために集積回路素子内に複製される(又はインスタンス化される)基本設計構築ブロックを有する。ユニット・セルの実装によると、最下位キャパシタ(つまりキャパシタC402)はユニット・セル設計ブロックの一部である。例えば、ユニット・セル設計ブロックは、(図4Aに「ユニット・セル設計ブロック」414として示される)最下位シャント・キャパシタC402に直列に結合された最下位FET402’を有する最下位ビット(LSB)サブ回路を有してもよい。ユニット・セルの実装によると、選択されたMIMキャパシタ(例えば、最下位から2番目のシャント・キャパシタC404)のキャパシタンスは、並列に電気的に結合された2つのユニット・セル・ブロック414を有する。つまり、次の有効ビットのサブ回路は、(上述のLSBサブ回路を有する)ユニット・セル設計ブロックの2つのインスタンスを有する。次の有効ビットのキャパシタ(つまりC406)のキャパシタンスは、並列に電気的に結合された4個のユニット・セル・ブロック414を有する。以降同様である。MSBの有効ビットのサブ回路は、並列に結合されたLSBサブ回路の8個のインスタンスを有する。MIMキャパシタ(402−408)の許容範囲及び整合は、ユニット・セル設計手法を用いて大幅に向上される。何故なら、これらのMIMキャパシタは同一のユニット・セル構築ブロックに基づくからである。この実装は以下により詳細に記載される。
本発明のDTCの一実施形態によると、MIMキャパシタ(例えば、MIMキャパシタC402)のキャパシタンス値とこれらのMIMキャパシタの個々のFET(例えば、FET402’)の大きさの両者は、同様に重み付けされる。例えば、図4Aを再び参照すると、最下位FET402’はDTC400で用いられる複数のFETのうちの最小のFET(つまり、FETは最小の集積回路ダイ面積を占有する)を有するよう設計されうる。FETの大きさは、Q仕様が満たされるように(FETのRON対Cmimキャパシタンス)、及び所望の同調比率が達成されるように寸法を決められる。FETがオフに切り替えられているときのFETのキャパシタンスは、「COFF」により表される。従って、FETがオフのとき、ビットの総キャパシタンスはCOFFと直列のCmimである。FETの大きさ及び各FETのCOFFの選択は、Cmim又はDTC全体の最小キャパシタンスを決定する。また、FETのスタックでは、FET間で分圧される。MIMキャパシタ値は、FETのCOFFとCmimとの間の分圧に基づきFETの所望のスタック高さが低減されるように調整されうる。最下位FET402’は、その大きさが最小なので、DTC400の他の全てのFET(例えば404’、406’及び408’)と比較して、最も高いオン抵抗値(RON、ここではFETがオンに切り替えられているときのFETの抵抗値として定められる)及び最も低いオフ・キャパシタンス(COFF、ここではFETがオフに切り替えられているときのFETのキャパシタンスとして定められる)を有する。例えば、一実施形態では、最下位ビットFET402’がオン抵抗値RON及びオフ・キャパシタンスCOFFを有する場合、最下位ビットの次の有効ビットのFET404’は(MIMキャパシタの2値の重み付けと同様に)2値で重み付けされ、前の有効ビットのFET(つまり、402’)の2倍の大きさであり、従ってRON/2のオン抵抗値及びCOFF×2のオフ・キャパシタンスを有する。同様に、最下位ビットの次の有効ビットのFET406’は、2値で重み付けされ、最下位FET(つまり、FET402’)の4倍の大きであり、従ってRON/4のオン抵抗値及びCOFF×4のオフ・キャパシタンスを有する。
FETの2値の重み付けは、前の有効ビットのFETの半分のオン抵抗値及び前の有効ビットのFETの2倍のオフ・キャパシタンスを有する最下位ビットの次の各有効ビットのFETと同様の方法で(MIMキャパシタの2値の重み付けと同様に)割り当てられる。最後に、最上位ビットFET(例えば、DTC400のFET408’)であるFETnは、2n−1×FETLSBの大きさを有するよう設計される(ここでnはDTC内で使用されるFETの数)。本実施形態では、最上位FETは2n−1×(最下位ビットFETの大きさ)の大きさを有する。従って、最上位ビットFETは、2n−1×COFFLSBのオフ・キャパシタンス(ここで、COFFLSBは最下位ビットFETのCOFFを有する)、及びRONLSB/2n−1のオン・キャパシタンス(ここで、RONLSBは最下位ビットFETのオン抵抗値を有する)を有する。上述のように、MIMキャパシタの重み付けと同様に、他の重み付け方式がFETに適用されうる。例えば、サーモメータ重み付け方式が用いられうる。しかしながら、通常の場合には、どのような重み付け方式が用いられても、該重み付け方式はMIMキャパシタとそれらの個々の関連付けられたFETの両者に等しく適用されるべきである。例えば、2値の重み付け方式が用いられる場合、2値の重み付け方式は、1対1に各対応する有効ビットのFET及びMIMキャパシタに適用されるべきである。選択されたキャパシタ(例えば、MIMキャパシタC406)に割り当てられた如何なる重みも、該キャパシタに対応し関連付けられたFET(つまり、FET406’)に割り当てられるべきである。この構成は以下により詳細に記載される。本発明のDTC技術のこの態様は、各ビットに対して一定のQ値を維持するので、重要である。Ron及びCmimの間の関係がスケーリングの態様によって同一なので、FETに対して一定のQファクタが維持される。これは、DTC全体のQファクタを、(全てのFETがオンに切り替えられていると仮定すると)ユニット・セルと同一のままにする。
図4Aに示されるように複数のMIMキャパシタが共に並列に結合されるので、それらのキャパシタの個々のキャパシタンス値は、全ての個々のMIMキャパシタのキャパシタンス値を単純に加算することにより結合される。従って、(負荷412とグランド410との間で測定される)DTC400のキャパシタンスは、全てのMIMキャパシタCのキャパシタンスの和に等しい。
図4Aを再び参照すると、負荷412とグランド端子410との間のキャパシタンス(つまり、DTC400の総キャパシタンス)は、制御ロジック・ブロック416に適用されるデジタル制御ワードCAPword426により制御される。幾つかの実施形態では、制御ワードCAPword426は、制御ロジック・ブロック416の介在なしにDTC FETに直接に適用される。DTC FETに適用される制御ワードは、携帯電話端末の動作を識別し追跡するフィードバック回路を用いて生成されてもよい(例えば、制御ワードは、端末アンテナを有する移動端末のインピーダンス整合を監視し、相応して制御ワードを調整することにより連続的に生成されてもよい)。電子機器設計分野の当業者は、DTC400のキャパシタンスを制御するためにデジタル制御ワードを生成する多くの方法が存在すること、及びそのような仕組みが本発明の教示により考案され本発明の教示の範囲内に包含されることを理解するだろう。
図4Aを再び参照すると、制御ワードは、DTC400の各FET(つまり、402’−408’)の切り替え動作を個々に制御するために適用される。制御ビットは、最下位ビット(LSB)から最上位ビット(MSB)へと順序付けられ、最下位MIMキャパシタから最上位MIMキャパシタに関連付けられた対応するシャントFETを制御するために割り当てられる。制御ワードの最下位ビット(例えば、B)は、最下位ビットFET402’の動作を制御するために信号線418に適用される。制御ワードの次の有効ビット(例えば、B)は、次の有効ビットのFET404’の動作を制御するために信号線420に適用される。制御ワードの次の有効ビット(例えば、B)は、次の有効ビットのFET406’の動作を制御するために信号線422に適用される。制御ワードの最上位ビット(例えば、B)は、最上位ビットのFET408’の動作を制御するために信号線424に適用される。図4Aに示される例では、4ビットの制御ワードは4個のFETの動作を制御し、それによりどの(及び何個の)MIMキャパシタが負荷端子412とグランド410との間に適用されるかを制御する。図4Aに示されるDTC400では、DTCは16個の(つまり、2個の)可能な不連続のキャパシタンス値のうちの1つを有しうる。図4Bは、図4AのDTC400の概略図を示す。
図4C及び4Dは、本発明の教示により作成されたデジタル同調キャパシタ(DTC)400’’及び400’’’のそれぞれの一般化された実施形態の簡略化された概略図を示す。図4CのDTC400’’の一般化された実施形態は、図4Aを参照して上述されたDTC400と同様に機能する。しかしながら、図4C及び4Dに示されるように、一般化されたDTC400’’(及び図4Dの一般化されたDTC400’’’)は、第1のRF端子(具体的にはRF+端子412’)と第2のRF端子(具体的にはRF−端子410’)との間のキャパシタンスをデジタル的に調整するか又は変化させる。図4C及び4Dに示され、第1及び第2のRF端子に関連付けられた符号の表示(つまり「+」及び「−」の符号の表示)は、単に一般化されたDTC400’’及び400’’’の上側の端子(つまり「RF+」412’)及び下側の端子(つまり「RF−」410’)を示す。RF+412’は、図1の従来の切り替え可能なキャパシタ回路100の端子A 101に類似する。RF−410’は、図1の従来の切り替え可能なキャパシタ回路100の端子B 103に類似する。DTC400’’のRF+412’及びRF−410’端子は、設計パラメータ及びシステム要件を満たすために必要な場合には、任意の都合のよいポート、端子、負荷又は他の回路素子に結合されてもよい。
例えば、幾つかの実施形態では、DTC400’’は「シャント」構成で他の回路に結合される。このよな「シャント」構成で結合されるとき、RF+端子412’は負荷又はRFポートに結合され、RF−端子410’はグランドに結合されてもよい(つまり、図4Aを参照して上述されたDTC400の接続と同様に接続されてもよい)。シャント構成の別の実施形態では、RF+端子412’はグラントに結合され、RF−端子410’は負荷又はRFポートに結合されてもよい。更に別の実施形態では、DTC400’’は、「直列」構成で他の回路に結合されてもよい。「直列」構成で結合されるとき、RF+端子412’は例えばRF入力ポートのような入力ポートに結合され、RF−端子410’は例えばRF出力ポートのような出力ポートに結合されてもよい。直列構成の別の実施形態では、RF+端子412’は例えばRF出力ポートのような出力ポートに結合され、RF−端子410’は例えばRF入力ポートのような入力ポートに結合されてもよい。
図4CのDTC400’’は、複数のMIMキャパシタがFETスイッチのスタックの上端に直列に結合されることを示す。この構成は以下により詳細に記載される。 図4Dは、図4CのDTC400’’の概略図を示す。DTC400’’は、「5ビット」DTCの実装を示す。DTCの同調を制御するために適用されるデジタル制御ワードは5ビットを有し、従ってDTC400’’は5個の有効ビットのサブ回路を用いて実施される。上述のように、本発明の教示のユニット・セル設計技術の一実施形態によると、各有効ビットのサブ回路は、適切な数のユニット・セルを一緒に並列に結合することにより実施される。例えば、LSB有効ビットのサブ回路は、ユニット・セルを有する。次の有効ビットのサブ回路は、並列に結合されたユニット・セルの2つのインスタンスを有する。次の有効ビットのサブ回路も、並列に結合されたユニット・セルの4つのインスタンスを有する。最後に、図4Cに示されるように、MSB有効ビットのサブ回路は、並列に結合されたユニット・セルの16個のインスタンス(又は16個のLSBサブ回路)を有する。
図5Aは、本発明の教示に従い、集積回路素子内で用いられるデジタル同調キャパシタ(DTC)500の別の実施形態の概略図を示す。図5Aに示されるように、例である一実施形態では、DTC500は、複数のスイッチング・シャントFET504に直列に結合された複数のキャパシタを有する。留意すべき点は、図5AのDTC500の複数のMIMキャパシタ502は、複数のシャントFET504とRFアンテナ端子506との間に結合される(つまり、MIMキャパシタ502は、シャントFETの下に結合されるのとは対照的に、シャントFET504の「上に」結合される)ことである。また、一般化されたDTC400’’を参照して上述されたように、及び図5Cの一般化されたDTC500’’を参照して以下により詳細に説明されるように、DTC500の一般化された実装は、DTCの第1の端子と第2の端子との間のキャパシタンスのデジタル的な調整を実現する。つまり、DTC500は図5A及び5BにRFアンテナ端子506を有し(図5A及び5BにMIMキャパシタ502の第1の端子に結合されるとして示される)、及びグランド端子510を有する(図5A及び5BにシャントFET504の下(又はドレイン)に結合されるとして示される)として示されるが、DTCの一般化された実施はこれに限定されない。図5Cの更に一般化されたDTC500’’(及び図5DのDTC500’’’)に関して以下により詳細に説明されるように、図5AのDTC500のRFアンテナ端子506(及び図5BのDTC500’のRFアンテナ端子506)は、RF+端子506’を有してもよい。「グランド」端子510(図5C及び5Dを参照して以下に説明される更に一般化された場合では、必ずしも全てグランドに結合されない)は、RF−端子510’を有してもよい。これらの実施形態は、図5Cの更に一般化されたDTC500’’及び図5DのDTC500’’’を参照して以下に更に詳細に説明される。
5ビットDTC500(制御ワード・ビットb乃至bがDTC500の総キャパシタンスを制御するために用いられる)は、図4A及び4Bを参照して上述された4ビット版と同様に機能する。図5Bは、図5AのシャントFET504に関連するオン抵抗値及びオフ・キャパシタンスを示す等価回路500’を示す。MIMキャパシタ502の関連するキャパシタンスは、図5Bに示される。図5Bに示されるように、及び図4AのDTC400と同様に、図5BのDTC500’は、2値の重み付け方式を用いる。具体的には、最下位ビット(LSB)のFET504’は、RONのオン抵抗値及びCOFFのオフ・キャパシタンスを有する。FET504’の関連付けられた対応するMIMキャパシタ502’は、CMIMのキャパシタンスを有する。次の有効ビットのFET504’’は、RON/2のオン抵抗値及び2COFFのオフ・キャパシタンスを有する。FET504’’の関連付けられた対応するMIMキャパシタ502’’は、キャパシタンス2CMIMを有する。DTC500’の残りの部分は同様に2値で重み付けされ、最上位ビットのFET504’’’はRON/16のオン抵抗値及び16COFFのオフ・キャパシタンスを有する。FET504’’’の関連付けられた対応するMIMキャパシタ502’’’は、16CMIMのキャパシタンスを有する。図5Bには示されないが、現実の各MIMキャパシタは、該MIMキャパシタに関連する固有損失項を有する。MIMのQ値は、約100.200である。固有損失は、MIMと直列に示された抵抗器により表される。
図5Bの説明で述べたように、DTC500’の各有効ビットのサブ回路のQファクタ(又は品質因子)(つまり、図5Bに示される各MIMキャパシタ/シャントFETサブ回路[例えば、対応する関連するMIMキャパシタ502’に直列に結合されたLSB FET504’]のオン抵抗値RON及びCMIM値)は、同一である。また、DTC500’の総Qファクタは、全てのシャントFET(つまり、FET504’、504’’、504’’’...504’’’’の全て)がオンに切り替えられているとき、DTC500’の各サブ区間のQファクタと同一である。良く知られているように、装置のQファクタ又は「標準感度」は、該装置の「品質」の指標である。Qファクタは、装置又は回路の効率を示すために屡々用いられる(例えば、Qファクタは、システムが発振する周波数を、該システムがエネルギを浪費する率と比較するために用いられうる)。良く知られているように、現在の無線通信仕様の多くは、RFフロントエンド回路に厳しいQファクタ要件を課している。例えば、RFフロントエンド回路は、低損失を示し、標準的に50−100の範囲のQファクタを有さなければならない。
一実施形態では、図4AのDTC400を参照して上述されたように、各サブ回路区間Q(又は品質因子)(つまり、RON及びCMIMの値)は、同一である。何故なら、DTC500’は上述のユニット・セル設計技術を用いて実施されるからである。上述のように、この設計技術によると、LSB有効ビットのサブ回路503’(つまり、ここではLSB MIMキャパシタ502’に直列に結合されたLSB FET504’として定められる)は、ユニット・セル設計ブロックを有する。次の有効ビットのサブ回路(例えば、次の有効ビットのサブ回路503’’はFET504’’及び関連付けられ対応するMIMキャパシタ502’’を有する)は、LSBサブ回路503’(上述のDTC500’のユニット・セル設計ブロックを有する)を2値の重み付けを達成するために必要な数だけインスタンス化する(複製する)ことにより実施される。例えば、LSBサブ回路503’(LSB MIMキャパシタ502’に直列に結合されたLSB FET504’)は2回インスタンス化され、2個のインスタンスは並列に結合され、次の有効ビットのサブ回路503’’(FET504’’及び関連付けられ対応するMIMキャパシタ502’’を有する)を実施する。LSBサブ回路は4回インスタンス化され(及び並列に結合され)、FET504’’’及び関連付けられ対応するMIMキャパシタ502’’’を有する次の有効ビットのサブ回路を実施する。以降同様である。最後に、図5Bに示されるように、MSB有効ビットのサブ回路503’’’’は、(並列に結合された)LSBサブ回路の16個のインスタンスを有する。
本発明のDTC方法及び装置の一実施形態によると、DTCは、以下の理想的な計画法的式(式1−4)に従って設計される。
Figure 2016028458
ここで、CmimはDTC500’により生成されうる最小キャパシタンスを有し、CmaxはDTC500’により生成されうる最大キャパシタンスを有し、「bits」は制御ワード内のビット数を表し、同調比率(本願明細書では「同調範囲」とも称される)はDTCが調整されうるキャパシタンスの範囲を有し、QminはDTC500’の最小許容Qファクタを有する。電子機器設計分野の当業者は、実際にはMIMキャパシタの「理想的でない」Q値が上述の式4で考慮される必要がありうることを理解するだろう。しかしながら、式4は「理想的な」式を有するので、この理想的でないQファクタはここでは考慮されない。
以上に簡単に述べたように、図5C及び5Dは、それぞれ(図5Aの)DTC500及び(図5Bの)DTC500’の一般化された実施を示す。図5C及び5Dに示されるDTCは、以下の重要な注意とともに、それぞれのDTCの対応する実施と同様に機能する。図5CのDTC500’’(及び図5DのDTC500’’’)は、RF+端子506’及びRF−端子510’の両者を有する。図4C及び4Dを参照して上述されたように、及び図5C及び5DのDTCで示されたように、DTC500’’(及び図5DのDTC500’’’)は、第1のRF端子(具体的にはRF+端子506’)と第2のRF端子(具体的にはRF−端子510’)との間のキャパシタンスをデジタル的に調整するか又は変化させる。図5C及び5DのDTCに示された符号の表示、並びに第1及び第2のRF端子に関連付けられた符号の表示(つまり「+」及び「−」の符号の表示)は、単にDTC500’’及び500’’’の上側の端子(つまり「RF+」506’)及び下側の端子(つまり「RF−」510’)を示す。RF+端子506’は、図1の従来の切り替え可能なキャパシタ回路100の端子A 101と類似する。また、RF+端子506’は、DTC400’’及び400’’’のRF+端子412’にも類似する。RF−端子510’は、図1の従来の切り替え可能なキャパシタ回路100の端子B 103と類似する。また、RF−端子510’は、DTC400’’及び400’’’のRF−端子410’にも類似する。DTC500’’(及び図5DのDTC500’’’)のRF+506’及びRF−510’端子は、設計パラメータ及びシステム要件を満たすために必要な場合には、任意の都合のよいポート、端子、負荷又は他の回路素子に結合されてもよい。全ての他の観点から、DTC500’’及び500’’’は、それぞれの対応する図5A及び5Bの「接地された」DTCの実施と同様に実施され動作する。従って、これらのDTCの実施の更なる説明は本願明細書には記載されない。
一実施形態では、本発明の教示のDTCは、UltraCMOS(登録商標)プロセス技術を用いて実施される。UltraCMOS(登録商標)は、従来のCMOSの経済及び集積化によりガリウムヒ素(「GaAs」)の性能を提供するサファイア基板上のSOI(silicon-on-insulator)技術の変形である混合信号(mixed-signal)プロセス技術を有する。この技術は、RF性能、低電力及び集積化が最重要である用途で、GaAs、SiGe、BiCMOS及びバルク・シリコンCMOSのような競合するプロセスに渡り、有意な性能の利点をもたらす。このプロセス技術は、本発明と同一の譲受人により所有される幾つかの米国特許に詳細に記載されている。これらの米国特許は、(限定ではなく)1995年5月16日に発行された米国特許番号5,416,043、1996年2月20日に発行された米国特許番号5,492,857、1996年11月5日に発行された米国特許番号5,572,040、1997年1月21日に発行された米国特許番号5,596,205、1997年2月4日に発行された米国特許番号5,600,169、1997年9月2日に発行された米国特許番号5,663,570、1999年1月19日に発行された米国特許番号5,861,336、1999年1月26日に発行された米国特許番号5,863,823、1999年3月16日に発行された米国特許番号5,883,396、1999年4月20日に発行された米国特許番号5,895,957、1999年7月27日に発行された米国特許番号5,930,638、1999年10月26日に発行された米国特許番号5,973,363、1999年10月26日に発行された米国特許番号5,973,382、2000年5月2日に発行された米国特許番号6,057,555、2000年7月18日に発行された米国特許番号6,090,648、2003年12月23日に発行された米国特許番号6,667,506、2006年8月8日に発行された米国特許番号7,088,971、2006年10月17日に発行された米国特許番号7,123,898、2007年7月24日に発行された米国特許番号7,248,120を含む。本発明の譲受人により所有される上述の特許は、参照されることにより全体が本願明細書に組み込まれる。
UltraCMOS(登録商標)プロセス技術を用いた本開示のDTCの実施は、従来の調整可能なキャパシタの解決手法と比較して、以下の利益及び利点をもたらす。2値で重み付けされたスイッチFET及びMIMキャパシタ;線形同調曲線;GSM(登録商標)/WCDMA(登録商標)に準拠した電力処理(+35dBm)及び線形性(IMD3<−105dBm)(この特定の特徴は以下に示される図面を参照して以下により詳細に説明される。また、留意すべき点は、この利益は、スタックFETの構成により達成可能なことである。このようなFETのスタックは、バルクCMOSでは可能でなく、SOI実装では困難である。しかしながら、UltraCMOS、SOI、及びGaAsの実装で実施される本発明のDTCの教示を用いて達成されうる。);集積化されたMIMキャパシタ、異なるMIMキャパシタ間の非常に良好な整合;ヒステリシスがない(BSTソリューションに対して);高電力RF信号でキャパシタンスの変動がない(BSTソリューションに対して);標準的な制御ロジック及びVDD電圧(BST/MEMSに対して);切り替え速度が速い(約1−3マイクロ秒);信頼性及び製造性が高い(BST及びMEMSの従来の手法に対して);低寄生インダクタンスに対してフリップ・チップ・パッケージのオプション;及びスケーリングされたバック・エンドの技術がダイ面積を40%縮小する。
本願のDTCは上述のUltraCMOSプロセス技術で実施されるとして記載されたが、電子工学分野の当業者は、本発明の教示のDTCが、限定ではなくSOI(Silicon-on-Insulator)CMOS及びGaAsプロセス技術を含む任意の都合の良い集積回路プロセス技術で実施されてもよいことを理解するだろう。
図6Aは、本発明の教示に従い作成されたDTC600の別の実施形態を示す。図6AのDTC600は、システム規格により課される高電力要件を満たすために必要なスタックFETの使用を教示する。名目上、一実施形態では、1つのFETは該FETのソースとドレイン間のMax_Vds=+2.54V RF電圧に耐えうる。留意すべき点は、FETに渡る規定電圧Vdsは、RMS値を表し、電圧のピーク値ではない。GSM(登録商標)の電力レベルを処理するために、一実施形態では、DTCは7のスタック高さを用いる。従って、本例の電圧処理は、7×2.54V=17.8Vである。50オームにおけるRF電力処理は、この値に基づき計算されうる。MIMキャパシタがFETスタックの上に直列に配置されるとき、各FETのCmimとCoffとの間の追加の容量性分圧が生じる。CmimがCoffと同一の値を有する場合、スタック高さは、1つのFET(つまり、7のスタックの代わりに、6個のFETのスタック及び1個のMIM)により低減されうる。CmimがCoffより小さい又は大きい場合、DTCの実効電力処理は、各FETでmax_Vds(つまり、FETスタック内の任意のFETが耐えうる最大電圧)を超過しないように計算されうる。MIMキャパシタは、FETより遙かに高い電圧に耐えうる。
図6A及び6Bに示された本発明のDTCの実施形態は、所望のDTC機能を達成するためのFET、MIMキャパシタ、RDS及びRQ抵抗器のスケーリングを含む設計技術も教示する。図4A、4C及び5A−5Dを参照して上述されたDTCは簡略化された実施を有するが、図6A及び6BのDTCはより詳細な現実的なDTCの実施を示す。図6Aに示されるように、例えば、DTC600は、関連付けられた対応するMIMキャパシタに直列に結合された複数のスタックされたスイッチングFETを有する。例えば、一実施形態では、最下位ビット(LSB)サブ回路602は、スタック構成に配置されMIMキャパシタ604に直列に結合された複数のシャントFETを有する(図6Aに示された例では、複数は、6個のシャントFETを有する)。スタックされたFET(つまり、FET606、608、610、612、614及び616)は共に直列に結合される。また、FETスタックは、MIMキャパシタ604に直列に結合される。一実施形態では、スタックされたFETは、本特許出願の譲受人により所有される米国特許及び継続中の特許出願に従い実施される。より詳細には、本実施形態によると、スタックされたFET(例えばFET606−616)は、米国特許番号7,248,120、発明の名称「Stacked Transistor Method and Apparatus」、Burgener他、2007年7月24日発行に従い、又は継続中の米国特許出願番号11/347,014、発明の名称「Symmetrically and Asymmetrically Stacked Transistor Grouping RF Switch」、2006年2月3日出願、Kelly他に従い、又は継続中の米国特許出願番号11/501,125、発明の名称「Integrated RF Front End with Stacked Transistor Switch」、2006年8月7日出願、Burgener他に従い実施される。上述の米国特許(特許番号7,248,120)及び継続中の特許出願(出願番号11/347,014及び11/501,125)は、参照されることにより全体が本願明細書に組み込まれる。
上述の組み込まれた特許及び継続中の出願に記載されたように、FETのスタック構成は、DTC600の電力処理能力を増大させる。スタックされたトランジスタの系列内のスタック・トランジスタの数を増大することにより(つまり、スタックFETの「高さ」を増大することにより)、DTC600は、増大した電力レベルを有する適用されるRF信号に耐えることができる。スタックFET構成は、DTC600を、GSM(登録商標)及びWCDMA(登録商標)無線通信仕様により課される厳しい電力処理要件に適合させる。例えば、GSM(登録商標)及びWCDMA(登録商標)仕様は、約+35dBmの電力処理を要求する。シャントFETを最下位ビット(LSB)サブ回路602に示されるようにスタックすることは、DTC600を、GSM(登録商標)及びWCDMA(登録商標)仕様の高い電力処理要件に適合させる。MIMキャパシタ604は、MIMキャパシタ604に渡る電圧の一部を降下させ、必要なFETスタック高さを減少させる(つまり、DTC600の所望の電力処理要件に適合するために、少ないスタックされたFETが用いられる)。
他の実施形態では、最下位ビット(LSB)サブ回路602は、スタックされたFETのゲート及び制御ワードの最下位ビット(b)に結合された複数のゲート抵抗器(R)を更に有する。これらの実施形態では、LSBサブ回路602は、示されたように構成された複数のドレイン−ソース抵抗器(RDS)も有する。ここで、各RDSは、該RDSの関連付けられた対応するシャントFETのドレインとソースとに渡って結合され、RDS抵抗器は、MIMキャパシタ604とグランド節点618との間に直列結合される。図6Bの更に一般化されたDTC600’を参照して以下により詳細に説明されるように、グランド節点618は、RF−端子(図6Bの端子618’)として実施されてもよい。ゲート抵抗器(R)及びドレイン−ソース抵抗器(RDS)は、それらに関連付けられた対応するシャントFET素子をバイアスするために必要である。より詳細には、R抵抗器は、スタックFET構成の結果として必要である。スタックせずに(つまり、スタックの「高さ」=1)、R抵抗器は除去されうる。RDS抵抗器は、以下により詳細に記載される「HARP」の実施で用いられる。しかしながら、これらの抵抗器は、DTC600のオフ状態のQファクタを低減する。更に大きいゲート抵抗器(R)及びドレイン−ソース抵抗器(RDS)は、オフ状態のQファクタ値を低減するために使用されうる。残念ながら、これらの抵抗器の大きさを増大させることは、DTC600により占有される集積回路ダイ面積も増大させてしまう。それにより、シャントFETに関連する切り替え時間も増大される。
図4A及び5Bを参照してそれぞれ上述されたDTC400及び500’と同様に、一実施形態では、DTC600はユニット・セル設計技術を用いて実施される。DTC600の各有効ビットのサブ回路は、DTC400乃至500’を参照して上述された2値の重み付けと同様に、2値で重み付けされる。上述のように、この設計技術によると、LSBサブ回路602は、ユニット・セル設計ブロックを有する。上述のように、幾つかの実施形態では、ユニット・セル設計ブロック(つまり、LSBサブ回路602)は、LSB MIMキャパシタ604に直列に結合された606−616を含む少なくともLSBのスタックされたFETを有する。他の実施形態では、ユニット・セル設計ブロックは、図6のLSBサブ回路602内に示されるように結合されたゲート抵抗器(R)及びドレイン−ソース抵抗器(RDS)も有する。
上述のように、図6Aに示されたDTC600の実施形態では、LSBサブ回路602は、ユニット・セル設計ブロックを有する。全ての次の有効ビットのサブ回路(例えば、制御ワードの次の有効ビットbにより制御される次の有効ビットのサブ回路)は、LSBサブ回路602を2値の重み付けを達成するために必要な数だけインスタンス化する(複製する)ことにより実施される。例えば、LSBサブ回路602は、2回インスタンス化され(つまり、複製され)、並列に結合され、次の有効ビットのサブ回路を実施する。LSBサブ回路は4回インスタンス化され(及び並列に結合され)、(制御ワードの次の有効ビットにより制御される)次の有効ビットのサブ回路を実施する。以降同様である。最後に、図6Aに示されるように、MSB最上位ビットのサブ回路(制御ワードの最上位ビット(MSB)[b(b−1)]により制御される、ここで「b」は制御ワードのビット数を有する)は、LSBサブ回路602を2b−1回インスタンス化することにより(複製することにより)実施される。
上述のDTC600の実施形態では、ユニット・セル設計ブロック(つまり、LSBサブ回路602)はMIMキャパシタ604に直列に結合されたスタックされたFET(つまり、606−616を含むFET)のみを有し、LSBサブ回路は次の有効ビットのサブ回路を実施するときに上述のようにインスタンス化されるが、RDS及びR抵抗器はそのようにインスタンス化(複製)されない。むしろ、これらの実施形態では(それぞれ図6A及び6BのDTC600及び600’に示されるように)、RDS及びR抵抗器は、連続する有効ビットのサブ回路毎に半分にスケーリングされる。例えば、図6Aに示されるように、MIMキャパシタ(例えば、MIMキャパシタ604、620、622)はそれぞれ図5A及び5BのDTC500、500’の類似のMIMキャパシタの重み付けと同様に重み付けされるが、ゲート抵抗器(R)及びドレイン−ソース抵抗器(RDS)は、図5BのDTC500’を参照して上述したオン抵抗値(RON)と同様の(増加する有効ビットのサブ回路に対して)減少する値を有する。例えば、制御ビットbにより制御されるサブ回路のゲート抵抗器(R)の抵抗値は、LSBサブ回路602のゲート抵抗器の抵抗値の1/2ほどである。同様に、制御ビットbにより制御されるサブ回路のドレイン−ソース抵抗器(RDS)の抵抗値は、LSBサブ回路602のドレイン−ソース抵抗器(RDS)の抵抗値の1/2ほどである。次の有効ビットのサブ回路のR及びRDS抵抗器は、同様に重み付けされる。(図6Aの)DTC600及び(図6Bの)DTC600’のこれらの実施形態は、DTCを実施するために必要な集積回路ダイ面積の量を有意に低減し、DTCの性能特性を向上させる。
以上に簡単に述べたように、別の実施形態では、DTCはサーモメータ重み付け方式に従い実施されうる。サーモメータ重み付けの実施形態によると、(図6AのDTC600及び図6BのDTC600’で実施されたように)連続する有効ビットのサブ回路のそれぞれを2値で重み付けする代わりに、「サーモメータ・コード」方式が用いられる。「サーモメータ・コード」方式では、DTC全体が2−1個(5ビットのキャパシタンス制御ワードでは31個)の同一のユニット・セル設計ブロック(つまり、LSBサブ回路602)を有する。DTCのサーモメータ・コード化された実施形態では、DTCは、2−1個の同一のユニット・セル設計ブロックを用いて2個の可能なキャパシタンス調整状態を有する。例えば、デジタル制御ワードが5ビットを有する場合、サーモメータ・コード化されたDTCの実施形態は、31個の同一のユニット・セル設計ブロックを用いて実施され、32個の可能なキャパシタンス調整状態を有する。
サーモメータ重み付けの結果、有利なことに、DTCは同一のキャパシタンス・ステップ(つまり、キャパシタンスの差が、「00000」と「00001」の間のように、制御ワードの2つの隣接する状態を生じる)、及び保証された単調性を有する。対照的に、2値の重み付け方式が用いられるとき、異なる大きさのサブ回路は、DTCの状態に依存してオンとオフとを切り替えられる。例えば、01111と10000のキャパシタンス制御ワードの間で切り替えるとき、最大の(MSB)サブ回路はオンに切り替えられ、他の全ての有効ビットのサブ回路はオフに切り替えられる。キャパシタンス許容範囲が比較的に粗悪な場合、この結果として、例えば10000から10001への切り替えと比較して、変化するキャパシタンス・ステップを生じうる。サーモメータ重み付けを用いることに伴う1つの不利点は、RDS及びR抵抗器の物理的な大きさに関連する。1xビット(LSB)ユニット・セルは、最大の大きさのRDS及びR抵抗器を有する。従って、これらの抵抗器は、集積回路ダイ面積の有意な部分を占有する。対照的に、MSBビットのサブ回路は、1xビット(LSB)ユニット・セルにより占有される面積の1/16しか占有しない。従って、サーモメータ重み付けを用いてDTCを実施することは、RDS及びR抵抗器により占有される空間により貴重な集積回路ダイ面積の多くを消費してしまう。他の実施形態では、2値の重み付けとサーモメータ・コード化の組み合わせ、又は任意の他の都合のよい重み付け方式を用いることも可能である。本発明の教示のDTCは、如何なる都合の良い重み付け方式の使用をも意図し、これらの実施形態は本発明の教示の範囲及び精神の範囲に包含される。
留意すべき点は、MIMキャパシタ(つまり、MIMキャパシタ604、620及び622)は、図6A及び6Bに示されたようなシャントFETのスタックの上に位置付けられる。RFの観点から、制御線(例えば、制御線640、642及び644)は、該制御線がグランドに結合されているかのうように動作する。図6AのDTC600のこの特徴により、本実施形態では、FETスタックの下端よりも上端にMIMキャパシタを位置付ける方がよい。MIMキャパシタがFETスタックの下端に位置付けられるとき、対応する関連付けられたFETがオン状態のとき、R抵抗器は事実上MIMキャパシタに並列に配置される。この構成(MIMキャパシタを該MIMキャパシタの対応するFETスタックの下端に配置する)により、関連するQファクタ値を低減する。そうは言っても、本発明のDTCの教示は、何れかの構成(つまり、MIMキャパシタをFETスタックの上端又は下端に配置する)の使用を意図しており、このような如何なる設計も本発明のDTCの教示の範囲及び精神に包含される。
留意すべき点は、MIMキャパシタ(つまり、MIMキャパシタ604、620及び622)は、同一のユニット・セルに基づき、従って優れた許容範囲特性及び異なるキャパシタ間の整合を有する。更に、より大きい大きさのスタックされたFET(つまり、より多い「フィンガ」を有するスタックFET)は、より小さい大きさのシャントFETと比べて、より小さいオン抵抗値(RON)及びより大きいオフ・キャパシタンス値(COFF)を有する。LSBサブ回路602のスタックされたFET(つまりFET606−616)は、DTC600の中で最小の大きさのFETを有する。LSBサブ回路602は、最小の大きさのMIMキャパシタ、最大のゲート抵抗器(R)及び最大のドレイン−ソース抵抗器(RDS)も有する。スタックされたFETの切り替え時間((ゲート抵抗器R)×(FETのゲート・キャパシタンスCGATE))は、DTC600の全てのFETに渡って一定である。更に、ユニット・セル・スタック(つまり、図6Aのユニット・セル設計ブロック602)のオン状態のQファクタは、スタックされたFET(606−616)のオン抵抗値(RON)及びMIMキャパシタ604のキャパシタンスCMIMにより支配される。
図6Bは、図6Aを参照して上述したDTC600の更に一般化されたDTC600’を示す。図6Bに示されるように、一般化されたDTC600’は、第1のRF端子(RF+端子680)及び第2のRF端子(RF−端子618’)を有する。RF+端子680は、各有効ビットのセルの各MIMキャパシタの第1の端子に結合される(例えば、RF+端子680は、図6Bに示される最下位ビット(LSB)サブ回路602’のMIMキャパシタ604の第1の端子に結合される)。RF+端子680は、図6Bに示される他の各MIMキャパシタの第1の端子にも結合される。RF−端子618’は、示されるように、DTCの各有効ビットのサブ回路のFETスタックの下端のFETに結合される(つまり、RF−端子618’は、各FETスタックの下端のFETのドレインに結合される)。従って、RF−端子618’は、図6Aを参照して上述したDTC600のグランド端子618に取って代わる。DTC600’は、如何なる所望の数のスタックされたFETもLSBサブ回路602’を実施するために用いられるという意味で一般化される(一方で、図6AのDTC600は6個のスタックされたFETを用いる)。全ての他の観点では、図6BのDTC600’は、図6Aを参照して上述したDTC600と同様に実施され機能する。従って、図6BのDTC600’は、本願明細書で詳細に説明されない。
図4A乃至5DのDTCを参照して上述したDTCの動作と同様に、デジタル制御ワードがDTC600及び600’に適用され、DTC600及び600’の各有効ビットのサブ回路の切り替え動作を選択的に制御する。制御ワードのビットは、最下位ビット(LSB)(つまりb)から最上位ビット(MSB)(つまりb(b−1))まで順序付けられる。ここで、bは制御ワードのビット数を有する。図6A及び6Bに示されるように、制御ワードの各有効ビットは、関連付けられた対応する有効ビットのサブ回路に結合される。例えば、図6Aに示されるように、制御ワードのLSB bは、ゲート抵抗器Rを介してLSBサブ回路602のFETスタックのゲートに結合される(つまり、制御ワードのLSB bは、スタックされたFET606−616のゲートを制御するように結合される)。制御ワードの次の有効ビット(つまりb)は、同様に、ゲート抵抗器R/2を介して次の有効ビットのサブ回路のFETスタックのゲートに結合され、それにより次の有効ビットのサブ回路の切り替え動作を制御する。以降同様である。最後に、制御ワードのMSB(つまりb(b−1))は、同様に、ゲート抵抗器R/2b−1を介してMAB有効ビットのサブ回路のFETスタックのゲートに結合され、それによりMSBのサブ回路の切り替え動作を制御する。
DTC600及び600’の一実施形態では、FETスタックは、該FETスタックに関連付けられた対応する制御ビット(例えば、LSB制御ビットb 640)に正電圧を印加することにより、オンに切り替えられる(例えば、LSBサブ回路602のスタックされたFET606−616はオン状態に切り替えられる)。例えば、例である一実施形態では、制御ビットは、+2.75ボルトの正電圧を印加して、該制御ビットに関連付けられた対応するFETスタックをオンに切り替える。多くの従来技術の例は、線形性の向上を達成するために0V(つまり、グランド)を用いてFET素子をオフに切り替えるが、本発明の実施形態は、FETスタックの関連付けられた対応する制御ビットに負電圧を印加することによりFETスタックをオフに切り替える。例えば、例である一実施形態では、制御ビットは、−3.4ボルトの信号を印加して、該制御ビットに関連付けられた対応するFETスタックをオフに切り替える。負の大きな制御電圧ほど、FETスタックのFETの線形性特性を良好にする。しかしながら、印加される制御ビットの電圧は、大きすぎる負の値は許されるべきではない。何故なら、大きすぎる負の値は、FETスタックを実施するときに用いられるFETの最大電圧制限を超えてしまうかもしれないからである。幾つかの実施形態では、負の電圧は、DTCと同じ集積回路ダイに集積されてもよい負電圧生成器により生成される。
上述の負電圧生成器に加え、DTCが実施される集積回路ダイは、シリアル・インタフェース及びESD保護回路も有してよい。DTCは、幾つかの実施形態では、任意の及び全てのこれらの装置に結合されてもよく、従ってDTCと同じダイへの追加機能の集積化を可能にする。更に、単一の集積回路ダイは複数のDTCを有してもよく、DTCは任意の及び全ての複数のDTCに結合され、所望の回路及びシステム要件を達成してもよい。幾つかの実施形態では、複数のDTCは互いに完全に分離し接続されない。或いは、複数のDTCは直列のシャント構成で構成されてもよい。更に、他の実施形態では、DTCは全てシャント構成で構成されてもよい。
図7Aは、ユニット・セル(つまり、図6Aを参照して上述した最下位ビット(LSB)サブ回路602、及びより詳細には図6BのDTC600’を参照して上述したLSBサブ回路602)に類似する一般化されたユニット・セル設計ブロック700の詳細な設計を概略的に示す回路である。以上に詳細に説明したように、ユニット・セル設計ブロックは、本発明の教示に従うDTCの多くの実施形態を実施するために用いられる。図7Aに示されるように、ユニット・セル700の一実施形態は、n個のシャントFETのスタック702を有する。ここで、スタック702はMIMキャパシタ704に直列に結合される。FETスタックの個々のシャントFET(つまり、FET706、708、710、712、714及び716)は共に直列に結合される。また、FETスタック全体は、MIMキャパシタ704の第1の端子に直列に結合される。MIMキャパシタ704の第2の端子は、図7AにRMIM705として示される抵抗器に結合されるとして示される。本実施形態では、抵抗器RMIM705は、MIMキャパシタ704の等価直列抵抗値(ESR)を有する。MIMキャパシタ704は、図7Aに、関連付けられたRMIM抵抗器705を介して第1のRF端子(つまり、RF+端子780)に結合されるとして示される。
ユニット・セル700は、示されたように構成されたn個のドレイン−ソース抵抗器(RDS)も有する。ここで、各RDSは、該RDSの関連付けられた対応するシャントFETのドレインとソースとに渡って結合され、RDS抵抗器は、MIMキャパシタ704の第1の端子と第2のRF端子(つまりRF−端子718)との間に直列結合される。ユニット・セル700は、関連付けられた対応するスイッチングFETのゲートに結合されたn個のゲート抵抗器Rも有する。n個のゲート抵抗器Rは、節点720に一緒に結合される。ここで、節点720は、制御ビット(例えば、制御ワードのLSB制御ビットb722)により制御される。殆どの実施形態では、ユニット・セルの動作は、図7Aに示されるように制御ワードのLSBビットにより制御される。図6A及び6BのDTC600及び600’を参照して上述したように、ユニット・セルは、DTCの残りの有効ビットのサブ回路を実施するために用いられる。ユニット・セルの手法を用い、種々の構成要素の許容範囲及び値は、同一でない場合には非常に良好に整合される。
図6Aを参照して上述したように、ゲート抵抗器(R)及びドレイン−ソース抵抗器(RDS)は、それらに関連付けられた対応するシャントFET素子をバイアスするために必要である。しかしながら、これらの抵抗器は、DTCのオフ状態のQファクタ値を低減する。更に大きいゲート抵抗器(R)及びドレイン−ソース抵抗器(RDS)は、オフ状態のQファクタ値を向上させるために使用されうる。残念ながら、これらの抵抗器の大きさを増大させることは、DTCを実施するために必要な集積回路ダイ面積も増大させてしまう。
図7Bは、図7Aを参照して上述したユニット・セル700のオン状態のRF等価回路700’の概略図である。オン状態のRF等価回路700’は、全てのシャントFET(つまり、706−716を含むシャントFET)がオンに切り替えられているユニット・セル700の状態を有する(つまり、LSB制御ビットb722は、全てのFETをオンに切り替えさせる状態にある)。図7Cは、図7Bのオン状態のRF等価回路700’の簡略化された等価回路700’’の概略図である。図7B及び7Cに示されるように、ユニット・セル700はオン状態であり、RF+端子780とRF−端子718との間の等価抵抗値は、RMIM705の抵抗値(つまり、MIMキャパシタ704の等価直列抵抗値)に加算されるn×RON(つまり、スタック内の1つのFETのオン抵抗値RON)を有する。FETのオン抵抗値(RON)及びRMIM705は、ユニット・セル700がオン状態のときにユニット・セルのスタックのQファクタ値(つまり、ユニット・セルの「QON」)を決定する。図7Dのグラフ730に示されるように、QON値は、1/freq(ここで「freq」はユニット・セルに印加される信号の周波数を有する)に比例する。ユニット・セルのQONは(グラフ730のy軸に沿って示される)、印加される信号(グラフ730のx軸に沿って示される)の周波数(freq)が増大するにつれて減少する。幾つかの実施形態では、オン抵抗値RONは、印加される信号の最高動作周波数(Fmax734、本願明細書では「fMAX」とも称される)における最小Qファクタ仕様(Qmin732)を満たすように選択される。以下に示す式5aは、RF−端子がグランド(望ましくは)に結合されている間にRF+端子を駆動しているときの、オン状態のQON、f(周波数)、CMIM、RMIM、n、R及びRONの数学的な関係を示す。
Figure 2016028458
以下に示す式5bは、RF+端子がグランドに結合されている間にRF−端子を駆動しているときの、オン状態のQON、f、CMIM、RMIM、n、R及びRONの数学的な関係を示す。この場合には、Rが事実上にCMIMに並列なので、Q値は劣化する。従って、RF+端子の代わりに、RF−端子がグランドに結合されることが望ましい。
Figure 2016028458
電子工学設計分野の当業者により理解されるように、上述の式(つまり、式5a及び5b)は、システム仕様又は基準により要求される(例えば、WCDMA(登録商標)のような無線通信基準により要求される)所与のQファクタを満たすようにDTCを設計させる。所与のQファクタ(つまり、所与のQON値)及び所与の最大動作周波数(つまり、所与のfMAX)では、DTC設計者は、式5a及び5bに従ってユニット・セルのCMIM、RMIM、n、R及びRON値を決定してもよい。
図7Eは、図7Aを参照して上述したユニット・セル700のオフ状態のRF等価回路700’’’の概略図である。オフ状態のRF等価回路700’’’は、全てのシャントFET(つまり、706−716を含むスタックされたシャントFET)がオフに切り替えられているユニット・セル700の状態を有する(つまり、LSB制御ビットb722は、全てのシャントFETをオフに切り替えさせる状態にある)。図7Fは、図7Eのオフ状態のRF等価回路700’’’の簡略化された等価回路700’’’’の概略図である。’図7E及び7Fに示されるように、ユニット・セル700がオフ状態のとき、第1のRF端子(つまり、RF+端子780)と第2のRF端子(つまり、RF−端子718)との間の等価抵抗値は、RMIM(MIMキャパシタ704の等価直列抵抗値(ESR));n×RDS(つまり、直列に結合された全てのドレイン−ソース抵抗器RDSの総抵抗値);n×RCOFF、ここでnはスタック内のFETの数を有し、RCOFFはFETのオフ・キャパシタンスCOFFの等価直列抵抗値(ESR)を有する;及び(3/n)×R値、ここでRはゲート抵抗器の抵抗値を有する;を含む多くの因子により決定される。
ドレイン−ソース抵抗器及びゲート抵抗器の抵抗値、RDS及びRは、それぞれ、ユニット・セル700がオン状態で動作するときにユニット・セルのスタックのQファクタ値(つまり、ユニット・セル700の「QOFF」)を決定するのに役立つ。図7Gのグラフに示されるように、例えば、DCから最小周波数(Fmin)までの範囲の適用信号周波数では、QOFF値は、ユニット・セル700に印加される信号の周波数(図7Gのグラフ内の「freq」に示される)にほぼ線形に比例する。図7Gのグラフに示されるように、QOFF値は、ユニット・セル700に印加される周波数がDCからFminへと増大するにつれて、ほぼ線形に増大する。従って、Qファクタは、印加された信号の周波数がFminを超えて増大すると、「平らにされる」か横ばいにされる。幾つかの実施形態では、ソース−ドレインオン抵抗値RDS及びゲート抵抗値Rは、印加される信号の最低動作周波数(Fmin734’、本願明細書では「fMIN」とも称される)における最小Qファクタ仕様(Qmin732’)を満たすように選択される。以下に示す式6aは、RF−端子がグランドに結合されている間にRF+端子を駆動しているときの、オフ状態のQOFF、f(周波数)、CMIM、RMIM、n、R、RDS、COFF、及びRCOFFの数学的な関係を示す。
Figure 2016028458
以下に示す式6bは、RF+端子がグランドに結合されている間にRF−端子を駆動しているときの、オフ状態のQOFF、f(周波数)、CMIM、RMIM、n、R、RDS、COFF、及びRCOFFの数学的な関係を示す。
Figure 2016028458
上述の式の例である成分値は次の値を有する。
Figure 2016028458
電子工学設計分野の当業者により理解されるように、上述の式(つまり、式6)は、仕様により要求される(例えば、WCDMA(登録商標)のような無線通信基準により要求される)所与のQファクタを満たすようにDTCを設計させる。所与のQファクタ(つまり、所与のQOFF値)及び所与の最小動作周波数(つまり、所与のfMIM)では、DTC設計者は、ユニット・セルのCMIM、RMIM、COFF、RCOFF、n、RDS及びR値を選択してもよい。標準的に、RDSはR/nに等しく設定される。ここで、nはスタック高さ(つまり、スタック内のFETの数)を有する。しかしながら、電子工学設計分野の当業者は、本開示の範囲又は精神から逸脱することなく、他の値がゲート抵抗器及びドレイン−ソース抵抗器のために選択されうることを理解するだろう。
図4A、5A−5D、6A及び6B、7A−7Gを参照して上述したように、本開示のユニット・セル設計技術に従って実施されたDTCは、従来の調整可能なキャパシタのソリューションと比較して有意な利点を提供する。DTCはユニット・セルとしてLSBサブ回路を用いて製造されるので、またユニット・セルは基本的な単位ブロックを有するので、及び全ての他の有効ビットのサブ回路は該基本的な単位ブロックの複製版を有するので、種々のサブ回路(及びそれらの構成要素)の許容範囲及びQファクタは、非常に良好に整合され、幾つかの場合には同一である。この特徴は、図1乃至3を参照して上述した調整可能なマッチング回路のような従来のソリューションとは全く対照的である。図1乃至3では、スイッチ・キャパシタ回路の許容範囲とQファクタは良好に整合されず、明らかに同一ではなかった。有利なことに、ユニット・セル技術は、殆どの如何なる同調比率を達成するために複製されうる拡張可能な設計を実現する。n個のFETのスタックを有するスタックFET構成は、DTCを所望の電力処理仕様に適合させる。DTC設計者は、相応してnを調整し、電力処理仕様を満たすことができる。DTCが少ない電力しか処理する必要がない場合、スタック内のFETの数は減少されうる(それにより、貴重な集積回路面積を節約する)。対照的に、DTCの電力処理能力を増大させる必要がある場合、相応してnは増大されてもよい。図7A乃至7Gを参照して上述したように、種々の構成要素及びユニット・セルの電気的特性は、所与の動作周波数範囲の殆ど任意の所望のQファクタを許容するように、DTC設計者により選択されうる。
図7Hは、図7A乃至7Gを参照して上述した設計原理及び概念を用いた完全に実装された完全なDTC790の簡略化された等価回路を示す。完全なDTC790の等価回路は、図7Aを参照して上述した一般化されたユニット・セル700設計ブロックを用いて、及び各有効ビットのサブ回路のオフ状態とオン状態とを反映するように図7B、7C及び7E、7Fを参照して上述した等価回路を結合することにより、生成される。詳細には、図7Hの完全に実施された及び完全な等価回路790は、図7C及び7Fを参照して上述した等価回路を用いることにより作成される。図7Hに示されるスイッチの矢印により示されるように、上述のオン又はオフ等価回路の何れかは、RF+端子780とRF−端子718の間に結合される。この切り替えは、DTC790に印加されるデジタル制御ワードにより制御される。選択された有効ビットがオンに切り替えられるかオフに切り替えられるかに依存して、「スイッチ」の矢印の記号により示されるように、これらの回路のうちの何れか一方は、RF+端子とRF−端子との間に配置される。
図7Iは、図7Hを参照して上述した完全に実装された完全なDTC790の簡略化された等価回路を示す。簡略化された等価回路792は、DTCの全ての可能な調整可能な状態に渡って、完全なDTC790をモデル化するのに有用な完全な正確な等価回路をどのように得るかを教示する。例えば、5ビットのDTC790では、DTC790は32個の別個の調整可能な状態を有してもよい。つまり、このようなDTCは、RF+端子780とRF−端子718との間で32個の別個の調整可能なキャパシタンスの状態を生成しうる(例えば、状態が同調状態0から同調状態31までの範囲に渡るように番号付けされる)。簡略化された等価回路792を実施するために用いられる各等価抵抗器及びキャパシタの値は、図7Iに示された数式に従い決定される。ここで、各数式は、該数式の対応する関連付けられた構成要素に隣接して図7Iに示される。例えば、等価なRMIM/k抵抗器794の値は、図7Iの抵抗器794に隣接して示された数式に従い決定される(つまり、等価なRMIM/k抵抗器794の値はRMIM/kに等しく、ここでkはDTC792の選択された調整可能な状態である(又は、DTC792を制御するために印加される2値のデジタル制御ワードの「等価な10進数」)である)。同様に、等価なm×CMIMキャパタのキャパシタンス値は、図7Iのキャパシタ796に隣接して示された関連付けられた対応する数学的表現に従い決定される(つまり、等価なm×CMIMキャパタのキャパシタンス値は、(m×CMIM)の数学的表現に従い決定され、ここでm=(2−1)−k;kはDTC792の選択された調整可能な状態であり、bはDTC制御ビットの数である)。残りの等価回路の構成要素の値は、図7Iに示されたそれらの関連付けられた対応する数学的表現に従い同様に決定される。図7Iの数学的表現で用いられる用語の定義は、図7A乃至7Hを参照して詳細に上述された。これらの用語の幾つかの例である値も、図7Iに示される。これらの例である値は、式6a及び6bを参照して上述した値と同一である。
図6A、6B及び図7A乃至7IのDTCの実施を参照して上述したように、本発明の教示に従い作成されたDTCは、スタックされたFETの構成を用いて実施される。スタックされたFETは、本発明のDTCがシステム規格により課される高電力要件を満たすのに役立つ。図7Jは、本発明の教示を用いてどのように「効果的な」FETスタック「高さ」(つまり、効果的なFETスタック内のFETの数)が達成されるかを示す。ここで、実効スタック高さは、DTCの実際のスタック高さを超えている(つまり、実際のスタック高さはFETスタックを実施するのに用いられるFETの数に等しい)。図7Jの回路798は、FETスタックを用いることにより、及びMIMキャパシタ799をFETスタック797の上に位置付けることにより、どのようにDTCの電力処理が増大されるかを示す。スタックされたFETがオフに切り替えられるときにMIMキャパシタ799とFETスタック797との間に生じる分圧により、実効スタック高さ(neff)は実際のFETスタック高さを超えて増大される。これは、図7Jの回路に示されるようにDTCの電力処理を更に向上させる。本例では、FETのスタック高さは6であるが、MIMキャパシタによる実効スタック高さは8.8である。
図7Jに示されるように、実効スタック高さ(neff)は次の数学的表現に従い計算されてもよい。
Figure 2016028458
ここで、neffは実効スタック高さを有し、nはスタック内のFETの数を有し、CMIMはMIMキャパシタ799のキャパシタンス値を有し、COFFはFET795のようなFETスタック797の単一のFETのオフ・キャパシタンスを有する。上述のように、図7Jに示された例である値が与えられるとき、実効スタック高さは8.8であるが、実際のスタック高さは6である。
次の表1は、本開示に従って作成された例である1GHzのDTC及び2GHzのDTCの例である設計特性を示す。表1に示されるように、示された実施形態では、例である1GHzのDTCは5ビットの制御ワード及び6個のスタックされたFETを用いる。例である2GHzのDTCは5ビットの制御ワード及び5個のスタックされたFETを用いる。例である1GHzのDTCにより占有される総面積は0.886mmであり、例である2GHzのDTCにより占有される総面積は0.402mmである。
表1
Figure 2016028458
図8Aは、表1に示された設計特性に従い作成された1GHzのDTC800の例の概略図である。図8Aに示されるように、全ての有効ビットのサブ回路は2値で重み付けされる。上述のように、MIMキャパシタとスタックFETの両者は、LSBからMSBまで2値で重み付けされる。DTCは、上述のユニット・セル設計手法に従い設計される。図8Aは、各有効ビットのサブ回路(本願明細書では回路要素とも表される)の総オン抵抗値(RON)及びオフ・キャパシタンス(COFF)を示す。例えば、LSB有効ビットのサブ回路は、8.18オームのオン抵抗値(RON)及び0.0733pFのオフ・キャパシタンス(COFF)を有する。次の有効ビットのサブ回路は、4.09オームのオン抵抗値(RON)及び0.147pFのオフ・キャパシタンス(COFF)を有する。DTC800は、0.2pFの「キャパシタンス・ステップ」(Cstep)を生じる5ビットの制御ワードを用いる。キャパシタンス・ステップは、選択されたキャパシタンス・レベル(選択された制御ワード値)から次の有効ビットのキャパシタンス・レベル((例えば、制御ワードを「00000」から「00001」に変更することにより)最下位ビットにより増大された選択された制御ワード値)へ変更することにより達成されたDTCの総キャパシタンスの差分を有する。DTCの調整範囲又は同調比率(本願明細書では「Cmax/Cmim」として定められる)は、約4.41である(つまり、DTCは、制御ワードが00000のとき約1.81pHの総キャパシタンスを達成し、制御ワードが11111のとき約8.0pHの総キャパシタンスを達成する)。図8Aに示されるように、スタックされたFETは、6個のスタックされたFETを有する(つまり、n=6)。TINは0.4に等しい。ここで、TINは例である本実施形態で用いられるFETの「特色」を有する。示された実施形態では、この特定の例では、0.4μmのゲート長を有する厚い酸化物のINトランジスタが用いられる。しかしながら、当業者は、本発明の教示の精神及び範囲から逸脱することなく、FETの他の「特色」が用いられうることを理解するだろう。DTCのRONOFF=600fF−Ωである。DTCの切り替え時間は、R×CONに等しい。ここで、図8AのDTC800は2.9μsに等しい。
図8Bは、図8Aの1GHzのDTC800のモデル・シミュレーションを示す。幾つかの実施形態では、ESD保護のため、FETのスタック(つまり、8個のスタック)がRFピンからGNDピンまでに挿入される。この追加のFETスタックは、標準的にFETスタックの上にMIMキャパシタを含まず、常にオフにバイアスされる。このFETスタックは、ESDストライクのときにMIMキャパシタを保護する。「ESDスタック」は、小さく、標準的にユニット・セル(LSBビット)よりも小さい。図8Cは、DTCの総キャパシタンス(y軸)対DTCキャパシタンス制御ワード設定のグラフ802を示す(つまり、5ビット制御ワードが最小設定であるゼロ(2進の00000)から最大設定である31(2進の11111)まで増大するときのDTC800の総キャパシタンスを示す)。グラフ802により示されるように、有利なことに、DTC800の総キャパシタンスは、キャパシタンス制御設定に対して線形に増大する。これは、総キャパシタンス対キャパシタンス設定の非線形のグラフを有する傾向にあった従来の調整可能なキャパシタに対する進歩である。DTCの同調比率は1.79pFから8.0pFを有し、Cstepは0.194pFである。
図8Dは、DTCの所与の適用信号周波数におけるDTCの総Qファクタ値(本例では、Qファクタは単一の周波数900MHzで測定される)対DTCキャパシタンス制御ワード設定のグラフ804を示す(つまり、5ビット制御ワードが最小設定であるゼロ(2進の00000)から最大設定である31(2進の11111)まで増大するときのDTC800の総Qファクタ値を示す)。グラフ804により示されるように、有利なことに、DTC800の総Qファクタ値は、同調比率全体に亘り比較的一定のままである。例えば、図8Dに示されるように、単一の周波数900MHzにおけるDTCの総Qファクタは、全ての可能なキャパシタンス設定に渡って約100のままである。これは、総Qファクタ値とキャパシタンス設定との間の非線形の関係を示す従来の調整可能なキャパシタに対する驚異的な進歩である。例えば、従来の調整可能なキャパシタ・ソリューションは、例であるグラフ806及び808に類似するQファクタのグラフを示した。図8Dに示されるように、例である従来のQファクタのグラフ806は、キャパシタンス設定の増大と共に非線形に増大するQファクタ値を示す。例である従来のQファクタのグラフ808は、キャパシタンス設定の増大と共に非線形に減少するQファクタ値を示す。
図8E及び8Fは、上述の1GHzのDTCの集積回路レイアウトの表現の例を示す。より詳細には、図8Eは、本発明の教示に従い作成された1xbitLSBユニット・セル820の集積回路レイアウトの例を示す。図8Eに示されるように、1xbitLSBユニット・セル820は、6個のFET(FET822、824、826、828、830及び832)のスタックを有する。これらのFETは共に直列に結合される。また、FETスタックは、1xbitMIMキャパシタ834に直列に結合される。図8Eは、1xbitLSBユニット・セル820の最上部の拡大レイアウト840を示す。図8Eに示されるように、拡大レイアウト840は、最上部のFET(つまりFET832)及びMIMキャパシタ834の詳細を示す。ゲート抵抗器R(「バイアス抵抗器」)842及びドレイン−ソース抵抗器RDS844の詳細も、図8Eの拡大レイアウト840に示される。図8Eに示された実施形態では、MIMキャパシタ834は0.25pFのキャパシタを有し、FETは1.36オームのオン抵抗値RON及び0.44pFのオフ・キャパシタンスCOFFを有する。
図8Fは、上述の1GHzのDTCの集積回路レイアウト850の例を示す。キャパシタ制御ワード(b、b、b、b及びb)852は、静電放電保護回路854を介してDTCに結合される。図8Fに示されるように、1xbitLSBユニット・セルは、図8Eの1xbitLSBユニット・セル820を有する。図8Fに示される実施形態では、各次第に増大する有効ビットのサブ回路は上述のように2値で重み付けされる。例えば、2番目の有効ビットのサブ回路856は、2×LSBユニット・セル820を有する。次の有効ビットのサブ回路(又は要素)858は、4×LSBユニット・セル820を有する。以降同様である。MIMキャパシタも、詳細に上述されたように2値で重み付けされる。例えば、2番目の有効ビットのサブ回路856は、LSBユニット・セル820のMIMキャパシタ834の2倍の大きさのMIMキャパシタ860を有する(図8Fに示されるように、幾つかの例である実施形態では、2×MIMキャパシタ860は1×LSB MIMキャパシタ834の2つのインスタンスを有する)。次の有効ビットのサブ回路(又は要素)858は、LSBユニット・セル820のMIMキャパシタ834の4倍の大きさのMIMキャパシタ862を有する(又は、LSB MIMキャパシタ834の4つのインスタンスを有してもよい)。以降同様である。
図8Aは、表1に示された設計特性に従い作成された2GHzのDTC900の例の概略図である。図9Aに示されるように、全ての有効ビットのサブ回路は2値で重み付けされる。上述のように、MIMキャパシタとスタックFETの両者は、LSBからMSBまで2値で重み付けされる。DTCは、上述のユニット・セル設計手法に従い設計される。図9Aは、各有効ビットのサブ回路(本願明細書では回路要素とも表される)の総オン抵抗値(RON)及びオフ・キャパシタンス(COFF)を示す。例えば、LSB有効ビットのサブ回路は、12.5オームのオン抵抗値(RON)及び0.048pFのオフ・キャパシタンス(COFF)を有する。次の有効ビットのサブ回路は、6.25オームのオン抵抗値(RON)及び0.096pFのオフ・キャパシタンス(COFF)を有する。DTC900は、0.067pFのキャパシタンス・ステップ(Cstep)を生じる5ビットの制御ワードを用いる。DTCの調整範囲又は同調比率(本願明細書では「Cmax/Cmim」として定められる)は、約3.08である(つまり、DTCは、制御ワードが00000のとき約1.04pHの総キャパシタンスを達成し、制御ワードが11111のとき約3.2pHの総キャパシタンスを達成する)。図9Aに示されるように、スタックされたFETは、5個のスタックされたFETを有する(つまり、n=5)。TINは0.4に等しく、DTCのRONOFF=600fF−Ωである。DTCの切り替え時間は、R×CONに等しい。ここで、図9AのDTC900は0.8μsに等しい。
図9Bは、図9Aの2GHzのDTC900のモデル・シミュレーションを示す。図9Cは、DTCの総キャパシタンス(y軸)対DTCキャパシタンス制御ワード設定のグラフ902を示す(つまり、5ビット制御ワードが最小設定であるゼロ(2進の00000)から最大設定である31(2進の11111)まで増大するときのDTC900の総キャパシタンスを示す)。グラフ902により示されるように、有利なことに、DTC900の総キャパシタンスは、キャパシタンス制御設定に対して線形に増大する。DTCの同調比率は1.03pFから3.2pFを有し、Cstepは0.0678pFである。
図9Dは、所与の適用信号周波数におけるDTC900の総Qファクタ値(本例では、Qファクタは信号周波数220MHzで測定される)対DTCキャパシタンス制御ワード設定のグラフ904を示す。グラフ904により示されるように、有利なことに、DTC900の総Qファクタ値は、同調比率全体に亘り比較的一定のままである。図9E及び9Fは、上述の2GHzのDTCの集積回路レイアウトの表現の例を示す。より詳細には、図9Eは、本発明の教示に従い作成された1xbitLSBユニット・セル920の集積回路レイアウトの例を示す。図9Eに示されるように、1xbitLSBユニット・セル920は、5個のFET(FET922、924、926、928、930及び934)のスタックを有する。これらのFETは共に直列に結合される。また、FETスタック、1xbitMIMキャパシタ934にも直列に結合される。図9Eは、1xbitLSBユニット・セル920の最上部の拡大レイアウト940を示す。図9Eに示されるように、拡大レイアウト940は、最上部のFET(つまりFET930)及びMIMキャパシタ934の詳細を示す。ゲート抵抗器R(「バイアス」抵抗器)942及びドレイン−ソース抵抗器RDSの詳細も、図9Eの拡大レイアウト940に示される。図9Eに示された実施形態では、MIMキャパシタ934は0.1pFのMIMキャパシタを有し、FETは2.5オームのオン抵抗値RON及び0.24pFのオフ・キャパシタンスCOFFを有する。
図9Fは、上述の2GHzのDTCの集積回路レイアウト950の例を示す。キャパシタ制御ワード(b、b、b、b及びb)952は、静電放電保護回路954を介してDTCに結合される。図9Fに示されるように、1xbitLSBユニット・セルは、図9Eの1xbitLSBユニット・セル920を有する。図9Fに示される実施形態では、各次第に増大する有効ビットのサブ回路は上述のように2値で重み付けされる。例えば、2番目の有効ビットのサブ回路956は、2×LSBユニット・セル920を有する。次の有効ビットのサブ回路(又は要素)958は、4×LSBユニット・セル920を有する。以降同様である。MIMキャパシタも、詳細に上述されたように2値で重み付けされる。例えば、2番目の有効ビットのサブ回路956は、LSBユニット・セル920のMIMキャパシタ934の2倍の大きさのMIMキャパシタ960を有する(図9Fに示されるように、幾つかの例である実施形態では、2×MIMキャパシタ960は1×LSB MIMキャパシタ934の2つのインスタンスを有する)。次の有効ビットのサブ回路(又は要素)958は、LSBユニット・セル920のMIMキャパシタ934の4倍の大きさのMIMキャパシタ962を有する(又は、1×LSB MIMキャパシタ934の4つのインスタンスを有してもよい)。以降同様である。
図10A及び10Bは、上述のDTCのキャパシタンス同調曲線と薄膜チタン酸バリウムストロンチウム(BST)調整可能キャパシタのキャパシタンス同調曲線との比較を示す。より詳細には、図10Aは本発明の教示に従い作成されたDTCの総キャパシタンス対DTCのキャパシタンス制御設定のグラフ1000を示す。図10Bは、BSTのキャパシタンス対BSTの調整可能なキャパシタンスのバイアス電圧のグラフ1002を示す。図8C及び9Cを参照して詳細に上述されたように、及び図10Aに示されるように、有利なことに、本発明の教示により作成されたDTCの総キャパシタンスは、キャパシタンス制御設定に対して線形に増大する。これは、総キャパシタンス対キャパシタンス設定(つまり、バイアス電圧)の曲線1002に類似する非線形のグラフを有する従来のBST調整可能なキャパシタに対する進歩である。更に、BST調整可能なキャパシタは、ヒステリシスに関連する問題にも悩まされる。本発明の教示に従い作成されたDTCは、有利なことに、この欠点を有さない。
<DTC設計の「トレードオフ」及び設計条件>
上述のデジタル同調キャパシタ(DTC)の方法及び装置は、有利なことに、広範な回路正方及びDTCの大きさの特性を最大限に利用するよう又は満足するよう設計されてよい。これらの設計特性及び「トレードオフ」を用い、DTCはシステム・プロバイダにより課される仕様及び要件を満たすようカスタマイズされ最適化されうる。
<設計トレードオフ−同調比率対Qmin値における周波数>
例えば、図11は、選択された最小Qファクタ値(Qmin)における、DTCの調整範囲対適用信号の周波数のグラフ1100を示す。図11に2つの曲線が示される。第1の曲線1102は、調整範囲が変化するときの最小Qファクタ50を有する第1のDTCの調整範囲を適用信号の周波数の関数として示す。第2の曲線1104は、調整範囲が変化するときの最小Qファクタ100を有する第2のDTCの調整範囲を適用信号の周波数の関数として示す。式1−6を参照して上述したように、最小Qファクタ値(Qmin)及び調整範囲(Cmax/Cmin)は、動作原理及び本発明の教示のDTCの設計により互いに強く関連する。本発明のDTCの最小Qファクタは、DTCのオン抵抗値RON及びDTCのオフ・キャパシタンスCOFF(つまり、RONOFF)に依存する。図11の曲線1102及び1104は、RONOFF=600fF−Ωとして描かれている。
幾つかの実施形態では、調整範囲は、次の式7に従い決定される。
Figure 2016028458
式7は、プロセスのRONOFF及びQ要件に基づく調整範囲の限界を示す。式3は、COFFとCMIMとの間の比を所要の同調比率仕様に基づきどのように選択するかを教示する。調整範囲の「大雑把な」設計特性は、次の表2に示される。
表2 設計トレードオフ−同調比率及びダイ面積対Qmin
Figure 2016028458
図4A、5A−5B、6、8A、8F、9A及び9Fを参照して上述したように、動作原理及び本発明のDTCの教示の設計技術により、DTCにより占有されるダイ面積は増大し、DTCに関連する調整範囲はQファクタ値の増大と共に減少する。この設計のトレードオフは、図12のグラフ1200に図式的に示される。 図12は、調整範囲及びダイ面積要件対所与の適用信号周波数における選択されたDTCの最小Qファクタ値(Qmin)のグラフ1200を示す(図12のグラフ1200では、曲線1202及び1204は適用信号の周波数が900MHzを有すると仮定して描かれている)。図12を再び参照すると、曲線1202は、DTCのQファクタ要件が増大するにつれ、調整範囲がどのように減少するかを示す。曲線1204は、DTCのQファクタ要件が増大するにつれ、DTCのダイ面積要件がどのように増大するかを示す。図12の曲線1202及び1204は、以下を想定して描かれている。つまり、RONOFF=600fF−Ω;適用信号の周波数は900MHzであり;キャパシタンス制御ワードは5ビットであり;FETスタックは6であり(つまり、n=6);Cmax=8.2pFである。
<設計トレードオフ−Cmax対FETのダイ面積>
図4A、5A−5B、6、8A、8F、9A及び9Fを参照して上述したように、動作原理及び本発明のDTCの教示の設計技術により、DTCのダイ面積要件はDTCの最大キャパシタンス(Cmax)(つまり、DTCにより達成可能な最大キャパシタンス)に比例する。図13を参照すると、曲線1302は、最大DTCキャパシタンス(Cmax)が増大するにつれ、FETダイ面積要件(つまり、DTCのFETのダイ面積要件)がどのように増加するかを示す。曲線1302は、FETダイ面積と6個のシャントFETのスタック(これにより、約+35dBmのDTC電力処理能力を提供する)を有するDTCのCmaxとの間の関係を示す。曲線1304は、FETダイ面積と5個のシャントFETのスタック(これにより、約+33.4dBmのDTC電力処理能力を提供する)を有するDTCのCmaxとの間の関係を示す。予想通り、低い電力処理要件(及び少ない数のスタックされたFET)を有するDTCは、高い電力処理要件(従ってより多い数のスタックされたFET)を有するDTCよりも、少ないダイ面積を占有する。曲線1302、1304は次を想定している。つまり、900MHzにおいてQ=50;5ビットのキャパシタンス制御ワード;及びDTCは7:1の調整範囲を有する。FET(IN 0.4)の面積は、1Ωの単一のFETに対して80μm×80μmを有すると想定する。
<設計条件−削減されたICダイ面積のためのDTCの最適化>
DTCのCmaxの低減 ― 幾つかの設計トレードオフ及び設計条件は、DTCにより占有される集積回路ダイ面積を削減するために利用されうる。例えば、図13を参照して上述したように、動作原理及び本発明のDTCの教示の設計技術により、DTCのダイ面積要件はDTCの最大キャパシタンス(Cmax)(つまり、DTCにより達成可能な最大キャパシタンス)に比例する。従って、DTCの最大キャパシタンス(Cmax)が減少できれば、DTCにより必要とされるダイ面積も削減されうる。従って、DTCを最小の可能なダイ面積に最適化するために、最小の最大キャパシタンスCmaxを必要とするチューナのトポロジを用いることが有用である。例えば、結合された共振器又はチューナのトポロジは有意に良好である。例として、選択されたDTCの最大キャパシタンスCmaxの仕様が9.4pFから6.0pFに減少された場合、本発明の教示に従い作成された選択されたDTCにより必要とされるダイ面積は、36%=[(1)−(6/9.4)]だけ削減される。
DTCのFETスタック高さの低減 − 図13を参照して上述したように、DTCの電力処理要件が低減された場合、DTCは、少ない数のスタックされたFETを用いて実施することができ、それによりDTCにより必要とされるダイ面積も削減されうる。詳細に上述されたように、DTCに課される電力処理要件は、ユニット・セルのサブ回路を実施するために必要なスタックされたFETの数nを決定する。従って、電力処理仕様が緩和されうる場合に、DTCにより必要とされる集積回路ダイ面積が削減されうる。例えば、最大電力処理仕様の+38.5dBmから+36.6dBmへの低下は、本発明の教示に従い作成された選択されたDTCの場合に約30%のダイ面積の削減をもたらす。DTCが上述のUltraCMOS(登録商標)プロセス技術で実施される場合、DTCは電力の影響を受けない。しかしながら、UltraCMOSのDTCは、印加されるRF信号の電圧振幅の影響を受けやすく、これは論理的に無限の不整合を繰り返しうる。しかしながら、DTCが移動端末で用いられるとき、例えば端末の電力増幅器は標準的に非常に高い電圧を生成しない。従って、DTCの電力処理仕様は緩和されてもよく、結果として電力処理要件を低減し、スタックされたFETの数nを小さくし(つまり、スタックされたFETの高さを低くし)、それに応じてICダイ面積を削減する。
固定MIMキャパシタをDTCに並列に配置する − 図4A、5A−5B、6、8A、8F、9A及び9FのDTCを参照して上述したように、動作原理及び本発明のDTCの設計技術の教示により、DTCの同調比率(Cmax/Cmin)はシステム仕様により課されるDTCのQファクタ値、印加信号の周波数、及び切り替え工程のRONOFFの性能指数(つまりDTCのオン抵抗値RONとDTCのオフ・キャパシタンスCOFFとの積)により決定される。選択されたDTCの同調比率がシステム仕様により要求される同調比率よりも大きい場合、固定MIMキャパシタはDTCに追加されるか又は並列に結合され、選択されたDTCの極めて小型版を生じる。小型DTCは、対応する変更されていないDTCよりも遙かに小さいICダイ面積しか占有しないが、依然として(例えば、同調比率、Qファクタの最小値、Cmax等のような)要求されるシステム仕様の全てを満たす。
変更されていないDTCに並列に結合された追加キャパシタCADDを有するよう変更されたDTCの一実施形態では、Qファクタは同一のままであると仮定すると、変更されたDTCの同調比率(つまり、固定MIMキャパシタCADDに並列に結合された変更されていないDTCを有する回路の同調比率)を4.7:1から3:1に変更することは、DTCダイ面積を約30%=[1−3/4.7]だけ削減する。DTCのQファクタ要件を緩和又は低下させることは、同調比率の増大をもたらす。これは、固定MIMキャパシタCADDがDTCに並列に結合されるとき、更に大きなICダイ面積の削減をもたらす。Qファクタ値を80から60に低減することは、DTCの同調比率を4.7:1から5.9:1に増大させる。変更されたDTCの同調比率が3:1にして、例えば固定キャパシタをDTCに並列に結合する場合、これはDTCのダイ面積を約62%=[1−60/80×3/5.9]だけ削減する。
次に示す表3は、上述の設計条件とトレードオフを利用することにより達成された所与のDTCにより占有されるダイ面積の削減を示す。表3に示されるように、Cmax(9.4pFから6.0pF)及び同調比率(4.7:1から3:1)、Qファクタ(80から60)、線形電力(35.7dBmから33.8dBm)及び最大電力(38.5dBmから36.6dBm)の所与の低減の場合、及びDTCに並列に結合された固定MIMキャパシタCADDを有するようにDTCを変更することにより、本開示に従い、DTCを実施するために必要とされるICダイ面積の約70%の削減(つまり0.96mmから0.29mm)が実現されうる。
表3
Figure 2016028458
上述のように、DTCにより必要とされるICダイ面積は、DTCの同調比率がシステム仕様により課される同調比率を超えている場合には削減されうる。この削減は、固定MIMキャパシタ(CADD)をDTCに並列に結合することにより達成されうる。図14A及び14Bは、所与の適用信号周波数における、調整範囲及びダイ面積要件に対する選択された変更されていないDTC(図14Aのグラフ1400)及び変更されたDTC(図14Bのグラフ1400’、ここで選択されたDTCは、固定MIMキャパシタCADDをDTCに並列に結合することにより変更される)の最小Qファクタのグラフを示す示された例では、グラフ1400、1400’の曲線は、適用信号周波数が900MHzを有すると想定して描かれている。グラフ1400は、図12を参照して上述された曲線1200と同一である。図14Aを参照すると、曲線1402は、DTCのQファクタ要件が増大するにつれ、調整範囲がどのように減少するかを示す。曲線1404は、DTCのQファクタ要件が増大するにつれ、DTCのダイ面積要件がどのように増大するかを示す。図12の曲線1402及び1404は、次の条件を想定して描かれている。RONOFF=600fF−Ω;適用信号の周波数は900MHzであり;キャパシタンス制御ワードは5ビットであり;FETスタックは6であり(つまり、n=6);Cmax=8.2pFである。図14Aに示されるように、及び詳細には曲線1404により示されるように、Qファクタ値80、キャパシタンス範囲1.7乃至8.0pF、従って調整範囲(又は同調比率)4.7:1を有する所与の変更されていないDTCでは、所与の変更されていないDTCにより必要とされるダイ面積は0.82mmに等しい。
上述のように、所与の変更されていないDTCの調整範囲がシステム仕様により要求される調整範囲を超えている場合、DTCは、固定MIMキャパシタ(CADD)を変更されていないDTCに並列に結合することにより変更され、結果として変更されたDTCにより占有されるICダイ面積の削減をもたらす。図14Bのグラフ1400’は、この減少を図式的に示す。曲線1402’は、変更されたDTCのQファクタ要件が増大するときに、変更されたDTCの調整範囲が比較的安定されうる(つまり有意に変化しない)ことを示す。曲線1404’は、図14Aの曲線1404と類似しており、DTCのQファクタ要件が増大するにつれ、変更されたDTCのダイ面積要件がどのように増大するかを示す。図14Bの曲線1402’及び1404’は、次の条件を想定して描かれている。RONOFF=600fF−Ω;適用信号の周波数は900MHzであり;キャパシタンス制御ワードは5ビットであり;FETスタックは6であり(つまり、n=6);Cmax=8.2pFである。図14Bに示されるように、例えばQファクタ80と想定して、詳細には曲線1402’により示されるように、変更されたDTCの調整範囲は4.7:1(曲線1404を参照)(つまり、Cは1.7乃至8.0pFの間の範囲である)から3:1(曲線1404’を参照)(つまり、Cは2.67乃至8.0pFの間の範囲である)まで低減される。
図14Bに示されるように、及び詳細には曲線1404’により示されるように、Qファクタ値80、キャパシタンス範囲2.67乃至8.0pF、従って調整範囲(又は同調比率)3:1を有する所与の変更されたDTCでは、変更されたDTCにより必要とされるダイ面積は0.54mmに等しい。従って、変更されたDTCの調整範囲を低減することにより(つまり、調整範囲を変更されていない対応するDTCよりも低くすることにより)及びDTCに並列に結合された固定MIMキャパシタを有するようにDTCを変更することにより、Qファクタ80の場合に約34%(1−0.54mm/0.82mm)のICダイ面積の低減が達成される。図14Bの曲線1404’により示されるように、異なるダイ面積の節約は、異なるQファクタ値に対してこの同一の設計技術を用いることにより達成可能である。
ADDを決定する理想的な式と変更されたDTCの設計パラメータ − 図14A及び14Bを参照して上述したように、選択されたDTCが(種々の無線通信規格により課されるような)システム仕様により要求される同調比率を超える同調比率を有する場合には、選択されたDTCは、DTCに並列に結合された固定MIMキャパシタCADDを有し変更されうる。DTCの所与の最小Qファクタでは、このような変更は、全ての他のシステム性能要件を維持したまま、DTCにより必要とされるICダイ面積を削減する。固定MIMキャパシタCADDは、DTCのオン抵抗値RONと独立なので、「理想的な」キャパシタを有する。DTCのサブ回路のMIMキャパシタ(例えば、ユニット・セル・ブロックの1xLSB MIMキャパシタ)とは対照的に、固定MIMキャパシタCADDはスイッチFETにより切り替えられない。むしろ、CADDはDTCの端子間で一定に適用される。CADDは、固定MIMキャパシタCADDに結合された変更されていない(又は「元の」)DTCを有する結合された回路の合計の実効Qファクタ値を増大させる。従って、有利なことに、CADDが結合された回路の総Qファクタをシステム仕様により要求される値に保つのを助けるので、DTCのQファクタ値は低減されうる。従って、DTCは低減されたQファクタ値を有するように再設計される。追加の固定キャパシタンスCADDが結合されたDTC―CADD回路に及ぼす他の影響を保障するために、DTCは、低減された最大総キャパシタンス(Cmax)及び高い同調比率(TR)を有するように再設計される。一実施形態では、DTC内の寄生キャパシタンスは、キャパシタンスCADDと一緒に一括して扱われるべきである。
図15Aに示されるように、変更されていないDTCは、最小の総キャパシタンス(Cmin)1.65pF、最大の総キャパシタンス(Cmax)7.75pFを有し、それにより同調比率(又は調整範囲、TR)4.70:1[定義によりTR=(Cmax/Cmin):1なので]及び最小のQファクタ値Qmin80を引き起こす。図15Bは、図15AのDTCがCADDを有しどのように変更され、変更されたDTC1500’を生成するかを示す。図15Bは、DTC1500を再設計(つまり、変更)して低減されたQファクタ値、低減された最大総キャパシタンス(Cmax)及び高い同調比率(TR)を有する変更されたDTCを生成するために用いられる(以下に更に詳細に説明される)理想的な式も示す。図15Bは、CADDの値を決定する理想的な式も示す。
図15Bに示されるように、一実施形態では、変更されたDTC1500’は次式に従い設計される。
Figure 2016028458
ここで、CADDは変更されたDTC1501に並列に結合された固定キャパシタのキャパシタンスを有し;Cminは変更されていないDTC(つまり図15AのDTC1500)の最小総キャパシタンスを有し;Cmaxは変更されていないDTC1500の最大総キャパシタンスを有し;Qminは変更されていないDTC1500の最小許容可能Qファクタ値を有し、TRは再設計された変更されたDTC1500’の総同調比率(又は調整範囲)(つまり、結合されたDTC−CADD回路1500’の総調整範囲)を有し;Cmin,2は変更されたDTC1501の最小総キャパシタンスを有し;Cmax,2は変更されたDTC1501の最大総キャパシタンスを有し;Qmin,2は変更されたDTC1501の最小許容可能Qファクタ値を有する。留意すべき点は、変更されたDTC1500’全体の最小許容可能QファクタQMIN−total(つまり、結合されたDTC−CADD回路1500’のQMIN)は、次に示す式12に従い決定されることである。
Figure 2016028458
上述の理想的な式(式8−11)を用いて、DTC回路設計者は、変更されたDTC1501を、低減されたQファクタ値(つまり、変更されたDTC1501の低減された最小Qファクタ値Qmin,2は式11に従い計算される)及び低減された最大総キャパシタンス(Cmax)(つまり、変更されたDTC1501の低減された最大総キャパシタンスは式10に従い計算される)を有するように直ちに設計しうる。式8は、CADDのキャパシタンス値を計算するために用いられる。変更されたDTC1501の最小総キャパシタンスは、式9に従い計算される。変更されたDTC回路1501のみの同調比率’(つまり、CADDから分離されたDTCの同調比率)は、変更されていないDTC1500の同調比率と比較して増大される。しかしながら、結合された回路DTC−CADD回路1500’の同調比率TRは、(変更されていないDTC1500のTRと比較して)低減された同調比率にされうる。例えば、図15A及び15Bに示されるように、DTCのTRは4.70:1から3:1へ低減される。結合された回路DTC−CADD回路1500’のTRの値は、本例では3:1にされる。
図15A及び15Bに示されるように、例である変更されていないDTC1500は、次のパラメータを有する。Cmin=1.65pF;Cmax=7.75pF;従って同調比率は4.70:1に等しく;DTC500は80の最小許容可能Qファクタ値を有する。これらのDTCパラメータに基づき、及び上述の式8−11を用いて、変更されたDTC1501のCADD、Cmin,2、Cmax,2、及びQmin,2パラメータが計算される。DTC1500’のTRは、本例では3:1にされる。結果として、例である計算は、以下の式に示される。
Figure 2016028458
従って、結果として生じる(システム仕様により課される最小許容可能Qファクタ値に基づく)DTCの同調比率が仕様により要求されるものよりも高い場合には、変更されたDTC1501は、DTC1501に並列に結合された固定MIMキャパシタ(CADD)を用いて設計されうる。変更されたDTC1500’全体(つまり、結合されたDTC1501とCADDキャパシタの回路)は、必要なシステム仕様を満たすが、有利なことに占有するICダイ面積が少ない。以上に記載され図15A及び15Bに示された例では、CADD=1.45pF、Cmin,2=1.13pF、Cmax,2=6.3pF、及びQmin,2=65.0である。DTCを実施するために必要なダイ面積は、0.82mmから0.55mmに(又は約33%だけ)削減される。本発明の教示の特徴は、DTCを設計仕様及びシステム規格により課される要件を効率的に満たすよう調整させる。設計トレードオフ及び上述の検討を利用することにより、貴重なダイ面積の節約が達成されるが、依然として本発明のDTCはシステム仕様及び規格により課される要件を満たしている。
本発明のDTCの方法及び装置を参照して上述したFETは、如何なる都合のよいMOSFET素子を有してもよいが、幾つかの実施形態では、それらのFETは改良されたプロセス及び本願の譲受人により開発された集積回路設計の進歩に従い実施される。このような進歩の1つは、本願の譲受人により開発された所謂「HaRP(登録商標)」技術の拡張を有する。HaRPの拡張は、新たなRFアーキテクチャ及びRFフロント・エンド・ソリューションにおける線形性の改善を提供する。HaRPの拡張に従い作成されたFETは、本願の譲受人により所有される係属中の出願に記載されている。例えば、HaRPの拡張に従い作成されたFETは、係属中の米個特許出願番号11/484,370、2006年7月10日出願、名称「Method and Apparatus for use in Improving Linearity of MOSFETs Using an Accumulated Charge Sink」、及び係属中の米個特許出願番号11/520,912、2006年9月14日出願、名称「Method and Apparatus Improving Gate Oxide Reliability by Controlling Accumulated Charge」に記載されている。上述の2つの係属中の米個特許出願(2006年7月10日出願の出願番号11/484,370及び2006年9月14日出願の出願番号11/520,912)は、参照されることにより全体が本願明細書に組み込まれる。上述のように、幾つかの実施形態では、本発明のDTCの方法及び装置を参照して上述したFETは、上記の組み込まれた係属中の出願(出願番号11/484,370及び11/520,912)の教示に従い実施される。
より詳細には、及び係属中の出願11/484,370に記載されたように、HaRP技術の拡張に従い作成されたFETは、自動蓄積電荷制御(Accumulated Charge Control:ACC)SOI MOSFETを有する。各ACC SOI MOSFETは、該ACC SOI MOSFETに結合され、FETが蓄積電荷型で動作するときにACC FET本体から蓄積電荷を除去するために用いられる蓄積電荷シンク(Accumulated Charge Sink:ACS)を有する。ACSは、ACC SOI MOSFETが蓄積電荷型で操作するときのみ、蓄積された電荷を除去するか又は制御する。従って、HaRP技術の拡張は、蓄積電荷シンク(ACS)を用いてMOSFET素子の線形性特性を向上させるときに用いられる方法及び装置を提供する。ACS端子を介して、HaRP FETは、SOI MOSFET内の蓄積電荷を除去、低減又は制御するように適応され、それによりFETの性能特性の向上をもたらす。ある例である実施形態では、少なくとも1つのSOI MOSFETを有する回路は、蓄積電荷型で動作するように構成される。ACSは、SOI MOSFETの本体に結合され、FETが蓄積電荷型で動作するときに蓄積電荷を削除、除去又は制御し、それによりSOI MOSFETのオフ状態の寄生ソース−ドレイン・キャパシタンスの非線形性を低減する。改良されたSOI MOSFET素子で実施されたRF切り替え回路では、高調波及び相互変調歪みは、SOI MOSFETが蓄積電荷型で動作するときに蓄積電荷を除去又は制御することにより低減される。
同時に継続中の及び上述の組み込まれた特許出願、出願番号11/484,370に記載されたように、幾つかの実施形態では、ACC MOSFETは、蓄積電荷シンク(ACS)がダイオードを介してゲート端子に結合される4端子素子として構成される。このような4端子ACC MOSFET1503は、図15Cに示される。図15Cは、蓄積電荷を制御するようにされた改良された、4端子素子として実施されたSOI NMOSFET1503の簡略化された概略図である。ここで、ACC MOSFET1503は、ゲート端子1502、ソース端子1504、ドレイン端子1506及び蓄積電荷シンク(ACS)端子1508を有する。図15Cの実施形態に示されるように、ACS端子1508は、ダイオード1510を介してゲート端子1502に結合される。この実施形態は、例えばACC MOSFET1503がオン状態の条件にバイアスされるときに生じうる正のVg−to−Vs(又は、同等にVgs、ここでVgs=Vg−Vs)バイアス電圧により引き起こされる正電流がMOSFETの本体に流れ込むのを防ぐために用いられてもよい。バイアスがオフであるとき、ACS端子の電圧VACSは、ゲート電圧と、ダイオード1510の両端の電圧降下とを足したものである。非常に低いACS端子の電流レベルでは、ダイオード1510の両端の電圧降下も、標準的に非常に低い(例えば、例えば標準的な閾値のダイオードで<<500mV)。ダイオード1510の両端の電圧降下は、0Vfダイオードのような他のダイオードを用いることによりほぼゼロに低減されうる。一実施形態では、ダイオードの両端の電圧降下の低減は、ダイオード1510の幅を増大させることにより達成される。また、ACSとソース間、又はACSとドレイン間の電圧(2つのバイアス電圧のうち低い方のバイアス電圧)を次第に負に維持することは、ACC MOSFETの線形正を向上させる。
幾つかの実施形態では、図6A−6Bを参照して上述したように、FETがオンに切り替えられるとき、+2.75Vの標準的な値の電圧がFETのゲート端子に供給される。FETは、−3.4Vの標準的な負電圧を印加することによりオフに切り替えられる。より大きなレベルの負電圧の印加は、FETの線形性及び高調波の性能特性を向上する。標準的に、FETに印加される負電圧は、−1乃至−3.6Vの間の範囲である。本発明のDTCの教示のある例である実施形態では、−3.4Vの負電圧が印加される。
この理由から、本発明のDTCの教示の別の実施形態では、図6A及び6Bに関連して上述したように、負電圧生成器がDTCの集積回路の実施に含まれる。負電圧生成器は、標準的にチャージ・ポンプとして実施される。チャージ・ポンプは、ある例である実施形態では、+2.75Vの供給電圧から−3.4Vの電圧を提供する。負電圧生成器に加え、外部制御信号(例えば、0乃至+2.75Vの間)を−3.4V+2.75Vに変換するためにレベル・シフタが用いられてもよい。外部制御信号は、FETをバイアスするために用いられうる。負電圧生成器及びレベル・シフタに加え、本実施形態は、DTCのための追加の支援回路を提供する他のブロックを有してもよい。例えば、これらの他のブロックは、他の機能の中で特に、シリアル・バス、制御アルゴリズム、インピーダンス整合検出回路を有してもよい。
上述のDTC及び特に(例えば、ユニット・セル設計ブロックを有する図6AのLSBサブ回路602のような)種々の有効ビットのサブ回路は、キャパシタに直列に結合された少なくとも複数のスタックされたFETを有するとして上述された(上述の殆どの実施形態では、キャパシタはMIMキャパシタを有する)。多くの用途でFETを用いたスタックされたスイッチの実施が必要とされるか又は奨励されうるが、本発明のDTCの教示は、キャパシタに直列の切り替え素子を実施するために他の切り替え素子の使用も意図する。例えば、幾つかの実施形態では、切り替え素子は、横方向拡散型金属酸化膜半導体(LDMOS)トランジスタを有する。他の実施形態では、微小電気機械システム(MEMS)スイッチが切り替え素子を実施するために用いられる。更に、上述のように、上述の殆どのDTCはMIMキャパシタを有するユニット・セル設計ブロックのキャパシタを実施するが、本発明のDTCはこれに限定されない。他の実施形態では、キャパシタは、他の種類のキャパシタンス素子を用いて実施される。
<まとめ>
仕様に準拠した調整可能な構成要素の利用可能性は、多帯域・マルチモードの携帯電話機のためのRFアーキテクチャに重大な影響を与えるだろう。本発明のDTCの方法及び装置は、限定でなく適応型インピーダンス整合、アンテナ帯域お世bいインピーダンスの調整、電力増幅器(PA)の出力整合の調整、RFフィルタ及び送受切り替え器の調整、調整可能なフィルタ及び再構成可能なフィルタ、アンテナ及びPAを含む多くの異なる環境及び用途で用いられうる。仕様は厳しく、また高電力処理(+35dBm)、高線形性(IMD3−105dBm)、低損失(Q>50−100)、高信頼性、3:1−8:1の調整範囲、高速切り替え(5μS)、低価格、量産可能などの要件を満たすことは困難である。調整可能な構成要素の一般的な要件は、UltraCMOSで実施されたDTCを、上述のDTCを実施するための優れた技術の候補にする端末のアンテナ・スイッチのための要件に必要に類似する。この特定の実施は、高電力処理及び線形性についてスタック・トランジスタの独自の能力に強く依存し、高いQのキャパシタを集積することができる。UltraCMOSの手法は、単に既存の一体に集積された単一ダイの固体の調整可能キャパシタであるように見え、全ての仕様を満たし、UltraCMOSの端末のアンテナ・スイッチと全て同一の利点を有する。有利なことに、上述のDTCは、MEMSの代替及びBSTの実施である完全に集積された素子に、高信頼性及び低価格で量産されうる。実績のある大容量のUltraCMOSスイッチ技術は、DTCを実施するために用いられうる。このプロセス技術は、シリアル又はパラレル・バス、デジタル不整合センサ、本発明のDTCを支援するために用いられうる制御アルゴリズムを、幾つかの完全に集積されたソリューションの実施形態に一体に集積させる。有利なことに、DTCは、インピーダンス・チューナの用途、アンテナ調整、PA出力整合の調整、及び多くの他の有用な用途に使用可能である。
本発明の多数の実施形態が記載された。しかしながら、請求される本発明の精神及び範囲から逸脱することなく種々の変更が行われ得ることが理解されるだろう。
従って、本発明は特定の示された実施形態に限定されず、添付の特許請求の範囲によってのみ定められることが理解されるべきである。
[関連出願の相互参照]
本出願は、発明の譲受人に譲渡された米国仮出願番号61/067634、2008年2月出願、発明の名称「Method and Apparatus for Digitally Tuning a Capacitor in an Integrated Circuit Device」の優先権の利益を主張する。上記米国仮出願は参照されることによりその全体が本願明細書に組み込まれる。
400、500、600 DTC
402、404、406、408 キャパシタ
402’、404’、406’、408’ FET
410 グランド端子
412 負荷端子
416 制御ロジック・ブロック
420、422、424 信号線
426 制御ワードCAPword
502 キャパシタ
503 サブ回路
504 FET
506、510 RF端子
602 サブ回路
604、620、622 キャパシタ
606、608、610、612、614、616 FET
618、680 RF端子
700 ユニット・セル
702 スタック
704 MIMキャパシタ
705 抵抗器
706、708、710、712、714、716 FET
718、780 RF端子

Claims (1)

  1. 集積回路素子内で用いられるデジタル的に調整されるキャパシタ(DTC)であって、
    (a)第1のRF端子と、
    (b)第2のRF端子と、
    (c)選択された複数のb個のデジタル制御ワードビットを有するデジタル制御ワードを受信可能な入力手段であって、前記デジタル制御ワードは、前記第1及び第2のRF端子の間に適用されたキャパシタンスを選択的に制御する、入力手段と、
    (d)1つのユニット・セル及び複製されたユニット・セルと
    を有し、
    前記複製されたユニット・セルは、前記1つのセルを1又は複数回インスタンス化することにより、及び前記のインスタンスを並列に結合することにより、実装され、
    前記1つのユニット・セル及び複製されたユニット・セルは、前記第1のRF端子に結合される第1のノードと前記第2のRF端子に結合される第2のノードとを有して並列に結合され、
    前記1つのユニット・セル及び前記複製されたユニット・セルの各々は、関連し対応するデジタル制御ワードビットに、1対1の関係で結合され、
    各ユニット・セルは、1又は複数のキャパシタに直列に結合された複数のスタックされたスイッチを有し、
    前記デジタル制御ワードは、前記関連し対応するデジタル制御ワードビットにより、前記スタックされたスイッチの切り替え動作を選択的に制御することにより、前記キャパシタンスを選択的に制御する、
    DTC。
JP2015225020A 2008-02-28 2015-11-17 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置 Active JP6151333B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US6763408P 2008-02-28 2008-02-28
US61/067,634 2008-02-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013181032A Division JP5860857B2 (ja) 2008-02-28 2013-09-02 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017102495A Division JP6533251B2 (ja) 2008-02-28 2017-05-24 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置

Publications (2)

Publication Number Publication Date
JP2016028458A true JP2016028458A (ja) 2016-02-25
JP6151333B2 JP6151333B2 (ja) 2017-06-21

Family

ID=41016424

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2010548750A Active JP5417346B2 (ja) 2008-02-28 2009-03-02 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
JP2013181032A Active JP5860857B2 (ja) 2008-02-28 2013-09-02 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
JP2015225020A Active JP6151333B2 (ja) 2008-02-28 2015-11-17 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
JP2017102495A Active JP6533251B2 (ja) 2008-02-28 2017-05-24 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
JP2019096666A Active JP6771616B2 (ja) 2008-02-28 2019-05-23 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2010548750A Active JP5417346B2 (ja) 2008-02-28 2009-03-02 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
JP2013181032A Active JP5860857B2 (ja) 2008-02-28 2013-09-02 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2017102495A Active JP6533251B2 (ja) 2008-02-28 2017-05-24 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
JP2019096666A Active JP6771616B2 (ja) 2008-02-28 2019-05-23 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置

Country Status (4)

Country Link
US (23) US9024700B2 (ja)
EP (5) EP3346611B1 (ja)
JP (5) JP5417346B2 (ja)
WO (1) WO2009108391A1 (ja)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8744384B2 (en) 2000-07-20 2014-06-03 Blackberry Limited Tunable microwave devices with auto-adjusting matching circuit
US6804502B2 (en) 2001-10-10 2004-10-12 Peregrine Semiconductor Corporation Switch circuit and method of switching radio frequency signals
EP1774620B1 (en) 2004-06-23 2014-10-01 Peregrine Semiconductor Corporation Integrated rf front end
US7890891B2 (en) 2005-07-11 2011-02-15 Peregrine Semiconductor Corporation Method and apparatus improving gate oxide reliability by controlling accumulated charge
US8742502B2 (en) 2005-07-11 2014-06-03 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction
USRE48965E1 (en) 2005-07-11 2022-03-08 Psemi Corporation Method and apparatus improving gate oxide reliability by controlling accumulated charge
US7910993B2 (en) 2005-07-11 2011-03-22 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of MOSFET's using an accumulated charge sink
US9653601B2 (en) 2005-07-11 2017-05-16 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction
US20080076371A1 (en) 2005-07-11 2008-03-27 Alexander Dribinsky Circuit and method for controlling charge injection in radio frequency switches
US9406444B2 (en) 2005-11-14 2016-08-02 Blackberry Limited Thin film capacitors
US7711337B2 (en) 2006-01-14 2010-05-04 Paratek Microwave, Inc. Adaptive impedance matching module (AIMM) control architectures
GB0614037D0 (en) * 2006-07-14 2006-08-23 Amura Therapeutics Ltd Compounds
US7535312B2 (en) 2006-11-08 2009-05-19 Paratek Microwave, Inc. Adaptive impedance matching apparatus, system and method with improved dynamic range
US7714676B2 (en) 2006-11-08 2010-05-11 Paratek Microwave, Inc. Adaptive impedance matching apparatus, system and method
US7917104B2 (en) 2007-04-23 2011-03-29 Paratek Microwave, Inc. Techniques for improved adaptive impedance matching
US7960772B2 (en) 2007-04-26 2011-06-14 Peregrine Semiconductor Corporation Tuning capacitance to enhance FET stack voltage withstand
US8213886B2 (en) 2007-05-07 2012-07-03 Paratek Microwave, Inc. Hybrid techniques for antenna retuning utilizing transmit and receive power information
US8058700B1 (en) * 2007-06-07 2011-11-15 Inpower Llc Surge overcurrent protection for solid state, smart, highside, high current, power switch
US7991363B2 (en) 2007-11-14 2011-08-02 Paratek Microwave, Inc. Tuning matching circuits for transmitter and receiver bands as a function of transmitter metrics
EP3346611B1 (en) 2008-02-28 2021-09-22 pSemi Corporation Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device
US9917359B2 (en) 2008-03-05 2018-03-13 Ethertronics, Inc. Repeater with multimode antenna
US8212541B2 (en) 2008-05-08 2012-07-03 Massachusetts Institute Of Technology Power converter with capacitive energy transfer and fast dynamic response
US8072285B2 (en) 2008-09-24 2011-12-06 Paratek Microwave, Inc. Methods for tuning an adaptive impedance matching network with a look-up table
US8395435B2 (en) * 2009-07-30 2013-03-12 Qualcomm, Incorporated Switches with bias resistors for even voltage distribution
US8472888B2 (en) 2009-08-25 2013-06-25 Research In Motion Rf, Inc. Method and apparatus for calibrating a communication device
US9026062B2 (en) * 2009-10-10 2015-05-05 Blackberry Limited Method and apparatus for managing operations of a communication device
DE102010006438A1 (de) 2010-02-01 2011-08-04 Epcos Ag, 81669 Schaltbares kapazitives Element mit verbessertem Gütefaktor und Verfahren zur Herstellung
DE102010011411B4 (de) 2010-03-15 2015-07-02 Qualcomm Technologies, Inc. (N.D.Ges.D. Staates Delaware) Einstellbares kapazitives Element
US8803631B2 (en) 2010-03-22 2014-08-12 Blackberry Limited Method and apparatus for adapting a variable impedance network
DE102010014101B4 (de) 2010-04-07 2016-06-09 Epcos Ag Hybridschaltung mit einstellbarer Impedanz
US8860526B2 (en) 2010-04-20 2014-10-14 Blackberry Limited Method and apparatus for managing interference in a communication device
US9203489B2 (en) 2010-05-05 2015-12-01 Google Technology Holdings LLC Method and precoder information feedback in multi-antenna wireless communication systems
US8791767B2 (en) * 2010-10-29 2014-07-29 Qualcomm Incorporated Package inductance compensating tunable capacitor circuit
US9379454B2 (en) 2010-11-08 2016-06-28 Blackberry Limited Method and apparatus for tuning antennas in a communication device
FR2970129B1 (fr) * 2010-12-30 2013-01-18 Thales Sa Filtre variable par condensateur commute au moyen de composants mems
US10389235B2 (en) 2011-05-05 2019-08-20 Psemi Corporation Power converter
US8712340B2 (en) 2011-02-18 2014-04-29 Blackberry Limited Method and apparatus for radio antenna frequency tuning
US8655286B2 (en) 2011-02-25 2014-02-18 Blackberry Limited Method and apparatus for tuning a communication device
US9882471B2 (en) 2011-05-05 2018-01-30 Peregrine Semiconductor Corporation DC-DC converter with modular stages
US10680515B2 (en) 2011-05-05 2020-06-09 Psemi Corporation Power converters with modular stages
EP3425784B1 (en) 2011-05-05 2023-09-06 PSEMI Corporation Dc-dc converter with modular stages
US8594584B2 (en) 2011-05-16 2013-11-26 Blackberry Limited Method and apparatus for tuning a communication device
WO2013022826A1 (en) 2011-08-05 2013-02-14 Research In Motion Rf, Inc. Method and apparatus for band tuning in a communication device
US8305139B1 (en) * 2011-10-05 2012-11-06 Peregrine Semiconductor Corporation Methods and apparatuses for high power and/or high frequency devices
JP5810910B2 (ja) * 2011-12-28 2015-11-11 富士通株式会社 アンテナ設計方法、アンテナ設計装置、アンテナ設計プログラム
US20130187828A1 (en) 2012-01-24 2013-07-25 Ethertronics, Inc. Tunable matching network for antenna systems
US9002278B2 (en) 2012-02-29 2015-04-07 Htc Corporation Simple automatic antenna tuning system and method
FR2988239A1 (fr) * 2012-03-16 2013-09-20 Converteam Technology Ltd Procede de compensation des tolerances de fabrication d'au moins un parametre electrique d'un transistor de puissance et systeme associe
JP2013197175A (ja) * 2012-03-16 2013-09-30 Samsung Electro-Mechanics Co Ltd 集積回路および無線通信装置
KR101350461B1 (ko) * 2012-04-03 2014-01-09 주식회사 하이딥 튜너블 커패시터
KR101338286B1 (ko) * 2012-04-03 2013-12-06 주식회사 하이딥 튜너블 커패시터
US20130285873A1 (en) 2012-04-20 2013-10-31 Ethertronics, Inc. Multi-band communication system with isolation and impedance matching provision
US9263793B2 (en) 2012-04-20 2016-02-16 Ethertronics, Inc. Multi-band communication system with isolation and impedance matching provision
KR101353175B1 (ko) * 2012-04-27 2014-01-20 삼성전기주식회사 가변 커패시터 모듈
KR101353228B1 (ko) * 2012-04-27 2014-01-20 삼성전기주식회사 가변 커패시터 모듈
CN104520996B (zh) 2012-04-30 2018-02-09 维斯普瑞公司 可编程部件的混合技术组合件
KR101681350B1 (ko) 2012-05-16 2016-11-30 삼성전기주식회사 가변 커패시터 회로
US8948889B2 (en) 2012-06-01 2015-02-03 Blackberry Limited Methods and apparatus for tuning circuit components of a communication device
US9853363B2 (en) 2012-07-06 2017-12-26 Blackberry Limited Methods and apparatus to control mutual coupling between antennas
US9246223B2 (en) 2012-07-17 2016-01-26 Blackberry Limited Antenna tuning for multiband operation
US9413066B2 (en) 2012-07-19 2016-08-09 Blackberry Limited Method and apparatus for beam forming and antenna tuning in a communication device
US9350405B2 (en) 2012-07-19 2016-05-24 Blackberry Limited Method and apparatus for antenna tuning and power consumption management in a communication device
US9362891B2 (en) 2012-07-26 2016-06-07 Blackberry Limited Methods and apparatus for tuning a communication device
KR101396630B1 (ko) 2012-08-01 2014-05-16 삼성전기주식회사 가변 커패시턴스 제어회로 및 가변 커패시턴스 제어방법
KR101397819B1 (ko) 2012-08-20 2014-05-20 삼성전기주식회사 가변 캐패시터 및 이를 갖는 집적 회로
US9106198B2 (en) 2012-08-23 2015-08-11 Qualcomm Incorporated High power tunable capacitor
GB2507533A (en) * 2012-11-02 2014-05-07 Bombardier Transp Gmbh Inductive power receiver having compensating arrangement
US9813262B2 (en) 2012-12-03 2017-11-07 Google Technology Holdings LLC Method and apparatus for selectively transmitting data using spatial diversity
KR101388719B1 (ko) 2012-12-10 2014-04-25 삼성전기주식회사 가변 커패시턴스 회로
US9590674B2 (en) 2012-12-14 2017-03-07 Peregrine Semiconductor Corporation Semiconductor devices with switchable ground-body connection
US9591508B2 (en) 2012-12-20 2017-03-07 Google Technology Holdings LLC Methods and apparatus for transmitting data between different peer-to-peer communication groups
US9374113B2 (en) 2012-12-21 2016-06-21 Blackberry Limited Method and apparatus for adjusting the timing of radio antenna tuning
US10404295B2 (en) 2012-12-21 2019-09-03 Blackberry Limited Method and apparatus for adjusting the timing of radio antenna tuning
US9716477B2 (en) 2012-12-28 2017-07-25 Peregrine Semiconductor Corporation Bias control for stacked transistor configuration
US11128261B2 (en) 2012-12-28 2021-09-21 Psemi Corporation Constant Vds1 bias control for stacked transistor configuration
US9413298B2 (en) 2012-12-28 2016-08-09 Peregrine Semiconductor Corporation Amplifier dynamic bias adjustment for envelope tracking
US9979531B2 (en) 2013-01-03 2018-05-22 Google Technology Holdings LLC Method and apparatus for tuning a communication device for multi band operation
US9390861B2 (en) * 2013-01-29 2016-07-12 Intel Deutschland Gmbh Capacitance bank systems and methods
US8981973B2 (en) 2013-03-08 2015-03-17 Microchip Technology Incorporated Successive-approximation-register (SAR) analog-to-digital converter (ADC) attenuation capacitor calibration method and apparatus
US9294056B2 (en) 2013-03-12 2016-03-22 Peregrine Semiconductor Corporation Scalable periphery tunable matching power amplifier
US10229697B2 (en) 2013-03-12 2019-03-12 Google Technology Holdings LLC Apparatus and method for beamforming to obtain voice and noise signals
US9602063B2 (en) 2013-03-12 2017-03-21 Peregrine Semiconductor Corporation Variable impedance match and variable harmonic terminations for different modes and frequency bands
US9276527B2 (en) 2013-09-30 2016-03-01 Peregrine Semiconductor Corporation Methods and devices for impedance matching in power amplifier circuits
US20150236748A1 (en) 2013-03-14 2015-08-20 Peregrine Semiconductor Corporation Devices and Methods for Duplexer Loss Reduction
US9595923B2 (en) 2013-03-14 2017-03-14 Peregrine Semiconductor Corporation Systems and methods for optimizing amplifier operations
US8836408B1 (en) * 2013-03-15 2014-09-16 Nxp B.V. High-speed switch with signal-follower control offsetting effective visible-impedance loading
US10680590B2 (en) 2013-03-15 2020-06-09 Psemi Corporation Integrated switch and self-activating adjustable power limiter
US8928388B2 (en) 2013-03-15 2015-01-06 Peregrine Semiconductor Corporation Self-activating adjustable power limiter
US8619445B1 (en) 2013-03-15 2013-12-31 Arctic Sand Technologies, Inc. Protection of switched capacitor power converter
US9537472B2 (en) 2013-03-15 2017-01-03 Peregrine Semiconductor Corporation Integrated switch and self-activating adjustable power limiter
WO2014168911A1 (en) * 2013-04-09 2014-10-16 Massachusetts Institute Of Technology Power conservation with high power factor
US8928398B2 (en) * 2013-04-30 2015-01-06 Texas Instruments Incorporated Differential analog signal processing stage with reduced even order harmonic distortion
US9281802B2 (en) * 2013-05-14 2016-03-08 Infineon Technologies Ag System and method for a switchable capacitance
US9570222B2 (en) * 2013-05-28 2017-02-14 Tdk Corporation Vector inductor having multiple mutually coupled metalization layers providing high quality factor
US9086709B2 (en) 2013-05-28 2015-07-21 Newlans, Inc. Apparatus and methods for variable capacitor arrays
US10033353B2 (en) * 2013-06-19 2018-07-24 Qualcomm Technologies, Inc. Switchable capacitor array and method for driving a switchable capacitor array
US9276547B2 (en) 2013-06-28 2016-03-01 Peregrine Semiconductor Corporation Systems and methods of stacking LC tanks for wide tuning range and high voltage swing
JP5880493B2 (ja) * 2013-07-04 2016-03-09 株式会社デンソー 温度検出装置
JP6166608B2 (ja) * 2013-07-18 2017-07-19 太陽誘電株式会社 スイッチ装置およびモジュール
US9647631B2 (en) * 2013-08-15 2017-05-09 Peregrine Semiconductor Corporation Tunable impedance matching network
US9425762B2 (en) 2013-08-16 2016-08-23 Peregrine Semiconductor Corporation System and method for tuning an RF circuit
US9386542B2 (en) 2013-09-19 2016-07-05 Google Technology Holdings, LLC Method and apparatus for estimating transmit power of a wireless device
JP5899565B2 (ja) * 2013-09-22 2016-04-06 光俊 菅原 スイッチ付容量及びスイッチ付容量を含む回路
US9276526B2 (en) 2013-09-27 2016-03-01 Peregrine Semiconductor Corporation Amplifier with variable feedback impedance
US9300286B2 (en) 2013-09-27 2016-03-29 Peregrine Semiconductor Corporation Antenna transmit receive switch
US9331643B2 (en) 2013-09-30 2016-05-03 Peregrine Semiconductor Corporation Methods and devices for thermal control in power amplifier circuits
US9864000B2 (en) * 2013-09-30 2018-01-09 Peregrine Semiconductor Corporation Mismatch detection using replica circuit
US9564896B2 (en) * 2013-09-30 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Post-silicon tuning in voltage control of semiconductor integrated circuits
US9160292B2 (en) 2013-10-08 2015-10-13 Peregrine Semiconductor Corporation Load compensation in RF amplifiers
US9184709B2 (en) 2013-10-08 2015-11-10 Peregrine Semiconductor Corporation Resonant pre-driver for switching amplifier
US9825545B2 (en) 2013-10-29 2017-11-21 Massachusetts Institute Of Technology Switched-capacitor split drive transformer power conversion circuit
CN105706173B (zh) * 2013-11-08 2019-11-08 维斯普瑞公司 用于校准可调谐部件的系统和方法
EP3506504B1 (en) * 2013-11-12 2021-09-01 Skyworks Solutions, Inc. Devices and methods related to radio-frequency switches having improved performance
US11043432B2 (en) 2013-11-12 2021-06-22 Skyworks Solutions, Inc. Radio-frequency switching devices having improved voltage handling capability
US20220013415A1 (en) * 2013-11-12 2022-01-13 Skyworks Solutions, Inc. Radio-frequency switching devices having improved voltage handling capability
KR101630019B1 (ko) * 2013-11-13 2016-06-13 삼성전기주식회사 엘디엠오에스 알에프 스위치
US9391566B2 (en) 2013-11-15 2016-07-12 Peregrine Semiconductor Corporation Methods and devices for testing segmented electronic assemblies
US9407212B2 (en) 2013-11-15 2016-08-02 Peregrine Semiconductor Corporation Devices and methods for improving yield of scalable periphery amplifiers
US9438185B2 (en) 2013-11-15 2016-09-06 Peregrine Semiconductor Corporation Devices and methods for increasing reliability of scalable periphery amplifiers
US9301177B2 (en) 2013-12-18 2016-03-29 Google Technology Holdings LLC Method and system to improve antenna tuner reliability
US9549290B2 (en) 2013-12-19 2017-01-17 Google Technology Holdings LLC Method and apparatus for determining direction information for a wireless device
US9590686B2 (en) 2013-12-26 2017-03-07 Google Technology Holdings LLC Maintaining a capacitor dielectric under strain to reduce capacitance variation due to time variant hysterisis effect
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9729190B2 (en) * 2014-01-17 2017-08-08 Qualcomm Incorporated Switchable antenna array
US9306603B2 (en) 2014-01-24 2016-04-05 Qualcomm Incorporated Tunable radio frequency (RF) front-end architecture using filter having adjustable inductance and capacitance
US9673155B2 (en) 2014-02-14 2017-06-06 Peregrine Semiconductor Corporation Integrated tunable filter architecture
US9438196B2 (en) 2014-02-14 2016-09-06 Peregrine Semiconductor Corporation Integrated tunable filter architecture
US9143124B2 (en) 2014-02-18 2015-09-22 Acco Switch controls
US9979460B2 (en) * 2014-02-18 2018-05-22 Nextivity, Inc. System for maximizing gain in a repeater
US9491007B2 (en) 2014-04-28 2016-11-08 Google Technology Holdings LLC Apparatus and method for antenna matching
US9584097B2 (en) * 2014-04-29 2017-02-28 Infineon Technologies Ag System and method for a switchable capacitance
US9438223B2 (en) 2014-05-20 2016-09-06 Qualcomm Incorporated Transistor based switch stack having filters for preserving AC equipotential nodes
US9478847B2 (en) 2014-06-02 2016-10-25 Google Technology Holdings LLC Antenna system and method of assembly for a wearable electronic device
US9515645B2 (en) * 2014-06-03 2016-12-06 Infineon Technologies Ag System and method for a radio frequency switch
US20150358041A1 (en) * 2014-06-06 2015-12-10 Qualcomm Incorporated Calibration and tuning for a tunable filter having adjustable inductance and capacitance
CN103995298B (zh) * 2014-06-07 2017-02-15 吉林大学 一种优化选择质子磁力仪配谐电容的方法
WO2016004427A1 (en) 2014-07-03 2016-01-07 Massachusetts Institute Of Technology High-frequency, high-density power factor correction conversion for universal input grid interface
US9479126B2 (en) * 2014-08-19 2016-10-25 Infineon Technologies Ag System and method for a low noise amplifier
US9709620B2 (en) 2014-09-17 2017-07-18 Peregrine Semiconductor Corporation Fuse sense circuit and method
US9461610B2 (en) 2014-12-03 2016-10-04 Tdk Corporation Apparatus and methods for high voltage variable capacitors
US9735752B2 (en) 2014-12-03 2017-08-15 Tdk Corporation Apparatus and methods for tunable filters
US9438319B2 (en) 2014-12-16 2016-09-06 Blackberry Limited Method and apparatus for antenna selection
US9671812B2 (en) 2014-12-17 2017-06-06 Tdk Corporation Apparatus and methods for temperature compensation of variable capacitors
US9785164B2 (en) * 2015-01-06 2017-10-10 Vidatronic, Inc. Power supply rejection for voltage regulators using a passive feed-forward network
US9698854B2 (en) * 2015-01-09 2017-07-04 Apple Inc. Electronic device having antenna tuning integrated circuits with sensors
US9362882B1 (en) 2015-01-23 2016-06-07 Tdk Corporation Apparatus and methods for segmented variable capacitor arrays
US9685946B2 (en) 2015-01-30 2017-06-20 Peregrine Semiconductor Corporation Radio frequency switching circuit with distributed switches
US9831869B2 (en) * 2015-01-30 2017-11-28 Peregrine Semiconductor Corporation Radio frequency switching circuit with distributed switches
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US9831857B2 (en) 2015-03-11 2017-11-28 Peregrine Semiconductor Corporation Power splitter with programmable output phase shift
JP6371724B2 (ja) * 2015-03-13 2018-08-08 株式会社東芝 半導体スイッチ
US9374125B1 (en) 2015-03-17 2016-06-21 Peregrine Semiconductor Corporation Methods and devices for overcoming insertion loss in RF systems
US9912327B2 (en) 2015-03-18 2018-03-06 Peregrine Semiconductor Corporation Dead time control circuit for a level shifter
US10382002B2 (en) 2015-03-27 2019-08-13 Tdk Corporation Apparatus and methods for tunable phase networks
US9680426B2 (en) 2015-03-27 2017-06-13 Tdk Corporation Power amplifiers with tunable notches
US9595942B2 (en) 2015-03-30 2017-03-14 Tdk Corporation MOS capacitors with interleaved fingers and methods of forming the same
US10073482B2 (en) 2015-03-30 2018-09-11 Tdk Corporation Apparatus and methods for MOS capacitor structures for variable capacitor arrays
US10042376B2 (en) 2015-03-30 2018-08-07 Tdk Corporation MOS capacitors for variable capacitor arrays and methods of forming the same
US9627882B2 (en) * 2015-03-30 2017-04-18 Infineon Technologies Ag Serial capacitance tuner
US9882587B2 (en) 2015-03-31 2018-01-30 Skyworks Solutions, Inc. Multi-band power amplifier
US9667217B2 (en) 2015-04-17 2017-05-30 Peregrine Semiconductor Corporation High performance integrated tunable impedance matching network with coupled merged inductors
US10340876B2 (en) 2015-04-17 2019-07-02 Psemi Corporation Tunable and integrated impedance matching and filter circuit
WO2016190451A1 (ko) * 2015-05-22 2016-12-01 주식회사 쏠리드 신호 처리 장치
JP6509037B2 (ja) * 2015-05-22 2019-05-08 ルネサスエレクトロニクス株式会社 半導体装置、それを備えた半導体システム及び半導体装置の制御方法
US9634650B2 (en) 2015-06-26 2017-04-25 Peregrine Semiconductor Corporation State change stabilization in a phase shifter/attenuator circuit
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US9793935B2 (en) 2015-07-02 2017-10-17 Mediatek Inc. Multi-mixer system and method for reducing interference within multi-mixer system
US9973155B2 (en) 2015-07-09 2018-05-15 Tdk Corporation Apparatus and methods for tunable power amplifiers
CN105049015B (zh) * 2015-08-07 2018-01-16 康希通信科技(上海)有限公司 单刀单掷射频开关及其构成的单刀双掷射频开关和单刀多掷射频开关
US20170134016A1 (en) 2015-10-14 2017-05-11 Peregrine Semiconductor Corporation Reduced Dissipation Switch FET Gate Biasing
US9716472B2 (en) * 2015-10-20 2017-07-25 Signalchip Innovations Private Limited Voltage follower circuit to mitigate gain loss caused by finite output impedance of transistors
US10014897B2 (en) * 2015-11-03 2018-07-03 Motorola Mobility Llc Proximal user detection with measurement receiver
CN105207638B (zh) * 2015-11-11 2017-08-29 中国科学院国家天文台 一种超低损耗同轴线电感微带高通滤波器
US10574278B2 (en) * 2015-11-13 2020-02-25 Texas Instruments Incorporated High dynamic range ask wake-up receiver
US9602091B1 (en) * 2015-12-03 2017-03-21 Peregrine Semiconductor Corporation Low phase shift, high frequency attenuator
JP6397811B2 (ja) * 2015-12-18 2018-09-26 株式会社東芝 半導体集積回路及び高周波アンテナスイッチ
US10879341B2 (en) * 2016-01-20 2020-12-29 Qualcomm Incorporated Integrated device package comprising a real time tunable inductor implemented in a package substrate
US10304623B2 (en) 2016-01-20 2019-05-28 Qualcomm Incorporated Integrated device package comprising a tunable inductor
US9991889B2 (en) * 2016-02-09 2018-06-05 Psemi Corporation High throw-count RF switch
US10700658B2 (en) 2016-02-19 2020-06-30 Psemi Corporation Adaptive tuning networks with direct mapped multiple channel filter tuning
US10141958B2 (en) 2016-02-19 2018-11-27 Psemi Corporation Adaptive tuning network for combinable filters
US11448524B2 (en) 2016-04-07 2022-09-20 Phoenix America Inc. Multipole magnet for use with a pitched magnetic sensor
DE102016108231A1 (de) * 2016-05-03 2017-11-09 Infineon Technologies Ag Schalter
US10637460B2 (en) 2016-06-14 2020-04-28 Macom Technology Solutions Holdings, Inc. Circuits and operating methods thereof for monitoring and protecting a device
US9787256B1 (en) 2016-06-16 2017-10-10 Peregrine Semiconductor Corporation Coupled coils inter-stage matching network
US10055619B2 (en) 2016-06-17 2018-08-21 Intermec, Inc. Systems and methods for compensation of interference in radiofrequency identification (RFID) devices
EP3276827B1 (en) * 2016-07-25 2021-04-28 Comet AG Broadband matching network
CN106230417B (zh) * 2016-07-27 2019-08-23 上海华虹宏力半导体制造有限公司 Soi射频开关结构及集成电路
CN106301304A (zh) * 2016-08-16 2017-01-04 深圳天珑无线科技有限公司 一种天线开关电路及射频电路
US9948281B2 (en) * 2016-09-02 2018-04-17 Peregrine Semiconductor Corporation Positive logic digitally tunable capacitor
US9837965B1 (en) 2016-09-16 2017-12-05 Peregrine Semiconductor Corporation Standby voltage condition for fast RF amplifier bias recovery
US20180083473A1 (en) * 2016-09-16 2018-03-22 Qualcomm Incorporated Variable capacitor series tuning configuration
US9935678B1 (en) 2016-10-04 2018-04-03 Psemi Corporation Broadband power limiter
US10447032B2 (en) 2016-10-04 2019-10-15 Psemi Corporation Adjustable power limiter with integrated power detector
US20180109228A1 (en) 2016-10-14 2018-04-19 MACOM Technology Solution Holdings, Inc. Phase shifters for gallium nitride amplifiers and related methods
WO2018084889A1 (en) 2016-11-02 2018-05-11 Peregrine Semiconductor Corporation Mismatch detection using replica circuit
US10320280B2 (en) 2016-11-08 2019-06-11 Analog Devices Global Unlimited Company LC filter including coupled inductors for reducing ripple in switching power supplies
WO2018097203A1 (ja) * 2016-11-25 2018-05-31 株式会社村田製作所 弾性波フィルタ装置、マルチプレクサ、高周波フロントエンド回路および通信装置
US10038414B2 (en) 2016-12-07 2018-07-31 Psemi Corporation RF switch with split tunable matching network
US9847348B1 (en) 2016-12-20 2017-12-19 Peregrine Semiconductor Corporation Systems, methods and apparatus for enabling high voltage circuits
CN106656128A (zh) * 2016-12-31 2017-05-10 唯捷创芯(天津)电子技术股份有限公司 用于多晶体管串联射频开关的电压均匀化方法及射频开关
WO2018139495A1 (ja) * 2017-01-30 2018-08-02 株式会社村田製作所 スイッチ回路
WO2018147085A1 (ja) 2017-02-08 2018-08-16 株式会社村田製作所 スイッチ回路
US10325727B2 (en) 2017-02-15 2019-06-18 Wispry, Inc. Flexible control systems and methods for device arrays
TWI647905B (zh) * 2017-02-15 2019-01-11 立積電子股份有限公司 用於對放大器的線性度進行補償的前置補償器
US10439562B2 (en) 2017-02-28 2019-10-08 Psemi Corporation Current mirror bias compensation circuit
US10439563B2 (en) 2017-02-28 2019-10-08 Psemi Corporation Positive temperature coefficient bias compensation circuit
US10243562B2 (en) * 2017-02-28 2019-03-26 International Business Machines Corporation Level-shifting circuit for non-complementary logic
US10056874B1 (en) 2017-02-28 2018-08-21 Psemi Corporation Power amplifier self-heating compensation circuit
US9960737B1 (en) 2017-03-06 2018-05-01 Psemi Corporation Stacked PA power control
DE102017104908A1 (de) * 2017-03-08 2018-09-13 Osram Opto Semiconductors Gmbh Anordnung zum Betreiben strahlungsemittierender Bauelemente, Verfahren zur Herstellung der Anordnung und Ausgleichsstruktur
JP7193447B2 (ja) * 2017-03-22 2022-12-20 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びモジュール
KR20180120511A (ko) 2017-04-27 2018-11-06 에스케이하이닉스 주식회사 전달 함수 회로들을 가진 시냅스 어레이를 포함하는 뉴로모픽 소자
US10211830B2 (en) 2017-04-28 2019-02-19 Qualcomm Incorporated Shunt termination path
US10348279B2 (en) 2017-05-11 2019-07-09 International Business Machines Corporation Skew control
US10564664B2 (en) 2017-05-11 2020-02-18 International Business Machines Corporation Integrated skew control
US10181631B2 (en) 2017-05-12 2019-01-15 Psemi Corporation Compact low loss signal coupler
US20180337670A1 (en) * 2017-05-17 2018-11-22 Skyworks Solutions, Inc. Switch linearization with anti-series varactor
US10389162B2 (en) * 2017-05-19 2019-08-20 Qualcomm Incorporated Power receiving unit reflected reactance and tuning methods
US10672726B2 (en) 2017-05-19 2020-06-02 Psemi Corporation Transient stabilized SOI FETs
US10276371B2 (en) 2017-05-19 2019-04-30 Psemi Corporation Managed substrate effects for stabilized SOI FETs
TWI656744B (zh) * 2017-05-19 2019-04-11 瑞昱半導體股份有限公司 積體電路電容布局
TWI776901B (zh) 2017-05-24 2022-09-11 英商安諾特克有限公司 用於控制諧振器之裝置及方法
US10483921B2 (en) 2017-05-26 2019-11-19 Psemi Corporation Clockless frequency detector
US10277268B2 (en) * 2017-06-02 2019-04-30 Psemi Corporation Method and apparatus for switching of shunt and through switches of a transceiver
JP6757502B2 (ja) * 2017-06-07 2020-09-23 株式会社村田製作所 双方向スイッチ回路及びスイッチ装置
US10348293B2 (en) 2017-06-19 2019-07-09 Psemi Corporation Timing controller for dead-time control
US10116297B1 (en) 2017-06-19 2018-10-30 Psemi Corporation DC-coupled high-voltage level shifter
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US10181823B1 (en) 2017-07-17 2019-01-15 Psemi Corporation Integrated ultra-compact VSWR insensitive coupler
US20190028066A1 (en) 2017-07-24 2019-01-24 Macom Technology Solutions Holdings, Inc. Fet operational temperature determination by field plate resistance thermometry
US20190028065A1 (en) 2017-07-24 2019-01-24 Macom Technology Solutions Holdings, Inc. Fet operational temperature determination by gate structure resistance thermometry
DE202017105350U1 (de) * 2017-08-25 2018-11-27 Aurion Anlagentechnik Gmbh Hochfrequenz- Impedanz Anpassungsnetzwerk und seine Verwendung
US10910714B2 (en) * 2017-09-11 2021-02-02 Qualcomm Incorporated Configurable power combiner and splitter
US10164607B1 (en) * 2017-09-14 2018-12-25 Snaptrack, Inc. Adjustable condenser
US10177715B1 (en) 2017-10-09 2019-01-08 Psemi Corporation Front end module with input match configurability
US10635771B2 (en) * 2017-10-18 2020-04-28 Anaglobe Technology, Inc. Method for parasitic-aware capacitor sizing and layout generation
CN107786177B (zh) * 2017-11-03 2023-11-24 浙江嘉科电子有限公司 一种c波段的低噪声放大器
US10236836B1 (en) 2017-12-01 2019-03-19 Psemi Corporation Tuned amplifier matching based on band switch setting
US10581409B2 (en) 2017-12-27 2020-03-03 Psemi Corporation Clocked frequency detector RF auto-tuning system
JP7002340B2 (ja) * 2018-01-12 2022-01-20 株式会社ヨコオ 車載用アンテナ装置
US10680605B2 (en) * 2018-02-28 2020-06-09 Infineon Technologies Ag Bias circuit and method for a high-voltage RF switch
US10243449B1 (en) * 2018-03-14 2019-03-26 Alpha And Omega Semiconductor (Cayman) Limited Multifunction three quarter bridge
US10886911B2 (en) * 2018-03-28 2021-01-05 Psemi Corporation Stacked FET switch bias ladders
US10505530B2 (en) 2018-03-28 2019-12-10 Psemi Corporation Positive logic switch with selectable DC blocking circuit
US10236872B1 (en) 2018-03-28 2019-03-19 Psemi Corporation AC coupling modules for bias ladders
CN111971899A (zh) 2018-03-28 2020-11-20 派赛公司 具有可选dc阻断电路的正逻辑开关
US10439564B1 (en) 2018-03-30 2019-10-08 Psemi Corporation Second harmonic terminations for AM-AM and AM-PM suppression
US10707914B2 (en) * 2018-06-08 2020-07-07 Microsoft Technology Licensing, Llc Adaptive antenna and radio
JP7261544B2 (ja) * 2018-06-15 2023-04-20 株式会社ワコム 電子ペン
US10523195B1 (en) 2018-08-02 2019-12-31 Psemi Corporation Mixed style bias network for RF switch FET stacks
US11049855B2 (en) 2018-08-09 2021-06-29 Psemi Corporation Tunable capacitive compensation for RF switch FET stacks
US11196401B2 (en) * 2018-08-14 2021-12-07 Newport Fab, Llc Radio frequency (RF) module using a tunable RF filter with non-volatile RF switches
US11158794B2 (en) 2018-08-14 2021-10-26 Newport Fab, Llc High-yield tunable radio frequency (RF) filter with auxiliary capacitors and non-volatile RF switches
US10942212B2 (en) 2018-12-05 2021-03-09 Psemi Corporation System and method for testing radio frequency switches
US10587229B1 (en) 2018-12-11 2020-03-10 Psemi Corporation Multi-stage stacked power amplifier feedback circuit for improved performance
US10951023B2 (en) 2019-01-10 2021-03-16 Psemi Corporation Variable level power clamping circuit
CN111585054B (zh) * 2019-02-18 2021-11-05 荣耀终端有限公司 一种调谐器件、天线装置和终端设备
US10992334B2 (en) 2019-04-04 2021-04-27 Analog Devices International Unlimited Company Radio frequency switches with controllable resonant frequency
DE102020109391A1 (de) * 2019-04-04 2020-10-08 Analog Devices International Unlimited Company Hochfrequenzschalter mit steuerbarer resonanzfrequenz
CN111913519B (zh) * 2019-05-09 2022-06-21 无锡华润上华科技有限公司 信号转换器、电阻分压网络及其线性度补偿方法
US11538662B2 (en) 2019-05-21 2022-12-27 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
FR3096548B1 (fr) * 2019-05-21 2021-06-25 Commissariat Energie Atomique Correction d'une valeur d'un composant passif
US11277110B2 (en) 2019-09-03 2022-03-15 Anlotek Limited Fast frequency switching in a resonant high-Q analog filter
EP4070171A1 (en) 2019-12-05 2022-10-12 Anlotek Limited Use of stable tunable active feedback analog filters in frequency synthesis
US11476849B2 (en) 2020-01-06 2022-10-18 Psemi Corporation High power positive logic switch
US11394408B2 (en) * 2020-01-29 2022-07-19 Qualcomm Incorporated Antenna tuning and resonance adjustment system and method
EP3890189A1 (en) * 2020-03-30 2021-10-06 Anlotek Limited Active feedback analog filters with coupled resonators
US11876499B2 (en) 2020-06-15 2024-01-16 Anlotek Limited Tunable bandpass filter with high stability and orthogonal tuning
US11431301B2 (en) 2020-09-10 2022-08-30 Psemi Corporation Wideband amplifier tuning
US11201602B1 (en) 2020-09-17 2021-12-14 Analog Devices, Inc. Apparatus and methods for tunable filtering
US11349440B2 (en) 2020-09-25 2022-05-31 Apple Inc. Extending bandwidth of analog circuits using ferroelectric negative capacitors
US11201600B1 (en) 2020-10-05 2021-12-14 Analog Devices, Inc. Apparatus and methods for control and calibration of tunable filters
US20220216582A1 (en) * 2021-01-06 2022-07-07 Psemi Corporation Tunable wilkinson splitter
US11817827B2 (en) 2021-02-02 2023-11-14 Psemi Corporation Power amplifier equalizer
US11606068B2 (en) 2021-02-02 2023-03-14 Psemi Corporation Power amplifier linearizer
US11626853B2 (en) * 2021-02-05 2023-04-11 Applied Materials, Inc. RF power delivery architecture with switchable match and frequency tuning
US11955942B2 (en) 2021-02-27 2024-04-09 Anlotek Limited Active multi-pole filter
US11817893B2 (en) 2021-03-29 2023-11-14 Psemi Corporation Hybridized wideband notch filter topologies and methods
US11677392B2 (en) 2021-04-16 2023-06-13 Analog Devices International Unlimited Company Bias networks for DC or extended low frequency capable fast stacked switches
US20220376670A1 (en) * 2021-04-30 2022-11-24 Anlotek Limited Phase noise reduction in a variable analogue rf resonator with switched capacitors
KR20220153834A (ko) * 2021-05-12 2022-11-21 주식회사 디비하이텍 알에프 스위치 소자
CN113253787A (zh) * 2021-06-17 2021-08-13 苏州裕太微电子有限公司 一种芯片内电阻校正电路
US11923819B2 (en) * 2021-06-22 2024-03-05 Mediatek Singapore Pte. Ltd. Wideband signal attenuator
US11863227B2 (en) 2021-10-25 2024-01-02 Analog Devices International Unlimited Company Radio frequency switches with fast switching speed
US20230142322A1 (en) * 2021-11-10 2023-05-11 Psemi Corporation Variable width for rf neighboring stacks
US11736102B1 (en) 2022-01-18 2023-08-22 Psemi Corporation RF switch with improved isolation at target frequencies
WO2023171910A1 (ko) * 2022-03-07 2023-09-14 삼성전자 주식회사 Rf 신호의 전력 증폭기를 포함하는 전자 장치
US11923838B2 (en) 2022-06-17 2024-03-05 Psemi Corporation Inductive drain and/or body ladders in RF switch stacks
JP7383783B1 (ja) 2022-12-20 2023-11-20 株式会社フジクラ デジタル移相回路

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03136364A (ja) * 1989-07-12 1991-06-11 Texas Instr Deutschland Gmbh トリミング回路及びトリミング法
JP2000277703A (ja) * 1999-03-25 2000-10-06 Sanyo Electric Co Ltd スイッチ回路装置
JP2002100991A (ja) * 2000-09-26 2002-04-05 Nec Kyushu Ltd D/aコンバータ
JP2002232278A (ja) * 2001-02-01 2002-08-16 Nec Corp 高周波スイッチ回路
JP2003516083A (ja) * 1999-11-30 2003-05-07 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス、電圧、および温度に対してフィルタ回路を自動的に同調させる方法および回路
US20050285684A1 (en) * 2004-06-23 2005-12-29 Burgener Mark L Stacked transistor method and apparatus
WO2006038190A1 (en) * 2004-10-08 2006-04-13 Koninklijke Philips Electronics N.V. Array of capacitors switched by mos transistors
US20060194567A1 (en) * 2001-10-10 2006-08-31 Kelly Dylan J Symmetrically and asymmetrically stacked transistor grouping RF switch
WO2007008934A1 (en) * 2005-07-11 2007-01-18 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of mosfets using an accumulated charge sink
JP2008504745A (ja) * 2004-06-23 2008-02-14 ペレグリン セミコンダクター コーポレーション Rfフロントエンド集積回路

Family Cites Families (505)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3646361A (en) * 1970-10-16 1972-02-29 Hughes Aircraft Co High-speed sample and hold signal level comparator
US3699359A (en) 1971-04-20 1972-10-17 Philco Ford Corp Electronic latching device
US3988727A (en) 1974-06-24 1976-10-26 P. R. Mallory & Co., Inc. Timed switching circuit
US3975671A (en) 1975-02-24 1976-08-17 Intel Corporation Capacitive voltage converter employing CMOS switches
JPS5855685B2 (ja) 1975-09-03 1983-12-10 株式会社日立製作所 ゾウフクカイロ
US4053916A (en) 1975-09-04 1977-10-11 Westinghouse Electric Corporation Silicon on sapphire MOS transistor
JPS5351258A (en) 1976-10-20 1978-05-10 Japan Steel Works Ltd Automatic rotary disk centering unit for opposed injection molding machine
US4079336A (en) 1976-12-22 1978-03-14 National Semiconductor Corporation Stacked transistor output amplifier
JPS5417346U (ja) 1977-07-06 1979-02-03
JPS5921356B2 (ja) 1977-11-21 1984-05-19 三菱重工業株式会社 溶融スラグ熱を利用した石炭乾留装置
JPS54152845A (en) * 1978-05-24 1979-12-01 Hitachi Ltd High dielectric strength mosfet circuit
JPS5575348U (ja) 1978-11-20 1980-05-24
JPS5574168A (en) * 1978-11-28 1980-06-04 Oki Electric Ind Co Ltd Pnpn switch
DE2851789C2 (de) 1978-11-30 1981-10-01 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Schaltung zum Schalten und Übertragen von Wechselspannungen
US4256977A (en) * 1978-12-26 1981-03-17 Honeywell Inc. Alternating polarity power supply control apparatus
US4241316A (en) 1979-01-18 1980-12-23 Lawrence Kavanau Field effect transconductance amplifiers
JPS55117323A (en) * 1979-03-02 1980-09-09 Matsushita Electric Ind Co Ltd Filter
JPS6033314B2 (ja) 1979-11-22 1985-08-02 富士通株式会社 基板バイアス電圧発生回路
US4367421A (en) * 1980-04-21 1983-01-04 Reliance Electric Company Biasing methods and circuits for series connected transistor switches
US4739191A (en) 1981-04-27 1988-04-19 Signetics Corporation Depletion-mode FET for the regulation of the on-chip generated substrate bias voltage
GB2100932B (en) 1981-06-18 1986-06-11 Charles Edward Cooper Antenna.
JPS5921356A (ja) 1982-07-29 1984-02-03 Furukawa Seisakusho:Kk 天ぷらの処理方法及びその装置
JPS6066504A (ja) 1983-09-22 1985-04-16 Oki Electric Ind Co Ltd 半導体集積回路
CA1237828A (en) 1984-08-01 1988-06-07 Simon M. Sze Semiconductor-on-insulator (soi) device having electrical short to avoid charge accumulation
US4748485A (en) * 1985-03-21 1988-05-31 Hughes Aircraft Company Opposed dual-gate hybrid structure for three-dimensional integrated circuits
JPS62104173A (ja) * 1985-10-31 1987-05-14 Fujitsu Ltd 半導体装置
JPH0434980Y2 (ja) 1986-06-30 1992-08-19
US4736169A (en) 1986-09-29 1988-04-05 Hughes Aircraft Company Voltage controlled oscillator with frequency sensitivity control
JPS63238716A (ja) * 1986-11-14 1988-10-04 Nec Corp スイッチ回路
US4701732A (en) 1986-12-16 1987-10-20 Hughes Aircraft Company Fast tuning RF network inductor
JPS63155680A (ja) * 1986-12-18 1988-06-28 Nec Corp 半導体装置
JPS63164352A (ja) * 1986-12-26 1988-07-07 Nec Corp 半導体集積回路
US4924238A (en) 1987-02-06 1990-05-08 George Ploussios Electronically tunable antenna
JPS63164352U (ja) 1987-04-14 1988-10-26
US6163238A (en) 1987-05-01 2000-12-19 Raytheon Company Fast variable RF network inductor
US4746960A (en) 1987-07-27 1988-05-24 General Motors Corporation Vertical depletion-mode j-MOSFET
US5081706A (en) * 1987-07-30 1992-01-14 Texas Instruments Incorporated Broadband merged switch
GB2214017A (en) 1987-12-22 1989-08-23 Philips Electronic Associated Ring oscillator
US4849651A (en) 1988-02-24 1989-07-18 Hughes Aircraft Company Two-state, bilateral, single-pole, double-throw, half-bridge power-switching apparatus and power supply means for such electronic power switching apparatus
JPH0743804B2 (ja) 1988-03-23 1995-05-15 日本ビクター株式会社 磁気記録装置及び磁気記録再生装置
JPH024011A (ja) * 1988-06-21 1990-01-09 Nec Corp アナログスイッチ回路
JPH0666443B2 (ja) 1988-07-07 1994-08-24 株式会社東芝 半導体メモリセルおよび半導体メモリ
US4906587A (en) * 1988-07-29 1990-03-06 Texas Instruments Incorporated Making a silicon-on-insulator transistor with selectable body node to source node connection
US4929855A (en) * 1988-12-09 1990-05-29 Grumman Corporation High frequency switching device
US4939485A (en) 1988-12-09 1990-07-03 Varian Associates, Inc. Microwave field effect switch
US5313083A (en) * 1988-12-16 1994-05-17 Raytheon Company R.F. switching circuits
US5001528A (en) * 1989-01-31 1991-03-19 The United States Of America As Represented By The Secretary Of The Air Force Radiation hardened CMOS on SOI or SOS devices
US5105164A (en) 1989-02-28 1992-04-14 At&T Bell Laboratories High efficiency uhf linear power amplifier
US4893070A (en) * 1989-02-28 1990-01-09 The United States Of America As Represented By The Secretary Of The Air Force Domino effect shunt voltage regulator
US4890077A (en) 1989-03-28 1989-12-26 Teledyne Mec FET monolithic microwave integrated circuit variable attenuator
US5012123A (en) * 1989-03-29 1991-04-30 Hittite Microwave, Inc. High-power rf switching system
US4984040A (en) * 1989-06-15 1991-01-08 Xerox Corporation High voltage thin film transistor with second gate
US5095348A (en) * 1989-10-02 1992-03-10 Texas Instruments Incorporated Semiconductor on insulator transistor
US5283457A (en) * 1989-10-02 1994-02-01 Texas Instruments Incorporated Semiconductor on insulator transistor
US5032799A (en) 1989-10-04 1991-07-16 Westinghouse Electric Corp. Multistage cascode radio frequency amplifier
US5350957A (en) 1989-10-20 1994-09-27 Texas Instrument Incorporated Electronic switch controlled by plural inputs
US5023494A (en) 1989-10-20 1991-06-11 Raytheon Company High isolation passive switch
US5061911A (en) 1990-04-03 1991-10-29 Motorola, Inc. Single fault/tolerant MMIC switches
JPH07109423B2 (ja) 1990-05-01 1995-11-22 富士ゼロックス株式会社 画像読取装置
JPH0434980A (ja) 1990-05-30 1992-02-05 Mitsubishi Electric Corp 半導体装置
JPH0434980U (ja) 1990-07-21 1992-03-24
US5345422A (en) 1990-07-31 1994-09-06 Texas Instruments Incorporated Power up detection circuit
JPH0732335B2 (ja) 1990-11-16 1995-04-10 日本電信電話株式会社 高周波増幅器
US5041797A (en) 1990-11-19 1991-08-20 Harris Corporation Micro-power gain lattice
US5124762A (en) 1990-12-31 1992-06-23 Honeywell Inc. Gaas heterostructure metal-insulator-semiconductor integrated circuit technology
US5061907A (en) 1991-01-17 1991-10-29 National Semiconductor Corporation High frequency CMOS VCO with gain constant and duty cycle compensation
US6064872A (en) 1991-03-12 2000-05-16 Watkins-Johnson Company Totem pole mixer having grounded serially connected stacked FET pair
KR940006998B1 (ko) 1991-05-28 1994-08-03 삼성전자 주식회사 높은 출력 이득을 얻는 데이타 출력 드라이버
US5274343A (en) 1991-08-06 1993-12-28 Raytheon Company Plural switch circuits having RF propagation networks and RF terminations
CA2077500C (en) 1991-09-04 1996-09-17 Yukio Yokoyama Radio transceiver
USH1435H (en) 1991-10-21 1995-05-02 Cherne Richard D SOI CMOS device having body extension for providing sidewall channel stop and bodytie
JPH0770245B2 (ja) 1991-11-06 1995-07-31 株式会社大阪サイレン製作所 回転警告灯
US5285367A (en) * 1992-02-07 1994-02-08 Power Integrations, Inc. Linear load circuit to control switching power supplies under minimum load conditions
US5208557A (en) 1992-02-18 1993-05-04 Texas Instruments Incorporated Multiple frequency ring oscillator
US5182529A (en) 1992-03-06 1993-01-26 Micron Technology, Inc. Zero crossing-current ring oscillator for substrate charge pump
US5272457A (en) 1992-03-10 1993-12-21 Harris Corporation High isolation integrated switch circuit
JPH07106937B2 (ja) 1992-03-16 1995-11-15 日本碍子株式会社 β−アルミナ固体電解質
US5477184A (en) 1992-04-15 1995-12-19 Sanyo Electric Co., Ltd. Fet switching circuit for switching between a high power transmitting signal and a lower power receiving signal
US5306954A (en) * 1992-06-04 1994-04-26 Sipex Corporation Charge pump with symmetrical +V and -V outputs
US5807772A (en) 1992-06-09 1998-09-15 Semiconductor Energy Laboratory Co., Ltd. Method for forming semiconductor device with bottom gate connected to source or drain
US5317181A (en) 1992-09-10 1994-05-31 United Technologies Corporation Alternative body contact for fully-depleted silicon-on-insulator transistors
US5530722A (en) * 1992-10-27 1996-06-25 Ericsson Ge Mobile Communications Inc. Quadrature modulator with integrated distributed RC filters
US5332997A (en) * 1992-11-04 1994-07-26 Rca Thomson Licensing Corporation Switched capacitor D/A converter
JPH06152334A (ja) 1992-11-06 1994-05-31 Mitsubishi Electric Corp リングオシレータおよび定電圧発生回路
JP3321899B2 (ja) * 1992-12-04 2002-09-09 株式会社デンソー 半導体装置
JPH0799251A (ja) 1992-12-10 1995-04-11 Sony Corp 半導体メモリセル
JPH07118666B2 (ja) 1993-04-28 1995-12-18 日本電気株式会社 携帯無線装置
GB9308944D0 (en) 1993-04-30 1993-06-16 Inmos Ltd Ring oscillator
JP3243892B2 (ja) 1993-05-21 2002-01-07 ソニー株式会社 信号切り替え用スイッチ
KR0132641B1 (ko) 1993-05-25 1998-04-16 세끼모또 타다히로 기판 바이어스 회로
US5864162A (en) 1993-07-12 1999-01-26 Peregrine Seimconductor Corporation Apparatus and method of making a self-aligned integrated resistor load on ultrathin silicon on sapphire
US5863823A (en) 1993-07-12 1999-01-26 Peregrine Semiconductor Corporation Self-aligned edge control in silicon on insulator
US5416043A (en) 1993-07-12 1995-05-16 Peregrine Semiconductor Corporation Minimum charge FET fabricated on an ultrathin silicon on sapphire wafer
US5973382A (en) 1993-07-12 1999-10-26 Peregrine Semiconductor Corporation Capacitor on ultrathin semiconductor on insulator
US5373294A (en) 1993-07-12 1994-12-13 Nec Electronics, Inc. Current switch for a high speed DAC
US5973363A (en) 1993-07-12 1999-10-26 Peregrine Semiconductor Corp. CMOS circuitry with shortened P-channel length on ultrathin silicon on insulator
US5572040A (en) 1993-07-12 1996-11-05 Peregrine Semiconductor Corporation High-frequency wireless communication system on a single ultrathin silicon on sapphire chip
US5930638A (en) 1993-07-12 1999-07-27 Peregrine Semiconductor Corp. Method of making a low parasitic resistor on ultrathin silicon on insulator
JPH0770245A (ja) 1993-08-30 1995-03-14 Nippon Synthetic Chem Ind Co Ltd:The 高吸水性樹脂の製造法
JP3362931B2 (ja) 1993-09-30 2003-01-07 ソニー株式会社 アツテネータ回路
KR0169157B1 (ko) * 1993-11-29 1999-02-01 기다오까 다까시 반도체 회로 및 mos-dram
US5493249A (en) 1993-12-06 1996-02-20 Micron Technology, Inc. System powered with inter-coupled charge pumps
US5375257A (en) 1993-12-06 1994-12-20 Raytheon Company Microwave switch
JPH07211916A (ja) * 1994-01-19 1995-08-11 Sony Corp トランジスタ素子及びその作製方法
JP3085073B2 (ja) * 1994-01-24 2000-09-04 富士通株式会社 スタティックram
US5452473A (en) 1994-02-28 1995-09-19 Qualcomm Incorporated Reverse link, transmit power correction and limitation in a radiotelephone system
US5553295A (en) 1994-03-23 1996-09-03 Intel Corporation Method and apparatus for regulating the output voltage of negative charge pumps
CN1136529C (zh) 1994-05-31 2004-01-28 夏普株式会社 信号放大器和图像显示装置
US5442327A (en) 1994-06-21 1995-08-15 Motorola, Inc. MMIC tunable biphase modulator
EP0690510B1 (en) * 1994-06-28 1998-05-06 Nippon Telegraph And Telephone Corporation Low voltage SOI (silicon on insulator) logic circuit
US5405795A (en) 1994-06-29 1995-04-11 International Business Machines Corporation Method of forming a SOI transistor having a self-aligned body contact
JP3169775B2 (ja) 1994-08-29 2001-05-28 株式会社日立製作所 半導体回路、スイッチ及びそれを用いた通信機
JP2801563B2 (ja) 1994-08-30 1998-09-21 松下電器産業株式会社 通信用無線機の送受信回路、半導体集積回路装置および通信用無線機
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
EP0700169B1 (en) 1994-08-30 2003-03-12 Matsushita Electric Industrial Co., Ltd. Transmit-receive switch circuit for radiocommunication apparatus
US5625361A (en) * 1994-11-14 1997-04-29 Motorola, Inc. Programmable capacitor array and method of programming
US5903178A (en) * 1994-12-16 1999-05-11 Matsushita Electronics Corporation Semiconductor integrated circuit
US5495436A (en) 1995-01-13 1996-02-27 Vlsi Technology, Inc. Anti-fuse ROM programming circuit
JPH08204528A (ja) * 1995-01-23 1996-08-09 Sony Corp スイツチ回路及び複合スイツチ回路
JPH08204530A (ja) 1995-01-23 1996-08-09 Sony Corp スイツチ回路
JP3175521B2 (ja) 1995-01-27 2001-06-11 日本電気株式会社 シリコン・オン・インシュレータ半導体装置及びバイアス電圧発生回路
US5670907A (en) 1995-03-14 1997-09-23 Lattice Semiconductor Corporation VBB reference for pumped substrates
JP3085130B2 (ja) * 1995-03-22 2000-09-04 日本電気株式会社 ドライバ回路
EP0739097B1 (en) 1995-04-21 2004-04-07 Nippon Telegraph And Telephone Corporation MOSFET circuit and CMOS logic circuit using the same
JP3441236B2 (ja) * 1995-04-24 2003-08-25 ソニー株式会社 半導体集積回路装置
EP1355420A2 (en) 1995-05-16 2003-10-22 Matsushita Electric Industrial Co., Ltd. Two-frequency band-pass filter, two-frequency branching filter and combiner
US5591650A (en) 1995-06-08 1997-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Method of making a body contacted SOI MOSFET
JP2770846B2 (ja) 1995-06-16 1998-07-02 日本電気株式会社 Fetスイッチ回路
US5576647A (en) 1995-06-22 1996-11-19 Marvell Technology Group, Ltd. Charge pump for phase lock loop
US5694308A (en) 1995-07-03 1997-12-02 Motorola, Inc. Method and apparatus for regulated low voltage charge pump
JPH0927732A (ja) * 1995-07-12 1997-01-28 Nippondenso Co Ltd プログラマブルキャパシタアレイ
JPH0927736A (ja) 1995-07-13 1997-01-28 Japan Radio Co Ltd Fetスイッチ
JP3332194B2 (ja) 1995-08-10 2002-10-07 ソニー株式会社 スイツチ半導体集積回路及び通信端末装置
US5812066A (en) 1995-08-16 1998-09-22 Terk Technologies Corporation Antenna tuning control circuit
JP3568644B2 (ja) 1995-09-01 2004-09-22 シャープ株式会社 液晶表示装置およびその駆動方法
JP3249393B2 (ja) * 1995-09-28 2002-01-21 株式会社東芝 スイッチ回路
JP3222366B2 (ja) * 1995-10-05 2001-10-29 旭化成マイクロシステム株式会社 電圧制御発振器
US5793246A (en) 1995-11-08 1998-08-11 Altera Corporation High voltage pump scheme incorporating an overlapping clock
JP3561060B2 (ja) 1995-12-08 2004-09-02 三菱電機株式会社 負電圧発生回路
US5892400A (en) 1995-12-15 1999-04-06 Anadigics, Inc. Amplifier using a single polarity power supply and including depletion mode FET and negative voltage generator
FR2742942B1 (fr) 1995-12-26 1998-01-16 Sgs Thomson Microelectronics Generateur de creneaux de haute tension
JP3031227B2 (ja) 1995-12-27 2000-04-10 日本電気株式会社 半導体スイッチ
US5681761A (en) 1995-12-28 1997-10-28 Philips Electronics North America Corporation Microwave power SOI-MOSFET with high conductivity metal gate
JPH09200021A (ja) 1996-01-22 1997-07-31 Mitsubishi Electric Corp 集積回路
US5917362A (en) 1996-01-29 1999-06-29 Sony Corporation Switching circuit
US5777530A (en) 1996-01-31 1998-07-07 Matsushita Electric Industrial Co., Ltd. Switch attenuator
JP3759648B2 (ja) * 1996-03-04 2006-03-29 株式会社ルネサステクノロジ 半導体記憶装置
US5734291A (en) 1996-03-11 1998-03-31 Telcom Semiconductor, Inc. Power saving technique for battery powered devices
JP3347571B2 (ja) 1996-03-12 2002-11-20 富士通株式会社 レーダ装置
JP3484462B2 (ja) * 1996-04-11 2004-01-06 株式会社ルネサステクノロジ フローティングsoi−mosfetの寿命を予測する方法
JPH09283372A (ja) * 1996-04-18 1997-10-31 Kokusai Electric Co Ltd 可変キャパシタ
JP3732884B2 (ja) 1996-04-22 2006-01-11 株式会社ルネサステクノロジ 内部電源電圧発生回路、内部電圧発生回路および半導体装置
US5689144A (en) 1996-05-15 1997-11-18 Siliconix Incorporated Four-terminal power MOSFET switch having reduced threshold voltage and on-resistance
US5821575A (en) 1996-05-20 1998-10-13 Digital Equipment Corporation Compact self-aligned body contact silicon-on-insulator transistor
JPH09326642A (ja) 1996-06-06 1997-12-16 Mitsubishi Electric Corp 集積回路装置
JP3082671B2 (ja) * 1996-06-26 2000-08-28 日本電気株式会社 トランジスタ素子及びその製造方法
US5767549A (en) 1996-07-03 1998-06-16 International Business Machines Corporation SOI CMOS structure
US5818289A (en) 1996-07-18 1998-10-06 Micron Technology, Inc. Clocking scheme and charge transfer switch for increasing the efficiency of a charge pump or other circuit
US5874849A (en) 1996-07-19 1999-02-23 Texas Instruments Incorporated Low voltage, high current pump for flash memory
GB2331879B (en) 1996-08-05 2001-03-28 Mitsubishi Electric Corp Radio-frequency integrated circuit for a radio-frequency wireless transmitter-receiver with reduced influence by radio-frequency power leakage
JPH1079467A (ja) 1996-09-04 1998-03-24 Mitsubishi Electric Corp 半導体装置
JP3689197B2 (ja) 1996-09-06 2005-08-31 三菱電機株式会社 レベルシフト回路
US5874836A (en) * 1996-09-06 1999-02-23 International Business Machines Corporation High reliability I/O stacked fets
JPH1093471A (ja) 1996-09-11 1998-04-10 Murata Mfg Co Ltd 信号切換えスイッチ
US5774411A (en) 1996-09-12 1998-06-30 International Business Machines Corporation Methods to enhance SOI SRAM cell stability
JPH10150204A (ja) * 1996-09-19 1998-06-02 Toshiba Corp 半導体装置およびその製造方法
US5818099A (en) 1996-10-03 1998-10-06 International Business Machines Corporation MOS high frequency switch circuit using a variable well bias
JP3195256B2 (ja) * 1996-10-24 2001-08-06 株式会社東芝 半導体集積回路
US5920233A (en) 1996-11-18 1999-07-06 Peregrine Semiconductor Corp. Phase locked loop including a sampling circuit for reducing spurious side bands
US6188590B1 (en) 1996-12-18 2001-02-13 Macronix International Co., Ltd. Regulator system for charge pump circuits
US5753955A (en) * 1996-12-19 1998-05-19 Honeywell Inc. MOS device having a gate to body connection with a body injection current limiting feature for use on silicon on insulator substrates
JP3545583B2 (ja) 1996-12-26 2004-07-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JPH10201222A (ja) 1996-12-27 1998-07-31 Fujitsu Ltd 昇圧回路及びこれを用いた半導体装置
JP3357807B2 (ja) * 1997-01-13 2002-12-16 株式会社東芝 受信装置および移相器
US5821800A (en) 1997-02-11 1998-10-13 Advanced Micro Devices, Inc. High-voltage CMOS level shifter
JPH10242829A (ja) 1997-02-24 1998-09-11 Sanyo Electric Co Ltd スイッチ回路装置
US5912560A (en) 1997-02-25 1999-06-15 Waferscale Integration Inc. Charge pump circuit for voltage boosting in integrated semiconductor circuits
JP3378457B2 (ja) 1997-02-26 2003-02-17 株式会社東芝 半導体装置
JP2964975B2 (ja) 1997-02-26 1999-10-18 日本電気株式会社 高周波スイッチ回路
JP3441330B2 (ja) 1997-02-28 2003-09-02 株式会社東芝 半導体装置及びその製造方法
US5818766A (en) 1997-03-05 1998-10-06 Integrated Silicon Solution Inc. Drain voltage pump circuit for nonvolatile memory device
US5883541A (en) * 1997-03-05 1999-03-16 Nec Corporation High frequency switching circuit
JP3715066B2 (ja) * 1997-03-25 2005-11-09 三菱電機株式会社 電流モードロジック回路
US6160292A (en) 1997-04-23 2000-12-12 International Business Machines Corporation Circuit and methods to improve the operation of SOI devices
JP3258930B2 (ja) * 1997-04-24 2002-02-18 東芝マイクロエレクトロニクス株式会社 トランスミッション・ゲート
US5872489A (en) 1997-04-28 1999-02-16 Rockwell Science Center, Llc Integrated tunable inductance network and method
US5880921A (en) * 1997-04-28 1999-03-09 Rockwell Science Center, Llc Monolithically integrated switched capacitor bank using micro electro mechanical system (MEMS) technology
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6155909A (en) 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
JPH10335901A (ja) 1997-06-04 1998-12-18 Nippon Telegr & Teleph Corp <Ntt> 半導体スイッチ
US5784311A (en) 1997-06-13 1998-07-21 International Business Machines Corporation Two-device memory cell on SOI for merged logic and memory applications
US6218892B1 (en) * 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
JPH1126776A (ja) 1997-07-02 1999-01-29 Mitsubishi Electric Corp デュアルゲートfet及びデュアルゲートfetを使用した高周波回路
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6122185A (en) 1997-07-22 2000-09-19 Seiko Instruments R&D Center Inc. Electronic apparatus
US6081165A (en) 1997-07-25 2000-06-27 Texas Instruments Incorporated Ring oscillator
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
JP3144477B2 (ja) 1997-09-01 2001-03-12 日本電気株式会社 スイッチ回路及び半導体装置
US6130570A (en) 1997-09-18 2000-10-10 Samsung Electronics Co., Ltd. MESFET circuit utilizing only positive power supplies
JPH1196761A (ja) 1997-09-25 1999-04-09 Oki Micro Design Miyazaki Co Ltd 半導体集積回路装置
JP3811557B2 (ja) 1997-10-21 2006-08-23 松下電器産業株式会社 複数周波数帯域高効率線形電力増幅器
JPH11136111A (ja) 1997-10-30 1999-05-21 Sony Corp 高周波回路
JPH11163704A (ja) 1997-11-25 1999-06-18 Sharp Corp 高周波スイッチ回路
JP3657412B2 (ja) 1997-12-01 2005-06-08 日本電信電話株式会社 高周波回路
JP3542476B2 (ja) * 1997-12-01 2004-07-14 三菱電機株式会社 Soi構造のcmos回路
DE19800647C1 (de) 1998-01-09 1999-05-27 Siemens Ag SOI-Hochspannungsschalter
JP3711193B2 (ja) 1998-01-16 2005-10-26 三菱電機株式会社 送受信切り換え回路
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6215360B1 (en) * 1998-02-23 2001-04-10 Motorola, Inc. Semiconductor chip for RF transceiver and power output circuit therefor
US6365488B1 (en) * 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
US5990580A (en) 1998-03-05 1999-11-23 The Whitaker Corporation Single pole double throw switch
US6075353A (en) 1998-03-12 2000-06-13 Snap-Tite Technologies, Inc. Power circuit for low power solenoid operation using an AC or DC supply
JPH11274804A (ja) 1998-03-19 1999-10-08 Sharp Corp 高周波スイッチ
US6058294A (en) 1998-03-24 2000-05-02 Microchip Technology Incorporated Adjustable frequency stabilizing internal chip capacitor system
US6239657B1 (en) 1998-03-27 2001-05-29 Rohde & Schwarz Gmbh & Co. Kg Method and device for measuring the distortion of a high-frequency power amplifier and method and means for automatically equalizing a high-frequency power amplifier
KR100259097B1 (ko) * 1998-04-02 2000-06-15 김영환 반도체 소자 및 그의 제조 방법
JP3534624B2 (ja) 1998-05-01 2004-06-07 沖電気工業株式会社 半導体装置の製造方法
DE59904377D1 (de) * 1998-06-04 2003-04-03 Infineon Technologies Ag Logikgatter
US6249027B1 (en) 1998-06-08 2001-06-19 Sun Microsystems, Inc. Partially depleted SOI device having a dedicated single body bias means
JPH11355123A (ja) 1998-06-11 1999-12-24 Mitsubishi Electric Corp 動的しきい値mosトランジスタを用いたバッファ
KR100268887B1 (ko) 1998-06-17 2000-10-16 김영환 차아지 펌프 회로
US5986518A (en) 1998-06-30 1999-11-16 Motorola, Inc. Distributed MMIC active quadrature hybrid and method for providing in-phase and quadrature-phase signals
JP2000022160A (ja) 1998-07-06 2000-01-21 Hitachi Ltd 半導体集積回路及びその製造方法
US6218890B1 (en) * 1998-07-14 2001-04-17 Sanyo Electric Co., Ltd. Switching circuit device and semiconductor device
US6013958A (en) 1998-07-23 2000-01-11 Lucent Technologies Inc. Integrated circuit with variable capacitor
JP4360702B2 (ja) 1998-08-07 2009-11-11 株式会社ルネサステクノロジ 半導体装置
US6387739B1 (en) * 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
JP3280623B2 (ja) 1998-08-11 2002-05-13 沖電気工業株式会社 チャージポンプ回路の駆動制御回路
DE69925078T2 (de) 1998-08-29 2006-03-09 International Business Machines Corp. SOI-Transistor mit einem Substrat-Kontakt und Verfahren zu dessen Herstellung
US5959335A (en) 1998-09-23 1999-09-28 International Business Machines Corporation Device design for enhanced avalanche SOI CMOS
US6061267A (en) * 1998-09-28 2000-05-09 Texas Instruments Incorporated Memory circuits, systems, and methods with cells using back bias to control the threshold voltage of one or more corresponding cell transistors
US6356536B1 (en) * 1998-09-30 2002-03-12 Ericsson Inc. Protective and decoupling shunt switch at LNA input for TDMA/TDD transceivers
US6100564A (en) 1998-09-30 2000-08-08 International Business Machines Corporation SOI pass-gate disturb solution
US6191653B1 (en) 1998-11-18 2001-02-20 Ericsson Inc. Circuit and method for linearizing amplitude modulation in a power amplifier
US6281737B1 (en) 1998-11-20 2001-08-28 International Business Machines Corporation Method and apparatus for reducing parasitic bipolar current in a silicon-on-insulator transistor
JP3408762B2 (ja) 1998-12-03 2003-05-19 シャープ株式会社 Soi構造の半導体装置及びその製造方法
JP2000183353A (ja) 1998-12-14 2000-06-30 Mitsubishi Electric Corp 半導体集積回路
JP2000188501A (ja) 1998-12-22 2000-07-04 Mitsubishi Electric Corp 半導体スイッチ
JP4540146B2 (ja) 1998-12-24 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2000208614A (ja) 1999-01-14 2000-07-28 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6107885A (en) 1999-01-25 2000-08-22 General Instrument Corporation Wideband linear GaAsFET ternate cascode amplifier
JP2000286346A (ja) 1999-01-27 2000-10-13 Seiko Epson Corp 半導体装置およびその製造方法
US6188247B1 (en) * 1999-01-29 2001-02-13 International Business Machines Corporation Method and apparatus for elimination of parasitic bipolar action in logic circuits for history removal under stack contention including complementary oxide semiconductor (CMOS) silicon on insulator (SOI) elements
JP2000223713A (ja) 1999-02-02 2000-08-11 Oki Electric Ind Co Ltd 半導体素子及びその製造方法
US6300796B1 (en) 1999-02-19 2001-10-09 Zilog, Inc. High voltage PMOS level shifter
JP2000294786A (ja) 1999-04-05 2000-10-20 Nippon Telegr & Teleph Corp <Ntt> 高周波スイッチ
US6667506B1 (en) 1999-04-06 2003-12-23 Peregrine Semiconductor Corporation Variable capacitor with programmability
AUPP964499A0 (en) * 1999-04-08 1999-04-29 Bhp Steel (Jla) Pty Limited Casting strip
US6239649B1 (en) * 1999-04-20 2001-05-29 International Business Machines Corporation Switched body SOI (silicon on insulator) circuits and fabrication method therefor
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
JP2000311986A (ja) 1999-04-27 2000-11-07 Mitsubishi Electric Corp ディジタル・高周波アナログ混載icチップ、icパッケージ並びにディジタル・高周波アナログ混載ic
US6172378B1 (en) * 1999-05-03 2001-01-09 Silicon Wave, Inc. Integrated circuit varactor having a wide capacitance range
US6111778A (en) 1999-05-10 2000-08-29 International Business Machines Corporation Body contacted dynamic memory
US6118343A (en) 1999-05-10 2000-09-12 Tyco Electronics Logistics Ag Power Amplifier incorporating single drain switch and single negative voltage generator
US6871059B1 (en) 1999-06-16 2005-03-22 Skyworks Solutions, Inc. Passive balun FET mixer
JP4138158B2 (ja) 1999-06-21 2008-08-20 セイコーエプソン株式会社 Soi構造のmos電界効果トランジスタ及びその製造方法
US6320225B1 (en) 1999-07-13 2001-11-20 International Business Machines Corporation SOI CMOS body contact through gate, self-aligned to source- drain diffusions
US6169444B1 (en) 1999-07-15 2001-01-02 Maxim Integrated Products, Inc. Pulse frequency operation of regulated charge pumps
JP3589102B2 (ja) 1999-07-27 2004-11-17 セイコーエプソン株式会社 Soi構造のmos電界効果トランジスタ及びその製造方法
JP2003506883A (ja) 1999-08-10 2003-02-18 シリコン ジェネシス コーポレイション 低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス
JP2001057487A (ja) 1999-08-18 2001-02-27 Mitsubishi Electric Corp 電子装置及びそのユニット
US6741449B1 (en) * 1999-08-18 2004-05-25 Bridgewave Communications, Inc. Direct digitally tunable microwave oscillators and filters
US6396352B1 (en) 1999-08-27 2002-05-28 Texas Instruments Incorporated CMOS power amplifier for driving low impedance loads
JP3926975B2 (ja) 1999-09-22 2007-06-06 株式会社東芝 スタック型mosトランジスタ保護回路
JP2001089448A (ja) 1999-09-24 2001-04-03 Yamanouchi Pharmaceut Co Ltd アミド誘導体
US6265925B1 (en) 1999-09-30 2001-07-24 Intel Corporation Multi-stage techniques for accurate shutoff of circuit
US6288458B1 (en) 1999-09-30 2001-09-11 Honeywell International Inc. Power stealing solid state switch
JP3587443B2 (ja) 1999-10-19 2004-11-10 日本電信電話株式会社 選択回路およびそれを用いた論理回路
US7548726B1 (en) 1999-10-21 2009-06-16 Broadcom Corporation Adaptive radio transceiver with a bandpass filter
US6968167B1 (en) * 1999-10-21 2005-11-22 Broadcom Corporation Adaptive radio transceiver with calibration
KR100343288B1 (ko) 1999-10-25 2002-07-15 윤종용 에스오아이 모스 트랜지스터의 플로팅 바디 효과를제거하기 위한 에스오아이 반도체 집적회로 및 그 제조방법
US6521959B2 (en) * 1999-10-25 2003-02-18 Samsung Electronics Co., Ltd. SOI semiconductor integrated circuit for eliminating floating body effects in SOI MOSFETs and method of fabricating the same
FR2800532B1 (fr) * 1999-10-28 2002-01-04 Pixtech Sa Commutateur tres haute tension
JP3770008B2 (ja) * 1999-11-05 2006-04-26 株式会社日立製作所 半導体電力変換装置
KR100350575B1 (ko) 1999-11-05 2002-08-28 주식회사 하이닉스반도체 소오스-바디-기판이 접촉된 이중막 실리콘 소자 및 제조방법
US6429723B1 (en) 1999-11-18 2002-08-06 Texas Instruments Incorporated Integrated circuit with charge pump and method
JP2001157487A (ja) 1999-11-26 2001-06-08 Nissan Motor Co Ltd 回転電機の制御装置
JP3520973B2 (ja) 1999-11-30 2004-04-19 Necエレクトロニクス株式会社 半導体装置
US6396325B2 (en) * 1999-12-03 2002-05-28 Fairchild Semiconductor Corporation High frequency MOSFET switch
JP3608456B2 (ja) 1999-12-08 2005-01-12 セイコーエプソン株式会社 Soi構造のmis電界効果トランジスタの製造方法
US6684065B2 (en) 1999-12-20 2004-01-27 Broadcom Corporation Variable gain amplifier for low voltage applications
US6449465B1 (en) 1999-12-20 2002-09-10 Motorola, Inc. Method and apparatus for linear amplification of a radio frequency signal
JP2001186007A (ja) 1999-12-24 2001-07-06 Sharp Corp 金属酸化膜半導体トランジスタ回路およびそれを用いた半導体集積回路
US6356135B1 (en) * 2000-01-25 2002-03-12 Maxim Integrated Products, Inc. Programmable electronic trim capacitor
US6201761B1 (en) * 2000-01-26 2001-03-13 Advanced Micro Devices, Inc. Field effect transistor with controlled body bias
AU2001237957A1 (en) * 2000-01-31 2001-08-07 Human Genome Sciences, Inc. 17 human secreted proteins
US6222394B1 (en) * 2000-02-03 2001-04-24 International Business Machines Corporation SOI CMOS sense amplifier with enhanced matching characteristics and sense point tolerance
US6504212B1 (en) * 2000-02-03 2003-01-07 International Business Machines Corporation Method and apparatus for enhanced SOI passgate operations
US6429632B1 (en) 2000-02-11 2002-08-06 Micron Technology, Inc. Efficient CMOS DC-DC converters based on switched capacitor power supplies with inductive current limiters
JP3637830B2 (ja) * 2000-02-22 2005-04-13 株式会社村田製作所 Spdtスイッチおよびそれを用いた通信機
AU2001243426A1 (en) 2000-03-03 2001-09-17 Alpha Industries, Inc. Electronic switch
US6433587B1 (en) 2000-03-17 2002-08-13 International Business Machines Corporation SOI CMOS dynamic circuits having threshold voltage control
JP2001274264A (ja) 2000-03-24 2001-10-05 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2001274265A (ja) * 2000-03-28 2001-10-05 Mitsubishi Electric Corp 半導体装置
JP2001284576A (ja) 2000-03-30 2001-10-12 Toshiba Corp 高電子移動度トランジスタ及びその製造方法
WO2001076067A1 (en) * 2000-03-31 2001-10-11 Koninklijke Philips Electronics N.V. Narrow band am front end
JP3504212B2 (ja) * 2000-04-04 2004-03-08 シャープ株式会社 Soi構造の半導体装置
JP3461484B2 (ja) 2000-04-05 2003-10-27 埼玉日本電気株式会社 無線通信装置及びその無線周波数補正方式
JP4763918B2 (ja) * 2000-04-20 2011-08-31 テキサス インスツルメンツ インコーポレイテツド デジタル制御発信器同調入力をタイムディザリングするシステムおよび方法
US6801076B1 (en) 2000-04-28 2004-10-05 Micron Technology, Inc. High output high efficiency low voltage charge pump
US6466082B1 (en) 2000-05-17 2002-10-15 Advanced Micro Devices, Inc. Circuit technique to deal with floating body effects
JP3696125B2 (ja) 2000-05-24 2005-09-14 株式会社東芝 電位検出回路及び半導体集積回路
US6297696B1 (en) 2000-06-15 2001-10-02 International Business Machines Corporation Optimized power amplifier
JP2002033399A (ja) * 2000-07-13 2002-01-31 Toshiba Corp 半導体集積回路及びその製造方法
JP2002033484A (ja) 2000-07-18 2002-01-31 Mitsubishi Electric Corp 半導体装置
US6461902B1 (en) 2000-07-18 2002-10-08 Institute Of Microelectronics RF LDMOS on partial SOI substrate
EP1182778A1 (en) * 2000-07-21 2002-02-27 Semiconductor Ideas to The Market (ItoM) BV Receiver comprising a digitally controlled capacitor bank
KR100381262B1 (ko) 2000-08-10 2003-04-26 엘지전자 주식회사 디지털 미세 미러소자를 이용한 전반사 프리즘계
US6816016B2 (en) 2000-08-10 2004-11-09 Tropian, Inc. High-efficiency modulating RF amplifier
AU2001283169A1 (en) 2000-08-10 2002-02-25 University Of Southern California Multiphase resonant pulse generators
TW501227B (en) 2000-08-11 2002-09-01 Samsung Electronics Co Ltd SOI MOSFET having body contact for preventing floating body effect and method of fabricating the same
US6249446B1 (en) 2000-08-23 2001-06-19 Intersil Americas Inc. Cascadable, high efficiency charge pump circuit and related methods
US6512269B1 (en) * 2000-09-07 2003-01-28 International Business Machines Corporation High-voltage high-speed SOI MOSFET
JP3666805B2 (ja) 2000-09-19 2005-06-29 ローム株式会社 Dc/dcコンバータ
JP2002098712A (ja) 2000-09-21 2002-04-05 Denso Corp 容量式物理量検出装置
US6496074B1 (en) 2000-09-28 2002-12-17 Koninklijke Philips Electronics N.V. Cascode bootstrapped analog power amplifier circuit
JP2002111449A (ja) 2000-09-29 2002-04-12 Mitsubishi Electric Corp 電圧制御発振回路およびそれを備える位相同期ループ回路
US6559689B1 (en) 2000-10-02 2003-05-06 Allegro Microsystems, Inc. Circuit providing a control voltage to a switch and including a capacitor
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
US6947720B2 (en) 2000-10-17 2005-09-20 Rf Micro Devices, Inc. Low noise mixer circuit with improved gain
JP3479506B2 (ja) * 2000-10-18 2003-12-15 有限会社リニアセル・デザイン 加重平均値演算回路
US6906653B2 (en) * 2000-10-18 2005-06-14 Linear Cell Design Co., Ltd. Digital to analog converter with a weighted capacitive circuit
US6509799B1 (en) 2000-11-09 2003-01-21 Intel Corporation Electrically tuned integrated amplifier for wireless communications
US6711397B1 (en) * 2000-11-20 2004-03-23 Ami Semiconductor, Inc. Structures and methods for direct conversion from radio frequency modulated signals to baseband signals
JP2002164441A (ja) 2000-11-27 2002-06-07 Matsushita Electric Ind Co Ltd 高周波スイッチ回路装置
JP4434474B2 (ja) 2000-11-29 2010-03-17 Necエレクトロニクス株式会社 Mosトランジスタの模擬試験方法
JP4138229B2 (ja) 2000-12-07 2008-08-27 新日本無線株式会社 スイッチ半導体集積回路
US6683499B2 (en) * 2000-12-27 2004-01-27 Emhiser Research, Inc. Divided-voltage fet power amplifiers
US6380802B1 (en) 2000-12-29 2002-04-30 Ericsson Inc. Transmitter using input modulation for envelope restoration scheme for linear high-efficiency power amplification
US6677641B2 (en) 2001-10-17 2004-01-13 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
US7345342B2 (en) 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6549064B2 (en) * 2001-02-12 2003-04-15 Matrics, Inc. Efficient charge pump apparatus
JP2002246942A (ja) 2001-02-19 2002-08-30 Sony Corp スイッチ装置および携帯通信端末装置
JP3616343B2 (ja) 2001-03-27 2005-02-02 松下電器産業株式会社 高周波スイッチ回路およびそれを用いた通信端末装置
KR100363554B1 (ko) * 2001-03-30 2002-12-05 삼성전자 주식회사 소이형 반도체 장치 및 그 형성 방법
US6433589B1 (en) 2001-04-12 2002-08-13 International Business Machines Corporation Sense amplifier and method for sensing signals in a silicon-on-insulator integrated circuit
US6978122B2 (en) 2001-05-25 2005-12-20 Kabushiki Kaisha Toshiba High-frequency switching device incorporating an inverter circuit
TWI230392B (en) * 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US6753738B1 (en) 2001-06-25 2004-06-22 Silicon Laboratories, Inc. Impedance tuning circuit
US6819938B2 (en) 2001-06-26 2004-11-16 Qualcomm Incorporated System and method for power control calibration and a wireless communication device
US6646305B2 (en) 2001-07-25 2003-11-11 International Business Machines Corporation Grounded body SOI SRAM cell
JP2003051751A (ja) 2001-08-07 2003-02-21 Hitachi Ltd 電子部品および無線通信機
KR100902296B1 (ko) 2001-08-10 2009-06-10 히타치 긴조쿠 가부시키가이샤 멀티 밴드 안테나 스위치 회로 및 이들을 사용한 적층 모듈 복합 부품과 통신 기기
JP3986780B2 (ja) 2001-08-17 2007-10-03 三菱電機株式会社 相補型プッシュプル増幅器
US6698082B2 (en) * 2001-08-28 2004-03-02 Texas Instruments Incorporated Micro-electromechanical switch fabricated by simultaneous formation of a resistor and bottom electrode
US7071792B2 (en) 2001-08-29 2006-07-04 Tropian, Inc. Method and apparatus for impedance matching in an amplifier using lumped and distributed inductance
US6486511B1 (en) 2001-08-30 2002-11-26 Northrop Grumman Corporation Solid state RF switch with high cutoff frequency
US6414863B1 (en) 2001-08-30 2002-07-02 Texas Instruments Incorporated Frequency control circuit for unregulated inductorless DC/DC converters
JP2003101407A (ja) 2001-09-21 2003-04-04 Sharp Corp 半導体集積回路
US7613442B1 (en) 2001-10-10 2009-11-03 Peregrine Semiconductor Corporation Switch circuit and method of switching radio frequency signals
US6804502B2 (en) 2001-10-10 2004-10-12 Peregrine Semiconductor Corporation Switch circuit and method of switching radio frequency signals
US6714065B2 (en) 2001-10-26 2004-03-30 Renesas Technology Corp. Semiconductor device including power supply circuit conducting charge pumping operation
JP2003143004A (ja) 2001-11-06 2003-05-16 Matsushita Electric Ind Co Ltd レベルシフタ回路
JP2003167615A (ja) 2001-11-30 2003-06-13 Toyota Motor Corp 生産計画立案装置および方法
US6717458B1 (en) 2001-12-03 2004-04-06 National Semiconductor Corporation Method and apparatus for a DC-DC charge pump voltage converter-regulator circuit
JP3813869B2 (ja) 2001-12-20 2006-08-23 松下電器産業株式会社 電界効果トランジスタスイッチ回路
US6608789B2 (en) 2001-12-21 2003-08-19 Motorola, Inc. Hysteresis reduced sense amplifier and method of operation
US6608785B2 (en) 2002-01-07 2003-08-19 International Business Machines Corporation Method and apparatus to ensure functionality and timing robustness in SOI circuits
JP3865689B2 (ja) * 2002-01-15 2007-01-10 松下電器産業株式会社 レベルシフト回路
US6677645B2 (en) 2002-01-31 2004-01-13 International Business Machines Corporation Body contact MOSFET
US6934520B2 (en) 2002-02-21 2005-08-23 Semiconductor Components Industries, L.L.C. CMOS current mode RF detector and method
US6889036B2 (en) 2002-03-07 2005-05-03 Freescale Semiconductor, Inc. Integrated frequency selectable resonant coupling network and method thereof
US7190738B2 (en) * 2002-03-07 2007-03-13 Stmicroelectronics, Inc. Data assisted serial link decoder using oversampling
JP2003318405A (ja) 2002-04-25 2003-11-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6747522B2 (en) * 2002-05-03 2004-06-08 Silicon Laboratories, Inc. Digitally controlled crystal oscillator with integrated coarse and fine control
JP2003332583A (ja) 2002-05-15 2003-11-21 Sony Corp 半導体装置およびその製造方法
JP4009553B2 (ja) * 2002-05-17 2007-11-14 日本電気株式会社 高周波スイッチ回路
US6960810B2 (en) 2002-05-30 2005-11-01 Honeywell International Inc. Self-aligned body tie for a partially depleted SOI device structure
JP4262933B2 (ja) 2002-05-30 2009-05-13 Necエレクトロニクス株式会社 高周波回路素子
GB2389255B (en) 2002-05-31 2005-08-31 Hitachi Ltd Apparatus for radio telecommunication system and method of building up output power
JP4050096B2 (ja) 2002-05-31 2008-02-20 松下電器産業株式会社 高周波スイッチ回路および移動体通信端末装置
US6933744B2 (en) 2002-06-11 2005-08-23 The Regents Of The University Of Michigan Low-leakage integrated circuits and dynamic logic circuits
JP4137528B2 (ja) 2002-06-13 2008-08-20 セイコーインスツル株式会社 電源変換回路
US6642578B1 (en) 2002-07-22 2003-11-04 Anadigics, Inc. Linearity radio frequency switch with low control voltage
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US7212788B2 (en) 2002-08-13 2007-05-01 Atheros Communications, Inc. Method and apparatus for signal power loss reduction in RF communication systems
US6677803B1 (en) * 2002-08-21 2004-01-13 Oki Electric Industry Co., Ltd. Semiconductor integrated circuit device
US7608927B2 (en) 2002-08-29 2009-10-27 Micron Technology, Inc. Localized biasing for silicon on insulator structures
US7092677B1 (en) 2002-09-05 2006-08-15 Analog Devices, Inc. 2V SPDT switch for high power RF wireless applications
US6803680B2 (en) 2002-09-13 2004-10-12 Mia-Com, Inc. Apparatus, methods, and articles of manufacture for a switch having sharpened control voltage
US6730953B2 (en) * 2002-09-13 2004-05-04 Mia-Com, Inc. Apparatus, methods and articles of manufacture for a low control voltage switch
US6788130B2 (en) 2002-09-25 2004-09-07 Texas Instruments Incorporated Efficient charge pump capable of high voltage operation
JP2004147045A (ja) 2002-10-24 2004-05-20 Matsushita Electric Ind Co Ltd 高周波スイッチ
JP3445608B2 (ja) 2002-10-25 2003-09-08 株式会社東芝 映像情報を含むデジタル情報の管理システム
JP4052923B2 (ja) 2002-10-25 2008-02-27 株式会社ルネサステクノロジ 半導体装置
US7190933B2 (en) 2002-11-01 2007-03-13 Intergration Associates Inc. Method and apparatus for automatic tuning of a resonant loop antenna in a transceiver circuit
JP2004166470A (ja) 2002-11-13 2004-06-10 Hitachi Lighting Ltd インバータ装置
US6992543B2 (en) 2002-11-22 2006-01-31 Raytheon Company Mems-tuned high power, high efficiency, wide bandwidth power amplifier
JP4154578B2 (ja) 2002-12-06 2008-09-24 日本電気株式会社 半導体装置及びその製造方法
US7515882B2 (en) 2002-12-17 2009-04-07 Kelcourse Mark F Apparatus, methods and articles of manufacture for a multi-band switch
JP2004199950A (ja) 2002-12-17 2004-07-15 Shin Kobe Electric Mach Co Ltd 鉛蓄電池用正極板の製造方法
US20040204013A1 (en) 2002-12-23 2004-10-14 Qing Ma Communication unit and switch unit
JP2004205301A (ja) 2002-12-25 2004-07-22 Nec Corp 評価装置及びそれに用いる回路設計方法
US7132873B2 (en) 2003-01-08 2006-11-07 Emosyn America, Inc. Method and apparatus for avoiding gated diode breakdown in transistor circuits
US6774701B1 (en) 2003-02-19 2004-08-10 Raytheon Company Method and apparatus for electronic switching with low insertion loss and high isolation
US6975271B2 (en) 2003-02-26 2005-12-13 Matsushita Electric Industrial Co., Ltd. Antenna switch module, all-in-one communication module, communication apparatus and method for manufacturing antenna switch module
JP2004288978A (ja) 2003-03-24 2004-10-14 Seiko Epson Corp 半導体集積装置
CN1256521C (zh) 2003-03-26 2006-05-17 浙江大学 变频容积调速闭式液压控制系统
US6897701B2 (en) * 2003-05-13 2005-05-24 Texas Instruments Incorporated Method and structure for improving the linearity of MOS switches
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6927722B2 (en) * 2003-05-20 2005-08-09 Freescale Semiconductor, Inc. Series capacitive component for switched-capacitor circuits consisting of series-connected capacitors
JP2005006072A (ja) * 2003-06-12 2005-01-06 Matsushita Electric Ind Co Ltd 高周波スイッチ装置および半導体装置
JP2005006143A (ja) * 2003-06-13 2005-01-06 Matsushita Electric Ind Co Ltd 高周波スイッチ回路および半導体装置
US7023260B2 (en) 2003-06-30 2006-04-04 Matrix Semiconductor, Inc. Charge pump circuit incorporating corresponding parallel charge pump stages and method therefor
US7098755B2 (en) 2003-07-16 2006-08-29 Analog Devices, Inc. High power, high linearity and low insertion loss single pole double throw transmitter/receiver switch
JP4202852B2 (ja) 2003-08-27 2008-12-24 株式会社ルネサステクノロジ 通信用電子部品および送受信切替え用半導体装置
US6870404B1 (en) 2003-08-28 2005-03-22 Altera Corporation Programmable differential capacitors for equalization circuits
DE10340846A1 (de) 2003-09-04 2005-05-04 Infineon Technologies Ag Transistor-Anordnung zum Verringern von Rauschen, integrierter Schaltkreis und Verfahren zum Verringern des Rauschens von Feldeffekttransistoren
US7053718B2 (en) * 2003-09-25 2006-05-30 Silicon Laboratories Inc. Stacked RF power amplifier
JP2005136948A (ja) 2003-10-08 2005-05-26 Renesas Technology Corp アンテナスイッチ回路
JP4000103B2 (ja) 2003-10-09 2007-10-31 三菱電機株式会社 高周波スイッチ装置及び高周波スイッチ構造
US6830963B1 (en) 2003-10-09 2004-12-14 Micron Technology, Inc. Fully depleted silicon-on-insulator CMOS logic
US7045873B2 (en) * 2003-12-08 2006-05-16 International Business Machines Corporation Dynamic threshold voltage MOSFET on SOI
US6953738B2 (en) 2003-12-12 2005-10-11 Freescale Semiconductor, Inc. Method and apparatus for forming an SOI body-contacted transistor
DE10358713A1 (de) 2003-12-15 2005-08-11 Infineon Technologies Ag Transistor-Anordnung zum Verringern von Rauschen, integrierter Schaltkreis und Verfahren zum Verringern des Rauschens von Feldeffekttransistoren
US7109532B1 (en) 2003-12-23 2006-09-19 Lee Zachary K High Ion/Ioff SOI MOSFET using body voltage control
EP1555752A1 (en) * 2004-01-14 2005-07-20 Dialog Semiconductor GmbH High Q linear controlled variable capacitor using translinear amplifier
JP4024762B2 (ja) 2004-01-16 2007-12-19 ユーディナデバイス株式会社 高周波スイッチ
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
US7042044B2 (en) * 2004-02-18 2006-05-09 Koucheng Wu Nor-type channel-program channel-erase contactless flash memory on SOI
US7072217B2 (en) 2004-02-24 2006-07-04 Micron Technology, Inc. Multi-state memory cell with asymmetric charge trapping
JP4559772B2 (ja) 2004-05-31 2010-10-13 パナソニック株式会社 スイッチ回路
ATE527722T1 (de) 2004-07-06 2011-10-15 Ericsson Telefon Ab L M Ausrichtung der funkbasisstations- knotenübertragungszeitsteuerung auf mehreren sendewegen
JP2006025062A (ja) 2004-07-07 2006-01-26 Matsushita Electric Ind Co Ltd 高周波スイッチ回路
DE102004051595B4 (de) 2004-10-22 2006-06-14 Infineon Technologies Ag Verfahren zur Bestimmung eines Offsetwertes einer Verstärkung eines Sendepfades, Sendepfad mit einstellbarer Verstärkung und Verwendung eines Sendepfades
US7391282B2 (en) 2004-11-17 2008-06-24 Matsushita Electric Industrial Co., Ltd. Radio-frequency switch circuit and semiconductor device
DE102004056435A1 (de) 2004-11-23 2006-06-01 Universität Stuttgart Leistungsverstärker zum Verstärken von Hochfrequenz(HF)-Signalen
US7546089B2 (en) 2004-12-23 2009-06-09 Triquint Semiconductor, Inc. Switchable directional coupler for use with RF devices
US20060161520A1 (en) * 2005-01-14 2006-07-20 Microsoft Corporation System and method for generating alternative search terms
US7382213B2 (en) * 2005-01-28 2008-06-03 Northrop Grumman Corporation Monolithically integrated switchable circuits with MEMS
US8081928B2 (en) 2005-02-03 2011-12-20 Peregrine Semiconductor Corporation Canceling harmonics in semiconductor RF switches
US7129545B2 (en) 2005-02-24 2006-10-31 International Business Machines Corporation Charge modulation network for multiple power domains for silicon-on-insulator technology
US7369820B2 (en) 2005-04-01 2008-05-06 Freescale Semiconductor, Inc. System and method for DC offset correction in transmit baseband
GB2425401A (en) * 2005-04-21 2006-10-25 Stuart Philip Speakman Manufacture of microstructures using peelable mask
US7427887B2 (en) 2005-05-13 2008-09-23 Analog Devices, Inc. Open drain driver, and a switch comprising the open drain driver
JP2006332778A (ja) 2005-05-23 2006-12-07 Matsushita Electric Ind Co Ltd 高周波スイッチ回路およびこれを用いた半導体装置
US7362203B2 (en) * 2005-05-24 2008-04-22 Intel Corporation Multi-tap microelectromechanical inductor
KR100603721B1 (ko) 2005-06-11 2006-07-24 삼성전자주식회사 에스오아이의 바디 바이어싱 구조
US7402850B2 (en) 2005-06-21 2008-07-22 Micron Technology, Inc. Back-side trapped non-volatile memory device
KR100750650B1 (ko) * 2005-06-22 2007-08-20 인티그런트 테크놀로지즈(주) 튜닝 회로.
US20080076371A1 (en) 2005-07-11 2008-03-27 Alexander Dribinsky Circuit and method for controlling charge injection in radio frequency switches
KR20070009750A (ko) * 2005-07-14 2007-01-19 (주)에프씨아이 직렬 샘플링 커패시터 및 이를 이용한 아날로그 디지털컨버터
US20070023833A1 (en) * 2005-07-28 2007-02-01 Serguei Okhonin Method for reading a memory cell having an electrically floating body transistor, and memory cell and array implementing same
US20070045697A1 (en) 2005-08-31 2007-03-01 International Business Machines Corporation Body-contacted semiconductor structures and methods of fabricating such body-contacted semiconductor structures
JP4918764B2 (ja) 2005-09-05 2012-04-18 東ソー株式会社 生分解性アミノポリカルボン酸誘導体
WO2007033045A2 (en) 2005-09-12 2007-03-22 Idaho Research Foundation, Inc. Stacked mosfets
US7795850B2 (en) 2005-09-30 2010-09-14 Volterra Semiconductor Corporation Analog current command and settable slopes in voltage regulator
TWI425767B (zh) * 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
JP2007129571A (ja) 2005-11-04 2007-05-24 Matsushita Electric Ind Co Ltd 高周波スイッチ回路及び半導体装置
JP5027472B2 (ja) 2005-11-09 2012-09-19 ルネサスエレクトロニクス株式会社 発振器およびそれを用いた情報機器
KR20080069262A (ko) 2005-11-24 2008-07-25 텔레폰악티에볼라겟엘엠에릭슨(펍) 시동 제어 장치를 구비한 발진기
DE102005060944B4 (de) * 2005-12-20 2012-02-16 Infineon Technologies Ag Abstimmschaltung zu einer Frequenzabstimmung, Verwendung der Abstimmschaltung und Verfahren zur Frequenzabstimmung
US7944385B2 (en) * 2006-01-25 2011-05-17 Nxp B.V. Continuous-time sigma-delta analog-to-digital converter with capacitor and/or resistance digital self-calibration means for RC spread compensation
US7492209B2 (en) 2006-04-17 2009-02-17 Skyworks Solutions, Inc. High-frequency switching device with reduced harmonics
US7554789B2 (en) 2006-06-29 2009-06-30 Mediatek Inc. Capacitor array management
US7808342B2 (en) 2006-10-02 2010-10-05 Skyworks Solutions, Inc. Harmonic phase tuning filter for RF switches
US7714676B2 (en) 2006-11-08 2010-05-11 Paratek Microwave, Inc. Adaptive impedance matching apparatus, system and method
JP4183008B2 (ja) 2007-02-28 2008-11-19 松下電工株式会社 マイクロリレー
JP5185362B2 (ja) 2007-03-26 2013-04-17 テレフオンアクチーボラゲット エル エム エリクソン(パブル) Rf経路の欠陥を見出す方法およびデバイス
US7960772B2 (en) 2007-04-26 2011-06-14 Peregrine Semiconductor Corporation Tuning capacitance to enhance FET stack voltage withstand
US8583065B2 (en) 2007-06-07 2013-11-12 Vishay Intertechnology, Inc. Digitally controlled antenna tuning circuit for radio frequency receivers
US7817966B2 (en) 2007-07-13 2010-10-19 Skyworks Solutions, Inc. Switching device with reduced intermodulation distortion
US7639092B2 (en) * 2007-08-10 2009-12-29 Nanoamp Solutions Inc. (Cayman) Crystal oscillator frequency tuning circuit
US8405467B2 (en) * 2007-11-27 2013-03-26 Qualcomm Incorporated Methods and apparatuses for inductor tuning in radio frequency integrated circuits
EP3346611B1 (en) 2008-02-28 2021-09-22 pSemi Corporation Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device
US20090224843A1 (en) 2008-03-10 2009-09-10 Catalyst Semiconductor, Inc. Programmable Crystal Oscillator
JP5299995B2 (ja) 2008-08-26 2013-09-25 アルパイン株式会社 地図表示装置
US7825715B1 (en) 2008-10-03 2010-11-02 Marvell International Ltd. Digitally tunable capacitor
US8131225B2 (en) 2008-12-23 2012-03-06 International Business Machines Corporation BIAS voltage generation circuit for an SOI radio frequency switch
US7786807B1 (en) 2009-04-23 2010-08-31 Broadcom Corporation Cascode CMOS RF power amplifier with programmable feedback cascode bias under multiple supply voltages
US8044739B2 (en) * 2009-06-09 2011-10-25 Qualcomm Incorporated Capacitor switching circuit
US8072272B2 (en) * 2009-08-19 2011-12-06 Qualcomm, Incorporated Digital tunable inter-stage matching circuit
US8232627B2 (en) 2009-09-21 2012-07-31 International Business Machines Corporation Integrated circuit device with series-connected field effect transistors and integrated voltage equalization and method of forming the device
US8487706B2 (en) 2010-01-25 2013-07-16 Peregrine Semiconductor Corporation Stacked linear power amplifier with capacitor feedback and resistor isolation
US8111104B2 (en) 2010-01-25 2012-02-07 Peregrine Semiconductor Corporation Biasing methods and devices for power amplifiers
US9277501B2 (en) 2010-02-08 2016-03-01 Broadcom Corporation Envelope tracker driven transmit beamforming
US8229372B2 (en) 2010-03-16 2012-07-24 Motorola Solutions, Inc. Parallel forward path cartesian feedback loop and loop filter with switchable order for cartesian feedback loops
US8803631B2 (en) 2010-03-22 2014-08-12 Blackberry Limited Method and apparatus for adapting a variable impedance network
US8138816B2 (en) * 2010-03-23 2012-03-20 M/A-Com Technology Solutions Holdings, Inc. Digitally controlled high Q factor capacitor
US8892063B2 (en) 2010-04-20 2014-11-18 Rf Micro Devices, Inc. Linear mode and non-linear mode quadrature PA circuitry
US9553550B2 (en) 2010-04-20 2017-01-24 Qorvo Us, Inc. Multiband RF switch ground isolation
US9077405B2 (en) 2010-04-20 2015-07-07 Rf Micro Devices, Inc. High efficiency path based power amplifier circuitry
US9124265B2 (en) 2011-07-13 2015-09-01 Peregrine Semiconductor Corporation Method and apparatus for transistor switch isolation
US9628075B2 (en) 2012-07-07 2017-04-18 Skyworks Solutions, Inc. Radio-frequency switch having dynamic body coupling
US9160328B2 (en) 2012-07-07 2015-10-13 Skyworks Solutions, Inc. Circuits, devices, methods and applications related to silicon-on-insulator based radio-frequency switches
US8847666B2 (en) * 2012-08-29 2014-09-30 Richwave Technology Corp. RF switch with RF pathway charge-discharge circuit and associated method
US9716477B2 (en) 2012-12-28 2017-07-25 Peregrine Semiconductor Corporation Bias control for stacked transistor configuration
US20150236748A1 (en) 2013-03-14 2015-08-20 Peregrine Semiconductor Corporation Devices and Methods for Duplexer Loss Reduction
US9276527B2 (en) 2013-09-30 2016-03-01 Peregrine Semiconductor Corporation Methods and devices for impedance matching in power amplifier circuits
US9742359B2 (en) 2013-03-15 2017-08-22 Qorvo International Pte. Ltd. Power amplifier with wide dynamic range am feedback linearization scheme
US9780756B2 (en) 2013-08-01 2017-10-03 Qorvo Us, Inc. Calibration for a tunable RF filter structure
US9281802B2 (en) * 2013-05-14 2016-03-08 Infineon Technologies Ag System and method for a switchable capacitance
JP5854372B2 (ja) 2013-07-05 2016-02-09 株式会社村田製作所 電力増幅モジュール
US9864000B2 (en) 2013-09-30 2018-01-09 Peregrine Semiconductor Corporation Mismatch detection using replica circuit
US9673155B2 (en) 2014-02-14 2017-06-06 Peregrine Semiconductor Corporation Integrated tunable filter architecture
US9584097B2 (en) * 2014-04-29 2017-02-28 Infineon Technologies Ag System and method for a switchable capacitance
US10044349B2 (en) 2016-01-08 2018-08-07 Qorvo Us, Inc. Radio frequency (RF) switch with on and off switching acceleration
US10270437B2 (en) 2016-01-08 2019-04-23 Qorvo Us, Inc. RF switch having reduced signal distortion
US20170338321A1 (en) 2016-05-18 2017-11-23 Newport Fab, LLC dba Jazz Semiconductor, Inc. Nickel silicide implementation for silicon-on-insulator (soi) radio frequency (rf) switch technology
US10090339B2 (en) 2016-10-21 2018-10-02 Qorvo Us, Inc. Radio frequency (RF) switch
WO2018084889A1 (en) 2016-11-02 2018-05-11 Peregrine Semiconductor Corporation Mismatch detection using replica circuit
US10320379B2 (en) 2016-12-21 2019-06-11 Qorvo Us, Inc. Transistor-based radio frequency (RF) switch
US10305433B2 (en) 2017-02-28 2019-05-28 Psemi Corporation Power amplifier self-heating compensation circuit
US10374838B2 (en) 2017-06-30 2019-08-06 Futurewei Technologies, Inc. Image distortion correction in a wireless terminal
US11245381B2 (en) 2017-12-07 2022-02-08 Infineon Technologies Ag System and method for a radio frequency filter

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03136364A (ja) * 1989-07-12 1991-06-11 Texas Instr Deutschland Gmbh トリミング回路及びトリミング法
JP2000277703A (ja) * 1999-03-25 2000-10-06 Sanyo Electric Co Ltd スイッチ回路装置
JP2003516083A (ja) * 1999-11-30 2003-05-07 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス、電圧、および温度に対してフィルタ回路を自動的に同調させる方法および回路
JP2002100991A (ja) * 2000-09-26 2002-04-05 Nec Kyushu Ltd D/aコンバータ
JP2002232278A (ja) * 2001-02-01 2002-08-16 Nec Corp 高周波スイッチ回路
US20060194567A1 (en) * 2001-10-10 2006-08-31 Kelly Dylan J Symmetrically and asymmetrically stacked transistor grouping RF switch
US20050285684A1 (en) * 2004-06-23 2005-12-29 Burgener Mark L Stacked transistor method and apparatus
JP2008504745A (ja) * 2004-06-23 2008-02-14 ペレグリン セミコンダクター コーポレーション Rfフロントエンド集積回路
WO2006038190A1 (en) * 2004-10-08 2006-04-13 Koninklijke Philips Electronics N.V. Array of capacitors switched by mos transistors
JP2008516511A (ja) * 2004-10-08 2008-05-15 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Mosトランジスタによってスイッチングされるキャパシタのアレイ
WO2007008934A1 (en) * 2005-07-11 2007-01-18 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of mosfets using an accumulated charge sink
JP2009500868A (ja) * 2005-07-11 2009-01-08 ペレグリン セミコンダクター コーポレイション 蓄積電荷シンクを用いてmosfetの線形性を改善することに使用される方法及び装置

Also Published As

Publication number Publication date
US9106227B2 (en) 2015-08-11
US9755615B2 (en) 2017-09-05
JP5417346B2 (ja) 2014-02-12
US20140312958A1 (en) 2014-10-23
EP3346611B1 (en) 2021-09-22
US20180097509A1 (en) 2018-04-05
JP5860857B2 (ja) 2016-02-16
US20170040973A1 (en) 2017-02-09
EP2255443A4 (en) 2011-08-17
US8638159B2 (en) 2014-01-28
JP6151333B2 (ja) 2017-06-21
JP2019135795A (ja) 2019-08-15
US8669804B2 (en) 2014-03-11
JP6533251B2 (ja) 2019-06-19
US20200014382A1 (en) 2020-01-09
US9024700B2 (en) 2015-05-05
US9667227B2 (en) 2017-05-30
US20130208396A1 (en) 2013-08-15
EP2568608A1 (en) 2013-03-13
US20130222075A1 (en) 2013-08-29
US11671091B2 (en) 2023-06-06
US11082040B2 (en) 2021-08-03
US20210099169A1 (en) 2021-04-01
US20160191039A1 (en) 2016-06-30
US10630284B2 (en) 2020-04-21
EP3958468B1 (en) 2024-01-31
US9197194B2 (en) 2015-11-24
US20160191019A1 (en) 2016-06-30
EP3958468A1 (en) 2022-02-23
US20220021384A1 (en) 2022-01-20
JP2017143315A (ja) 2017-08-17
JP6771616B2 (ja) 2020-10-21
US11258440B2 (en) 2022-02-22
US20240128968A1 (en) 2024-04-18
US20140312957A1 (en) 2014-10-23
US20150310995A1 (en) 2015-10-29
EP2255443A1 (en) 2010-12-01
US9806694B2 (en) 2017-10-31
JP2013239754A (ja) 2013-11-28
US20230283275A1 (en) 2023-09-07
EP2568608B1 (en) 2014-05-14
US20110002080A1 (en) 2011-01-06
US20190007042A1 (en) 2019-01-03
US9496849B2 (en) 2016-11-15
US10158345B2 (en) 2018-12-18
EP2760136B1 (en) 2018-05-09
EP2760136A1 (en) 2014-07-30
US20200295750A1 (en) 2020-09-17
EP2255443B1 (en) 2012-11-28
US9293262B2 (en) 2016-03-22
US10050616B2 (en) 2018-08-14
US20110043271A1 (en) 2011-02-24
EP3346611A1 (en) 2018-07-11
US20110001544A1 (en) 2011-01-06
US8604864B2 (en) 2013-12-10
US20110001542A1 (en) 2011-01-06
JP2011515832A (ja) 2011-05-19
US20220255545A1 (en) 2022-08-11
US11606087B2 (en) 2023-03-14
US20170163256A1 (en) 2017-06-08
WO2009108391A1 (en) 2009-09-03
US20190123735A1 (en) 2019-04-25
US10382031B2 (en) 2019-08-13

Similar Documents

Publication Publication Date Title
JP6151333B2 (ja) 集積回路素子内でキャパシタをデジタル処理で同調するときに用いられる方法及び装置
US9742400B2 (en) System and method for driving radio frequency switch
US9584097B2 (en) System and method for a switchable capacitance
US9780774B2 (en) System and method for a switchable capacitance
CN109192726B (zh) 用于多模滤波器的电路和方法
KR101740770B1 (ko) 가변형 캐패시턴스 회로를 위한 시스템 및 방법
US9281802B2 (en) System and method for a switchable capacitance
US9230955B2 (en) Integrated circuit and wireless communication apparatus

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151216

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170425

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170524

R150 Certificate of patent or registration of utility model

Ref document number: 6151333

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350