JP2003506883A - 低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス - Google Patents

低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス

Info

Publication number
JP2003506883A
JP2003506883A JP2001515663A JP2001515663A JP2003506883A JP 2003506883 A JP2003506883 A JP 2003506883A JP 2001515663 A JP2001515663 A JP 2001515663A JP 2001515663 A JP2001515663 A JP 2001515663A JP 2003506883 A JP2003506883 A JP 2003506883A
Authority
JP
Japan
Prior art keywords
layer
cleave
substrate
cleaved
particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001515663A
Other languages
English (en)
Inventor
ヘンリー・フランソワ・ジェイ
ブライアン・マイケル・エー
エン・ウィリアム・ジー
Original Assignee
シリコン ジェネシス コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シリコン ジェネシス コーポレイション filed Critical シリコン ジェネシス コーポレイション
Publication of JP2003506883A publication Critical patent/JP2003506883A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Recrystallisation Techniques (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 例えば絶縁体上シリコン、シリコン上シリコンといった基板(11)を形成する方法である。本方法は、ドナー基板、例えばシリコンウェーハを用意することを含む。本方法はまた、最終的分離面である劈開面を含む劈開層(18)をドナー基板上に形成することを含む。ある特定の実施形態ではこの劈開層は、シリコンゲルマニウムを含む。本方法はまた、劈開層上に装置層(20)(例えばエピタキシャルシリコン)を形成することを含む。本方法は、劈開層に応力を加えるために劈開層内に粒子を導入することを含む。それから劈開層内の粒子は、劈開面の近傍に粒子の高濃度領域を形成するように再分布させられるが、粒子のこの再分布は、劈開面に微小気泡または微小空洞を実質的に形成しない仕方で実施される。本方法はまた、装置層を劈開面で劈開層から劈開するように選択されたエネルギーをドナー基板に与えることを含み、この選択されたエネルギーは、制御された仕方で、劈開層の一部から装置層を除去するための制御された劈開動作を生成するように印加される。

Description

【発明の詳細な説明】
【0001】 (技術分野) 本発明は物の製造に関する。より詳細には本発明は、例えば半導体集積回路用
の多層基板の製造時に基板を劈開するための方法と装置とを含む技術を提供する
。しかし本発明が広範囲の適用性を有していることが認識されるであろうし、こ
れが多層集積回路装置、集積半導体装置の3次元実装、光子装置、圧電装置、マ
イクロ電気機械システム(「MEMS」)、センサ、アクチュエータ、太陽電池
、平面型表示装置(例えばLCD、AMLCD)、生物学的および生物医学的装
置等のための他の基板にも適用可能である。
【0002】 (背景技術) 集積回路の製造用の基板を製造する多くの方法が提案されてきた。初期のころ
は通常の集積回路は、「バルク」シリコンウェーハの上に作られた。これらのバ
ルクシリコンウェーハは、一般に単結晶であってCZとして既知のチョクラルス
キー(Czochralski)と呼ばれるプロセスを使用して形成された。C
Zプロセスは、るつぼ内で1バッチのシリコンメタルを融解する。るつぼ内の融
解物からシリコンインゴットを引き上げるための出発材料として種晶が使用され
る。それからインゴットは、カットされ、研磨されてバルクシリコンウェーハを
形成する。
【0003】 バルクシリコンウェーハは現在広く使われているが、多くのこのようなウェー
ハは、他のタイプに置き換えられてきた。中でも、これら他のタイプのウェーハ
には、エピタキシャルシリコンウェーハ、SOIウェーハ(絶縁膜上シリコンウ
ェーハ)等が含まれる。高純度のアプリケーションでは、エピタキシャルシリコ
ンウェーハの使用を必要とすることが多い。これらのアプリケーションは、CZ
ウェーハではしばしば製造歩留りが低いので、このようなエピタキシャルシリコ
ンウェーハが望ましい。高純度アプリケーションには、高密度メモリ装置と高電
圧装置とマイクロプロセッサ装置の製造がある。
【0004】 幾つかのアプリケーションではまた、SOIウェーハ(絶縁膜上シリコンウェ
ーハ)が使われる。これらのウェーハは一般に、バルク基板材料の上にある二酸
化シリコンで作られた絶縁層の上に在って、装置が形成されるシリコン材料層を
含んでいる。SOIウェーハとして既知の絶縁層上シリコンウェーハは、多くの
手法の1つを使用して作られる。このようなウェーハを作るための一般的な手法
は、SIMOXとも呼ばれる「酸素イオン打込みによる分離」である。これらの
SIMOXウェーハは、シリコン基板に高ドーズ量の酸素不純物をうちこむこと
によって作られることが多く、この酸素は後にアニール(焼鈍)されて、シリコ
ン基板の表面の基底となる絶縁層を生成する。能動装置層は、このような絶縁層
の上に画定される。しかしながらSIMOXウェーハには、非常に多くの制約が
ある。例えば、SIMOXウェーハは、その高いドーズ量が長い打込み時間を必
要とすることが多いので、効率的な仕方で作ることがしばしば困難である。打込
みは一般に、ウェーハの製造において費用のかかる操作である。更に酸素の打込
みは、装置層に損傷を発生させることが多い。このような損傷は、この装置層の
上に作られる集積回路装置の動作と信頼性とに影響を及ぼす可能性がある。
【0005】 したがってSOIウェーハを開発する他の方法が提案されてきた。このような
方法の1つは、SmartCut(商標)として既知の膜分離用の「ブリスタリ
ング(膨れ生成)」方法である。このようなブリスタリング(膨れ生成)手法は
、Bruelの名義(「Bruel‘564」)の米国特許第5,374,56
4号に詳細に記述されている。このSOIウェーハ製造用の熱ブリスタリング(
膨れ生成)手法には、多くの制約がある。多量生産のためには高ドーズ量の水素
は、多くのイオン注入装置の使用を必要とし、これらの装置は高価であって維持
するのが困難である。更に熱ブリスタリングは、表面の粗い仕上がりを生成する
ことが多く、これは無益なスクラップ品を作りだす可能性がある。これもBru
elの名義になっているヨーロッパ出願第EP0807970A1号(「Bru
el‘970」)は、SOIウェーハを形成するBruel‘564特許に対し
て改良された方法を提案している。Bruel‘970は、微小空洞(マイクロ
キャビティ)または微小気泡(マイクロバブル)を有する層を機械的に分離する
方法を提案している。このBruel‘970は、ドーズ量が一般に表面のブリ
スタリングを引き起こす最小量より低いことを提案しているが、水素のドーズ量
は、後続の熱処理プロセスを通じて微小空洞または微小気泡の合体を可能にする
ために十分に高くすべきである。このような熱処理プロセスは、高い温度を必要
とすることが多く、これは、微小空洞面に沿って過度に粗くて不正確な破砕構造
を発生させるであろう。したがってBruel‘970もまた、高い温度を必要
とし、これが一般には望ましくなく、過度の表面粗さ特性という結果を招く。
【0006】 IBM社に譲渡された、Srikrishnan、Kris V(「Srik
rishnan」)の名義になっている米国特許第5,882,987号には、
これとは別の変形体が記述されている。Srikrishnanは、Bruel
‘564特許によって教えられたブリスタリング手法に対する改良を提案してい
る。ここでSrikrishnanは、分離すべき装置層内にエッチング停止層
を提案している。更にSrikrishnanは、エッチング停止層から離れた
位置にある膜を分離するために前述の「ブリスタリング(膨れ生成)」プロセス
を使って分離を可能にするように大きなドーズ量の水素を打ち込み、それによっ
てその結果、エッチング停止層と上表面層とによってカバーされ、それからこれ
ら両層を選択的に除去した装置層を特徴とする構造を得ることを提案している。
このプロセスは、化学機械研磨(CMP)ステップの必要性を削減または除去す
ることによる利点はあるが、一般にはなお、ブリスタリングプロセスと高いドー
ズ量の水素イオンまたは稀ガスイオンの打込みと複雑な化学薬品除去とを使用す
ることを必要としている。
【0007】 SOIウェーハを形成するための更に他の方法は、キャノン株式会社に譲渡さ
れた、Sato等の名義(「Sato」)になっている米国特許第5,854,
123号に記載されている。Sato特許は多孔質シリコン層上に形成されたエ
ピタキシャル層を解放することを提案している。多孔質シリコン層は一般に、エ
ピタキシャルシリコン層と多孔質シリコン層との間に高度のエッチング選択性を
与えることによってエピタキシャル層を解放するように作られる。不都合なこと
にこの手法は、複雑で高価なことが多い。更に多孔質層上でのエピタキシャル成
長は、エピタキシャル膜への欠陥の導入によってエピタキシャル膜の品質を妥協
する可能性があり、これは極めて望ましくないことである。このような手法には
他の制約もあり得る。
【0008】 したがってSilicon Genesis Corporationと称す
る会社によって成された先駆的な手法が開発された。このような手法は、SOI
ウェーハおよびその他の構造を製造するために、CCPとして既知の、制御され
た劈開プロセスに依存している。このCCP手法は、膜を劈開するために常温プ
ロセスを使用して、改良された膜を製造する。常温プロセスは一般に、Brue
lに記載された通常のプロセスによって引き起こされるブリスター(膨れ)等に
導く可能性のある微小気泡または微小空洞を発生させることはない。従来の手法
における多くの制約を克服してはいるが尚、CCPは改良される可能性がある。
【0009】 上記のことから、基板製造のための改良された方法が大いに望まれていること
が分かる。
【0010】 (発明の開示) 本発明によれば、物を製造するための方法と装置とを含む手法が提供される。
例示的実施形態で本発明は、劈開プロセスから多層基板を製造する方法を提供す
る。このような基板は、劈開層に応力を発生させるために使用される低ドーズ量
の粒子を使用する。この低ドーズ量の粒子は、本方法の膜品質と効率とを改善す
る。
【0011】 ある特定の実施形態で本発明は、例えば絶縁膜上シリコン、シリコン上シリコ
ンといった基板を形成する方法を提供する。本方法は、ドナー基板、例えばシリ
コンウェーハを用意することを含む。本方法はまた、基板の分離が面に沿って発
生する劈開面を含むドナー基板上に劈開層を形成することを含む。ある特定の実
施形態では、劈開層は、シリコンゲルマニウムからなる。本方法はまた、劈開層
の上に装置層(例えばエピタキシャルシリコン)を形成することを含む。本方法
はまた、劈開層内に応力を加えるために劈開層内に粒子を導入することを含む。
それからこれらの粒子は再分布させられて、劈開層からの粒子の一部は、劈開層
内の、装置層に隣接する領域に粒子の高濃度領域を形成し、ここで粒子の再分布
は、粒子の微小気泡または微小空洞形成が実質的に無い仕方で実施される。すな
わちこれらの粒子は一般に、劈開面における微小気泡または微小空洞の形成が欠
如しているものとして定義される低ドーズ量になっている。本方法はまた、通常
は粒子の高濃度領域に隣接する劈開面で劈開層から装置層を劈開するためにドナ
ー基板に選択されたエネルギーを与えるが、この時、制御された仕方で劈開層か
ら装置層を除去するために制御された劈開動作を生成するように、この選択され
たエネルギーが印加される。
【0012】 代替の実施形態として、本発明は、多層基板を形成する方法を提供する。本方
法は、ドナー基板を備えることを含む。劈開層は、ドナー基板上に形成される。
この劈開層は、シリコンゲルマニウムを含む。本方法はまた、劈開層上に装置層
(例えばエピタキシャルシリコン)を形成することを含む。本方法はまた、劈開
層内に応力を加えるために劈開層内に粒子を導入する。劈開層にハンドル基板を
結合して、粒子を再分布させるステップが行われ、この場合、劈開層からの粒子
の一部は劈開層内のある領域内に粒子のより高濃度の領域を形成する。この粒子
の再分布は、劈開面での粒子の微小気泡または微小空洞形成が実質的に無い仕方
で実施される。本方法はまた、粒子の高濃度領域で劈開層から装置層を劈開する
ためにドナー基板に選択されたエネルギーを与えるが、この時、装置層を有する
ハンドル基板をドナー層から分離するように制御された仕方で劈開面に沿った劈
開層から装置層を除去するために制御された劈開動作を生成するように、この選
択されたエネルギーが印加される。
【0013】 なお更に本発明は、ドナー基板を含む複合基板を提供する。この基板は、上層
の劈開層とその上層の装置層とを持っており、この場合、劈開層は、装置層と劈
開層との間の界面の近くに最大ドーズ量の粒子を持っている。
【0014】 代替の実施形態では、本発明は、例えば絶縁層上シリコン、シリコン上シリコ
ンといった基板を形成する方法を提供する。本方法は、例えばシリコンウェーハ
、エピタキシャルウェーハ、ガラスといったドナー基板を用意する方法を提供す
る。本方法は、ドナー基板上に劈開面を含む劈開層(例えばシリコンゲルマニウ
ム)を形成することを含む。本方法はまた、劈開層上に装置層(例えばエピタキ
シャルシリコン)を形成することを含む。それから本方法は、劈開面に応力を加
えるために劈開層に粒子を導入するが、その場合、粒子は、水素ガス、ヘリウム
ガス、その他微小気泡または微小空洞を形成するいかなる種も含まないように取
り出された種から選択される。このような粒子は単に実施例として、酸素、シリ
コン、ゲルマニウム、その他の種から取り出すことができる。本方法はまた、ド
ナー基板の劈開面でドナー基板から装置層を分離することを含む。好ましくは、
制御された劈開プロセスが使用される。
【0015】 本発明を使用すれば、従来の手法を超えて、多大の利益が得られる。特に本発
明は、制御されたエネルギーと選択された条件とを使って、複数材料のサンドイ
ッチされたフィルムを含むドナー基板から薄膜材料を好適に劈開する。この劈開
プロセスは、膜または基板の残留部分に対する損傷の可能性を防止しながら、基
板から薄膜材料を選択的に除去する。他の態様においてこのプロセスはまた、実
質的に損傷のない再使用可能な多層基板構造を提供する。したがって基板の残留
部分は他の用途に繰り返し再利用可能である。なお更に本方法は、劈開に際して
、より平滑な膜(例えば、30または20または10または5または3または2
オングストロームRMS未満)を提供する。用途によって、これらの利点の一つ
以上が存在し得る。
【0016】 本発明は、既知のプロセス技術に関連してこれらおよび他の利益を達成する。
しかしながら本発明の性質と利点の更なる理解は、本出願の後段部分と付属図面
の参照によって達成できる。
【0017】 (発明を実施するための最良の形態) 本発明によれば、物を製造するための方法と装置とを含む手法が提供される。
例示的実施形態において本発明は、劈開プロセスから基板を再生利用する方法を
提供する。このような再生利用基板は、他の基板等に製造のために再利用できる
【0018】 図1〜15は、本発明の実施形態による方法の単純化された図である。これら
の図は、本明細書の特許請求の範囲を不当に限定することのない単なる実施例で
ある。当業者は、他の多くの変形、修正、代替を認めるであろう。図1を参照す
れば、本方法は基板10を提供することから始まる。この基板は、シリコンウェ
ーハ(例えばバルク、多層ウェーハ)その他といった適当ないかなる基板でもよ
い。基板11は、このアプリケーションでは実質的に平面である上表面を持って
いる。他の形もあり得る。
【0019】 任意に、停止層14は、図2に示すように基板の上表面に存在するものと定義
される。この停止層は、基板11を、特に基板11の表面12を保護する適当な
如何なる材料であってもよい。停止層は、化学蒸着(CVD)プロセスを使って
作られるエピタキシャルシリコンであってよい。この層は、ドープしてもしなく
てもよい。ドープされる場合、この層は、傾斜ドープ、一定ドープのどちらでも
よい。化学蒸着(CVD)プロセスは、シランと水素とを担持するガスを含むこ
とができる。他のガスも使用できる。これらのガスは、カリフォルニア州、サン
タクララのApplied Materials,Inc.製のものといったエ
ピタキシャル・チャンバー内に導入される。代替としてこのチャンバーは、アリ
ゾナ州、フェニックスのASM International製のものでもよい
。停止層は、ドープされた、またはドープされない層の組合せでもよい。停止層
は、物理蒸着層またはメッキ層などでもよい。
【0020】 次に、このプロセスは、図3に示すように停止層14の上に劈開層18を形成
することを含む。劈開層は、劈開を向上させる適当な材料であれば如何なる材料
で作ることもできる。劈開層は、化学蒸着、物理蒸着、メッキ等といった手法の
一つまたは組合せによって堆積できる。ある特定の実施形態では、劈開層は、シ
リコンゲルマニウム層である。シリコンゲルマニウム層は、劈開を向上させる厚
さに作られることが多い。このシリコンゲルマニウム層は、劈開を向上させる他
の層によって置き換えることも可能である。これらの層の幾つかは、一般に譲渡
され、引例によりここに組み入れられている出願番号第 (18419−0
00155)に記載されている。
【0021】 好適な実施形態では、このシリコンゲルマニウム層は、安定な仕方で成長させ
られる。すなわちこのシリコンゲルマニウムは、スードモルフィック(仮像)状
態のエピタキシャル層である。シリコンゲルマニウムは、粗い、あるいはミスフ
ィット(格子不整合)転位を生成するような仕方では成長しない。本実施形態で
は、装置層は、安定性を高めるためにシリコンゲルマニウムの上に成長させられ
る。すなわち準安定状態にあり得るシリコンゲルマニウムは、装置層またはキャ
ッピング(表面保護)層のお蔭で今や、安定状態にある。このような装置層の詳
細は、以下に説明される。
【0022】 シリコンゲルマニウム層の上には、図3に示すように装置層20が在る。装置
層は、後続のプロセスで能動装置または構造が形成される領域である。装置層は
、例えばシリコンといった適当な材料で作られる。この装置層は、エピタキシャ
ルシリコン層であり得る。エピタキシャルシリコン層は、装置層が実質的に欠陥
を持たない仕方でシリコンゲルマニウム層の上に作られる。ここで高品質停止層
はしばしば、シリコンゲルマニウム層とその上層のエピタキシャルシリコン層の
核形成・成長のための理想的な起点を提供する。エピタキシャルシリコン層は、
化学蒸着プロセスを使って作られる。化学蒸着プロセスは、シランと水素とを担
持するガスを含むことができる。これらのガスは、カリフォルニア州、サンタク
ララのApplied Materials,Inc.製のものといったエピタ
キシャル・チャンバー内に導入される。代替としてこのチャンバーは、アリゾナ
州、フェニックスのASM International製のものでもよい。ア
プリケーションによっては、停止層と劈開層との間にサンドイッチされた他の層
(1つ以上の層)が存在することもある。更に幾つかのアプリケーションでは、
劈開層と装置層との間にサンドイッチされた他の層(1つ以上の層)が存在する
こともある。
【0023】 好ましくは装置層は、劈開層を覆うキャッピング(表面保護)層として機能す
る。このキャッピング層は、停止層または基板との結晶構造上の違いから応力の
かかる劈開層の安定性を向上させることができる。このような劈開層の温度上昇
がこの応力に加わるが、この場合、ある一定の膜厚に関してある臨界温度を超え
た温度上昇は、不安定な膜を作りだす。ある特定の実施形態では、エピタキシャ
ルシリコン層のキャッピング層は、図3Aに示す仕方で劈開層の安定性を向上さ
せる。ここでシリコンゲルマニウムの厚さは、横軸上のゲルマニウム対シリコン
の濃度に対して、縦軸上にプロットされている。2つのプロットが示されている
(ここでシリコンゲルマニウムは、摂氏約550度で成長している)。数字30
3で参照されるプロットは、キャッピング保護されていないシリコンゲルマニウ
ム層に関して示されている。すなわちシリコンゲルマニウム層の上に層は存在し
ない。プロット303によれば、約100オングストローム(または80オング
ストローム)の厚さの、ゲルマニウム30%のシリコンゲルマニウム混合物を成
長させることができる。これら2つのカーブの間の領域302は、準安定領域で
あって、ここではこの膜の摂氏550度からの温度上昇が不安定な状態を引き起
こしている。しかしながらこの層がキャッピングされると、シリコンゲルマニウ
ム層は、参照数字301のカーブによって示されるように遙かに厚く成長させる
ことができる。キャッピングされた層は、熱処理(例えば摂氏400度以上)等
といった後続の処理中にも安定な状態を維持しながら、キャッピング保護されな
い層の厚さの約1.5倍の厚さ、あるいは2倍を超える厚さにまでシリコンゲル
マニウムが成長することを可能にする。
【0024】 ある特定の実施形態では本方法は、装置製造時間を改善するために装置層の成
長中の温度をより高くする。ここでは、図3B、3Cに示す装置350が製造さ
れている。単に相互参照の目的で、この図では前の図と同じ参照数字が使われて
いる。製造される装置は基板11と停止層14と劈開層14と装置層340、3
41とを含んでいる。ある特定の実施形態では、基板11が用意される。この装
置はまた、図3Bに示す温度プロファイル310を受ける。所望であれば、これ
は洗浄ベーキングプロセスを使って洗浄される。エピタキシャルシリコンの停止
層は、基板の上に形成される。エピタキシャルシリコンは摂氏約900度から9
50度の第1の温度で形成される。このような第1の温度とエピタキシャルシリ
コンは、「COP」と呼ばれる結晶に起因する粒子等といった何らかの欠陥を基
板内に充満させる。この第1の温度はまた、製造に効率的な蒸着速度をもたらす
のに十分な高さの温度である。
【0025】 次に第1の温度より低い第2の温度で劈開層が形成される。この第2の温度は
、シリコンゲルマニウムといった劈開層が安定になる温度である。このような温
度は、シリコン中ゲルマニウム30%の100〜200オングストローム程度の
層に関して、摂氏約650度以下であって、この場合、シリコンゲルマニウムは
キャッピングされない。この層は、シリコンゲルマニウムがまだ安定である厚さ
に成長することができる。装置層は、少なくとも二つのステップで形成されるか
、あるいはこの層が成長時間を短縮するためにこの層形成の一部の時期に、より
高温で成長させられるという状況で形成できる。初めに装置層は、劈開層の安定
性を維持するために第2の温度で形成される。特定の実施形態では、エピタキシ
ャルシリコンは、劈開層をキャッピングするために約350オングストロームま
たは400オングストローム以上の厚さに形成される。一旦、劈開層がキャッピ
ングされると、装置層形成は、より高い温度を受けるが、この温度はこのような
装置層をより高い蒸着速度で蒸着する。エピタキシャルシリコンのためのより高
い蒸着速度は、毎秒100オングストローム以上であり得る。ある特定の実施形
態では、第2の装置層は約1,000オングストロームを超える、または約3,
000オングストロームを超える厚さに形成されるが、他の厚さでもよい。装置
層は、装置の製造を容易にするために、少なくとも2つのステップ、あるいは多
数のステップで形成できる。更に本堆積方法は一般に、層形成の間でいかなる洗
浄ステップも無くすために単一チャンバーまたはクラスタツール(マルチチャン
バー)構成で形成される。すなわち劈開層と装置層の組合せは、そのままのイン
シトゥで形成できる。
【0026】 ある特定の実施形態では、劈開層は劈開を向上させる選択された濃度プロファ
イルを使って堆積できる。図3D〜3Eは、本発明の実施形態による劈開層のた
めのこのような濃度プロファイルの単純化された図である。図示のように、縦軸
はゲルマニウム対シリコンの濃度をパーセンテージで表し、横軸は表面領域から
この基板の裏側への厚さまたは深さを表している。図3Dでは、参照数字371
で表された領域は、劈開層である。この場合、ゲルマニウムの濃度は、位置t(
1)でゼロであり、この位置はまた純粋なシリコンである。ゲルマニウム濃度は
、選択された濃度(例えば30%)に段階的に上昇し、それからこの濃度は、t
(2)におけるゼロにまで直線的に減少する。一般に劈開は、他の領域よりも高
い応力を持っていて劈開面の位置になるであろう位置t(1)付近で発生する。
【0027】 図3Eでは、参照数字381で表された領域は劈開層である。この場合、ゲル
マニウムの濃度は、位置t(1)でゼロであり、この位置はまた純粋なシリコン
である。ゲルマニウム濃度は、選択された濃度(例えば30%)に段階的に上昇
し、参照数字382で参照される領域を通してこの選択された濃度を維持する。
それからこの濃度は、t(2)におけるゼロにまで直線的に減少する。劈開は、
幾つかの選択された打込み条件で他の領域よりも高い応力を持っている位置t(
1)付近(劈開面の位置)で発生する。アプリケーションによって、領域382
もまた直線的、または曲線的な傾斜を含むことができる。
【0028】 一般に上記の図に示されたプロファイルは、少なくとも2つの領域を含むが、
アプリケーションによっては更に多くの領域を含むこともある。この場合、t(
1)の近傍にある第1の領域は、劈開領域(すなわち劈開面)であって、これは
、この劈開領域と位置t(2)との間に在る第2の領域よりも高い応力を持つで
あろう。この第2の領域は、捕捉領域である。捕捉領域は、劈開領域の一部であ
って、打込みプロセスまたは後続のプロセスの、ステップの時にこの層内に打ち
込まれる粒子の効率的な捕捉と再分布とを向上させる。一旦、粒子が捕捉される
と、これらの粒子は、劈開領域に応力を加えるように再分布できる。粒子のこの
ような導入の詳細は、以下で説明する。
【0029】 好ましくは本方法は、図4に示すように、装置層を介して粒子22を劈開層1
8に導入する。アプリケーションによっては、装置層22への損傷の可能性を減
らすために一般に、より小さな質量の粒子が選択される。すなわち、より小さな
質量の粒子は、これらの粒子が通り抜ける装置層を実質的に損傷することなく、
容易にこの装置層を通って劈開層まで走行する。例えばこれらのより小さな質量
の粒子(あるいはエネルギー粒子)はおおかたは、帯電した(例えば正または負
の)および/または中性の原子または分子または電子などであり得る。ある特定
の実施形態では、これらの粒子は、水素とその同位元素(すなわち重水素)のイ
オンと、ヘリウムとその同位元素、ネオンといった希ガスイオンといったイオン
を含む中性粒子および/または帯電粒子であり得る。これらの粒子はまた、ガス
、例えば水素ガス、水蒸気、メタン、水素化合物といった化合物、および他の軽
原子質量粒子から取り出すことができる。代替としてこれらの粒子は、上記の粒
子および/またはイオンおよび/または分子種および/または原子種の如何なる
組合せでもよい。これらの粒子は一般に、表面を貫通して装置層の表面下の選択
された深さに達するのに十分な運動エネルギーを持っている。
【0030】 一実施例としてシリコンウェーハに打ち込まれる種として水素を使用する際に
は、打込みプロセスは、ある特定のセットの条件を使用して行われる。打込みド
ーズ量は、約1014から約1017原子/cmの範囲に亘っており、このド
ーズ量は、約1015原子/cmより大きいことが好ましい。打込みエネルギ
ーの範囲は、約1KeVから約1MeVであり、一般には約30KeVである。
打込み温度の範囲は、約−200℃から約600℃であり、打込みされたシリコ
ンウェーハから多量の水素イオンが拡散して打込みの損傷と応力とをアニール(
焼鈍)する可能性を防止するために、約400℃未満であることが好ましい。水
素イオンは、約+/−0.03ミクロンから約+/−0.05ミクロンの精度で
選択された深さまでシリコンウェーハ内に選択的に導入できる。使用されるイオ
ンのタイプとプロセス条件は、アプリケーションに依存することは無論である。
【0031】 代替の実施形態では、より重い粒子を劈開層に加えることによって、化学的、
アモルファス化(非晶質化)、格子間、その他の応力(ストレス)が導入可能で
ある。この場合、より重い粒子には、シリコン、酸素、ゲルマニウム、炭素、窒
素、またはその他応力を加え劈開を向上させることのできる任意の適当なより重
い粒子のうちの一つ、またはこれらの任意の組合せが含まれる。これらのより重
い粒子は装置層を通して打込み可能であり、あるいは拡散等が可能である。ある
特定の実施形態では、これらのより重い粒子のドーズ量の要件は一般に、より軽
い粒子のドーズ量要件より少ないであろうが、劈開層の近傍にまで貫入するため
に、より軽い粒子よりも高い打込みエネルギーを必要とすることが多い。150
0〜2500オングストローム程度の装置層の範囲に関しては、打込みエネルギ
ーは、酸素とシリコンの質量範囲の間のイオンに関して80〜200keVの範
囲にあるであろう。他の実施形態では、より重い粒子とより軽い粒子の組合せも
使用可能である。これらの実施形態では事実上、微小気泡も微小空洞も形成され
ない。更にこのようなより重い粒子の再分布は発生しないか、あるいはより軽い
粒子より少なく発生する可能性がある。アプリケーションによっては、応力を導
入する他の多くの方法も使用可能である。
【0032】 効果的にも打込み粒子は、基板の上表面に平行な領域に沿って選択された深さ
に応力を加え、あるいは破砕エネルギーを減少させる。これらのエネルギーは、
部分的には打込み種と打込み条件とに依存している。これらの粒子は、選択され
た深さにおける基板の破砕エネルギーレベルを低減する。これは、選択された深
さの打込み面に沿った制御された劈開を見込んでいる。打込みは、すべての内部
位置における基板のエネルギー状態が基板材料内の不可逆的破砕(すなわち分離
または劈開)を開始するためには不十分であるような条件下で発生し得る。しか
しながら打込みは一般に、後続の熱処理、例えば熱アニールまたは急速熱アニー
ルによって修復可能な基板内のある一定量の欠陥(例えば微小欠陥)を引き起こ
すことに留意すべきである。
【0033】 幾つかの実施形態では、粒子は、劈開を容易にするために選択されたドーズ量
を達成するように、劈開層に導入される。図4A〜4Bを参照すれば、本発明は
、劈開を向上させるために劈開層内に打込み可能な選択されたドーズ量を提供す
る。これらの図の各々において、縦軸は、参照される粒子の濃度を表し、横軸は
、基板の表面から裏側への厚さあるいは深さを表している。劈開層は、位置t(
1)とt(2)との間に在る影付け斜線部401によって示されている。図4A
は一般に、単に比較の目的でBruelに教えられた従来プロセスを示している
。ここでBruelの手法は、純粋に打込みされた層401Aである劈開領域に
最大ドーズ量が交わる所に高濃度の水素を担持する粒子を導入する。この場合、
Bruelの方法を容易にするために劈開層に一般に、ある一定のドーズ量(C )が使用される。Bruelの方法は、高濃度の水素を使って微小気泡を形成
するが、この微小気泡はより大きな気泡を形成し、この気泡は熱処理中に膜をブ
リスター(膨れ)させたり破砕したり分離したりする。この高濃度は一般に、過
度の表面粗さおよびその他の欠陥を生じさせるので望ましくないが、本発明はこ
れらの欠陥を克服している。更に高温での熱処理もまた、欠陥を発生させるので
一般には望ましくない。
【0034】 図4Bを参照すると、本方法は、位置t(1)とt(2)との間の領域を占め
る、選択された低ドーズ量の水素を担持する粒子を使用する。数字401で参照
される劈開層は、蒸着シリコンゲルマニウム層と、低ドーズ量の打込み粒子とを
含んでいる。このドーズ量は、膜を膨れさせたり破砕したり分離したりする、よ
り大きな気泡を形成する微小気泡が実質的に形成されない量として確定される。
ドーズ量は一般に、劈開層内でこの領域を占める粒子のある一定量とすべきであ
る。劈開層の外側の領域は、より高いドーズ量を持ち得るが、一般に劈開プロセ
スには直接関与しない。
【0035】 図示のように粒子分布プロファイルは、例えば参照番号409、407、40
5によって示されるものを含むことができる。プロファイル405は一般に、最
低の全体ドーズ量を有するプロファイル407か409のいずれよりも高い全体
ドーズ量を持っている。より高いドーズ量は、装置層411の外側に在る領域4
15を占め、それによって高いドーズ量が装置層に損傷を与える可能性を減らし
ている。劈開層は、これらの実施例では比較的一定のドーズ量を持っている。装
置層に対する損傷が減らされる場合に、一定のドーズ量が維持される。これらの
実施形態では、最大ドーズ量領域は、劈開層の外側になるが、これは、最大ドー
ズ量領域が従来のブリスタリング(膨れ生成)劈開プロセスに必然的に極めて近
接していて直接寄与する従来のBruelプロセスとは実質的に異なっている。
したがって微小空洞と微小気泡が合体して破砕面を発生させる領域内の打込みピ
ークに、あるいはその極めて近傍に劈開面が在ると言うのは、Bruelブリス
タリング(膨れ生成)プロセスの基本的特性である。
【0036】 好ましくもこの劈開層は、打込み後に劈開に適する特性を持っている。劈開層
は、応力を加えられた層である。応力を加えられた層は、その形成後にこの応力
を加えられた層内に転位が形成されないことが好ましいので、打込みの後で熱的
に安定である。すなわち転位は一般に望ましくない。これらの転位は、滑り面、
積層欠陥、転位等の形で発生することあり、これらはまた、熱処理プロセス中に
しばしば結合して、より大きな構造体を形成することがある。この劈開面には、
大きな気泡(マクロバブル)や分離を形成し得る微小気泡(マイクロバブル)や
微小空洞(マイクロキャビティ)も存在しない。したがって好適な実施形態では
、打込みはしばしば、このような転位を避けるような仕方とドーズ量とで実施し
なくてはならない。
【0037】 一旦、粒子が劈開層に導入されると、ドナー基板は、ハンドル基板に結合でき
る。ここで任意に、これらの基板の面を洗浄するために低温プラズマ活性化のス
テップが使用できる。それからこれらの基板は、互いに結合される。結合の完全
性を向上させるために、結合ステップに続いて熱処理を実施することができる。
ある特定の実施形態では、熱処理ステップの温度/時間の組合せはまた、劈開層
と装置層(および停止層または基板)との間の界面の各々に粒子を再分布させる
ことができる。この熱処理ステップは、劈開層の界面付近に、少なくとも1つの
最大ピークを形成する(あるいは更に多くのピークが形成できる)ために打込み
後にこのような粒子を再分布させる。シリコンゲルマニウム劈開層とエピタキシ
ャルシリコン装置層とを使用する実施形態で、本発明は、シリコンゲルマニウム
層とエピタキシャルシリコン層との間の界面あるいはその他の界面に、より高い
最大ピークを与える。ある幾つかの実施形態では、粒子はまた、打込み時に、あ
るいは他の熱処理時間/温度組合せの時にも再分布することができる。
【0038】 制御された劈開プロセスは、図5に示すように実施される。ここではドナー基
板11は、ハンドル基板22に既に結合されている。結合は、基板11を基板2
2に接合する種々の手法を使用して行うことができる。ある特定の実施形態では
、二酸化シリコン層24を使用してこれらの基板を互いに接合して多層基板構造
を形成することができる。ある特定の実施形態では、結合された基板には、劈開
面に沿った応力を加えられた領域で、制御された劈開動作を行う選択的なエネル
ギー配置または位置決めまたは目標設定のステップが実施される。好適な実施形
態では、選択されたエネルギー配置は、基板の応力を加えられた領域のエッジ領
域かコーナー領域の近くで行われる。エネルギー源を使用して、1つまたは複数
の衝撃が加えられる。エネルギー源の実施例としては中でも、化学エネルギー源
、機械エネルギー源、電気エネルギー源、熱シンク(熱溜め)または熱エネルギ
ー源がある。化学エネルギー源には、粒子、流体、気体、あるいは液体といった
種々のものがある。これらのエネルギー源はまた、応力を加えられた領域に応力
を増加させる化学反応を含むこともできる。化学エネルギー源は、フラッド(洪
水的供給)として、または時間的に変化するように、または空間的に変化するよ
うに、または連続的に導入される。他の実施形態では機械エネルギー源は、回転
、平行移動、圧縮、伸長、あるいは超音波のエネルギーから引き出される。機械
エネルギー源は、フラッド(洪水的供給)として、または時間的に変化するよう
に、または空間的に変化するように、または連続的に導入できる。更なる実施形
態では電気エネルギー源は、印加電圧または印加電磁場から選択されるが、また
これは、フラッド(洪水的供給)として、または時間的に変化するように、また
は空間的に変化するように、または連続的に導入される。なお更なる実施形態で
は熱エネルギー源または熱シンク(熱溜め)は、輻射熱、対流熱あるいは伝導熱
から選択される。この熱エネルギー源は中でも、光子ビーム、流体源、液体源、
気体源、電/磁場、電子ビーム、熱電加熱、炉などから選択できる。熱シンクは
、流体源、液体源、気体源、極低温(クライオジェニック)流体、過冷却液体、
熱電冷却手段、電/磁場などから選択できる。上記の実施形態と同様に、熱エネ
ルギー源は、フラッド(洪水的供給)として、または時間的に変化するように、
または空間的に変化するように、または連続的に導入される。なお更に上記の実
施形態のいずれも、アプリケーションによって、組み合わせたり分割したりでき
る。使用されるエネルギー源のタイプがアプリケーションに依存することは、無
論である。ある特定の実施形態では、エネルギー源は、本発明の一実施形態によ
る、加圧された(例えば圧縮的)流体源であり得る。このような加圧された流体
源の詳細な論議は、米国特許第 (Attorney Docket(代理
人摘要)第18419−000155号)に記載されており、これは引例により
ここに組み込まれている。
【0039】 図示のように劈開は、ドナー基板をハンドル基板から分離するが、ここでは装
置層は図6に示すようにドナー・ハンドル基板に接合されている。劈開層は、ハ
ンドル・ウェーハ基板から選択的に除去される。この場合、このような選択的除
去プロセスには、ドライ・エッチング、プラズマ・エッチング、ウェット・エッ
チング、研磨、またはこれらの任意の組合せが含まれる。一実施形態では、除去
プロセスは、硝酸と酢酸とを混合したフッ化水素の濃縮溶液を使用する。代替と
して、この除去プロセスは、過酸化水素と酢酸とを混合したフッ化水素酸の濃縮
溶液を使用する。このような溶液の選択性は、約100:1より大きいか、約2
00:1(劈開層のエッチング速度対停止層のエッチング速度)より大きいこと
が好ましい。
【0040】 劈開層は、図7に示すようにドナー基板から選択的に除去される。同様な選択
的除去プロセスを使って、ドナー基板から劈開層を除することができる。ここで
、このような選択的除去プロセスには、ドライ・エッチング、プラズマ・エッチ
ング、ウェット・エッチング、研磨、またはこれらの任意の組合せが含まれる。
一実施形態では、除去プロセスは、硝酸と酢酸とを混合したフッ化水素の濃縮溶
液を使用する。代替として、この除去プロセスは、過酸化水素と酢酸とを混合し
たフッ化水素酸の濃縮溶液を使用する。このような溶液の選択性は、約100:
1より大きいか、約200:1(劈開層のエッチング速度対停止層のエッチング
速度)より大きいことが好ましい。
【0041】 一旦、劈開層が除去されると、図8に示すように停止層が露出される。ここで
停止層の上表面16が露出され、実質的に欠陥を持たない。停止層を有するドナ
ー基板は、他の基板製造プロセスで再使用できる。他の実施形態では停止層は、
選択的な仕方で除去される。これらの実施形態では、停止層内に幾らかの打込み
損傷が存在する可能性があり、この損傷は、その停止層の上に劈開層を形成する
前に除去すべきである。停止層は、ドナー基板から選択的に除去されてその上表
面を露出する。これで、ドナー基板は、多層基板構造を形成するための別の一連
の処理ステップ用に準備が整えられたわけである。ある特定の実施形態では、停
止層は除去可能である。この除去ステップに続いて平滑化ステップが実施される
ことがある。代替として停止層は、水素処理と熱処理の組合せを使って平滑化で
きる。このような平滑化プロセスの例は、一般に譲渡される、あらゆる目的で引
例によってここに組み込まれている米国特許第 号(18419−0082
00)に記載されている。
【0042】 他の実施形態では、本プロセスを反復して、図10の多層ドナー基板構造10
0を形成することができる。ここでドナー基板構造100は、ドナー基板の上に
在る停止層103を含んでいる。劈開層105は、停止層103の上に形成され
る。この劈開層の上に別の停止層107が形成され、この停止層107の上に別
の劈開層が形成される。この劈開層の上に装置層111が形成される。ある特定
の実施形態では、粒子の打込みは、基板構造のz方向に沿って選択的に調整可能
であり、ここでは劈開層109か劈開層105のどちらかに、より高いドーズ量
が与えられる。このより高いドーズ量がどちらに与えられるかによって、劈開は
、劈開層109または劈開層105で発生することができる。ある特定の実施形
態で、もしこの打込みプロファイルが高濃度領域113を備えたとすれば、劈開
は、劈開面115で発生するであろう。劈開は、共通的に所有されており、ここ
に引例によって組み込まれている米国特許第 号(Attorney Do
cket第18419−000155号)に記載のCCPといった種々の手法を
使用して発生できる。
【0043】 代替の実施形態では、本プロセスを反復して、図11の多層ドナー基板構造2
00を形成することができる。ここでドナー基板構造200は、バルク基板20
2を含んでいる。バルク基板の上に在るのは、停止層201である。この停止層
201に上に、劈開層203が形成される。この劈開層の上に別の停止層205
が形成され、この停止層205の上に別の劈開層205が形成される。この劈開
層の上に第n番目の停止層209が形成される。この第n番目の停止層209の
上に第n番目の劈開層が形成される。この劈開層の上に装置層213が形成され
る。ある特定の実施形態では、粒子の打込みは、基板構造のz方向に沿って選択
的に調整可能であり、ここでは複数の劈開層のどれかに、より高いドーズ量が与
えられる。このより高いドーズ量がどれに与えられるかによって、劈開は、特定
の劈開層で発生することができる。ある特定の実施形態で、もしこの打込みプロ
ファイルが高濃度領域215を備えたとすれば、劈開は、劈開面217で発生す
るであろう。劈開は、共通的に所有されており、ここに引例によって組み込まれ
ている米国特許第 (Attorney Docket第18419−00
0155号)に記載のCCPといった種々の手法を使用して発生できる。
【0044】 任意に本方法は、図12〜15の図に示すように、劈開を向上させるために劈
開層内に粒子の選択的パターン分布を行う手法を使用する。これらの図は、単に
例であって、この特許請求の範囲を限定するものではない。本技術に通常のスキ
ルを有する人であれば、他の多くの変形、修正、代替を認めるであろう。ここで
使用される参照数字の一部は、単に相互参照の目的で前の数字と同じにしてある
。図示のように本方法は、基板11を用意することから始まるが、この基板は、
図4等に示したような基板でよい。すなわち劈開層が堆積層を含むことは必要で
ない。劈開層は、粒子130が基板内に導入されている単なる打込みされた層で
よい。
【0045】 一旦、劈開層が形成されると、本方法は図13に示すような基板を作りだす。
図示のように基板11と劈開層160と装置層120とが在るが、これらはシリ
コン、エピタキシャルシリコンその他の材料でよい。粒子150は、別の打込み
ステップで、あるいは粒子22を導入する打込みステップの第2のステージ(ま
たは他のステージ)で劈開層のエッジ領域に選択的に導入される。このエッジ領
域は、単に基板の一端に在ればよい。代替としてエッジ領域は、基板の周辺部付
近に在ってもよい。エッジ領域は一般に、劈開または劈開の開始を容易にするた
めに使用される、より高濃度の領域である。エッジ領域は、図示のように基板の
外側エッジから長さデルタまで延びている。エッジ領域のプロファイル151は
、図14の単純化された図によって示されている。ここで図は、濃度をプロット
する縦軸と基板のエッジ153から基板の中心領域154への長さをプロットす
る横軸とを含んでいる。粒子の分布は、段階分布、傾斜分布、その他劈開または
劈開の開始を容易にする分布であれば如何なる分布も含むことができる。
【0046】 一旦、基板が選択的に打込みされると、基板11は、基板20に結合される。
これらの基板は、界面220を介して相互に結合できるが、この界面は二酸化シ
リコン等でよい。他の多くのタイプの界面も使用可能である。ここでは制御され
た劈開プロセスが使用できる。アプリケーションによっては、他のタイプの劈開
手法も使用できる。
【0047】 本発明はまた、従来のプロセスを超えた多くの利点および/または利益をもた
らす。例えば本発明は、幾つかの実施形態で多孔質シリコンまたはマスクされた
領域の使用を実質的に無くすことができる。したがって本装置層は、従来の層よ
りも高品質になるであろう。更に本発明は、概して転位などの無い高品質の劈開
層の上に形成される、より高品質のエピタキシャル層を用意する。他の実施形態
で本劈開層は、単結晶合金と適合し混和する、汚染の無いプロセスを含んでいる
。ここで本プロセスは、停止層、劈開層、装置層、またはこれらの任意の組合せ
を形成するためのインサイチュー(原位置)プロセスを考慮したクラスタツール
(マルチチャンバー)システムを使用することによって実施することができる。
更に本劈開層は、後続の処理の下でも安定(例えば熱的に)であり、実施される
酸化といった高温ステップ(例えば摂氏400℃より高い、あるいは摂氏500
℃より高い)も可能にしている。更に低ドーズ量の打込み種を使用することは、
より高い生産性(例えば2倍、3倍、あるいは5倍以上)と、より低い装置層損
傷とをもたらす。ドーズ量/打込み深さのプロセスのトレードオフはまた概して
、劈開層と装置層とが打込みのピークとエンドオブレンジ(EOR:範囲の端)
の損傷部から物理的に分離されることを可能にするが、これは、もしあれば熱処
理による装置層の損傷を防止する。本発明はまた、劈開面での分離後に、劈開層
が従来のエッチング化学薬品を使用して選択エッチングにより劈開層材料を除去
することを可能にするプロセスを提供する。実施形態によっては、これらの利益
の一つ以上が存在することもある。
【0048】 上記は概して特定の基板に関して説明してきたが、多くの別の基板もあり得る
。これらの基板には中でも、ガリウム砒素、石英、炭化シリコンが含まれ得る。
使用される基板のタイプがアプリケーションに依存することは無論である。
【0049】 実施例 本発明の原理と動作とを証明するために、ある実験が行われた。この実験で我
々は、8インチのバルクCZウェーハを使用した。これらのウェーハは、Mit
subishiSilicon America(三菱シリコンアメリカ)から
の主要な低ホウ素濃度ウェーハであった。これらのウェーハは、通常のSC1お
よびSC2洗浄を使用して洗浄した。次に、これらのウェーハに液体の小滴が付
かないように通常のスピン・リンス乾燥を使用してウェーハを乾燥した。各ウェ
ーハをエピタキシャルシリコン反応器内に装填した。この反応器は、アリゾナ州
、フェニックスのASM International製のツールであったが、
このような反応器に限定されることはない。このウェーハに対して摂氏約1,1
00度の高温ベークを行った。このベークは、元々の酸化物を除去してウェーハ
の面を清浄にした。ベークに続いて、堆積プロセスを実施し、約2,000オン
グストロームのエピタキシャルシリコンを堆積した。このような堆積は、通常の
仕方でシランガスと水素ガスの組合せによって行った。
【0050】 次に本方法は、エピタキシャルシリコンの上にシリコンゲルマニウムの堆積を
行った。このシリコンゲルマニウムは、ウェーハが留まっている、エピタキシャ
ルシリコンと同じチャンバー内に導入された。使用したガスは、ゲルマン(Ge
)ガスとシランガスとを含んでいた。このシリコンゲルマニウムは、約30
%のゲルマニウムと約70%のシリコンであった。ゲルマニウムの他の濃度も使
用可能である。ゲルマンガスとシランガスの導入中、水素ガスの導入は続けられ
た。このような導入は、エピタキシャルシリコン層の表面の汚染の可能性を防止
するためにウェーハをチャンバーの外に取り出せない、その原位置のままで行わ
れた。ここで、停止層と劈開層の連続成長プロセスが行われた。シリコンゲルマ
ニウムは、ミスフィット(格子不整合)その他の構造的欠陥を防止する温度で成
長した。このような温度は、摂氏約700度以下である。この実験で劈開層の厚
さは、約200オングストロームであった。
【0051】 次に本方法は、シリコンゲルマニウム層の上にエピタキシャルシリコンの堆積
を行った。この場合、シランガスと水素ガスのチャンバーへの導入は続けられた
が、ゲルマンガスのチャンバーへの導入は止められた。堆積速度を向上させるた
めに、より高い流量のこれらのガスを導入することもできたであろう。エピタキ
シャルシリコン層は、2200オングストロームの厚さに成長した。
【0052】 一旦、堆積プロセスが完了すると、ウェーハに打込みが行われた。任意に、エ
ピタキシャルシリコンの表面は酸化できるが、この場合、約1000オングスト
ロームの熱酸化層が成長する。打込みプロセスは、水素打込み装置で行われた。
この打込み装置は、通常のVarian打込み装置であったが、このような装置
に限定されることはない。水素は、約3×1016原子/cmのドーズ量と約
22keVのエネルギーで導入された。水素は、シリコンゲルマニウム層内に応
力を増加させると信じられている。最近の幾つかの実験では、約22keVのエ
ネルギーでの8×1015原子/cmのドーズ量が劈開することも示された。
より低いドーズ量は、より厚い劈開層の使用によって実現できる。
【0053】 それから基板の打込みされた表面は、ハンドル・ウェーハに結合された。この
場合、これらの基板の各々の面は、酸素プラズマを使用してプラズマ活性化され
た。次にこれらの面は、本劈開方法の実施中、分離しない適当な結合を形成する
ように、向かい合わせにして互いに結合された。結合は、この結合を高める、摂
氏350度、2時間の熱処理プロセスを使用して遂行された。熱処理プロセスは
、劈開面に沿ったガスの微小気泡または微小空洞の形成より低い温度で行い、こ
の温度を維持した。更にこのような処理プロセスは、結晶再整列(例えばブリス
タリング(膨れ生成))より低い、表面形態変化より低い、または打込みされた
材料の分離より低い温度で行われた。このようなブリスタリング(膨れ生成)の
高温は望ましくない膜の品質問題等を起こすことが分かった。事実、いかなる打
込みエネルギーでも3.5×1016原子/cm未満のドーズ量、またいかな
る熱処理温度・時間の下でも約4.4×1016原子/cm未満のドーズ量で
は、ブリスター(膨れ生成)プロセスはもはや、機能しないことが一般に理解さ
れている。
【0054】 打込みプロファイルの一実施例が図16に示されており、これは本発明の一実
施形態による実験結果の単純化された図1300である。この図は、単なる一実
施例である。他に多くの変形、修正、代替があり得るであろう。この図は、縦軸
に沿って濃度(原子数/立方センチメートル)をプロットしており、横軸は深さ
である。このような深さは、装置層の上表面から劈開層を通って基板まで延びて
いる。図示のようにこの図は、最大濃度1307を有する水素イオンのプロット
1301を示している。シリコンゲルマニウムである劈開層1305も示されて
いる。劈開に際してこの劈開層は、参照数字1309によって示された劈開面と
して確定された領域で装置層を基板から分離する。このような領域は、劈開を容
易にする界面応力を増加させる水素を蓄積する。劈開は、引例によってここに組
み入れられている米国特許第 号(18419−004800)に記載され
たような劈開装置で発生した。一旦、劈開が二つの基板を互いに分離したところ
で、この劈開プロセスは終了した。残留した劈開層(すなわちシリコンゲルマニ
ウム)はいずれも、エピタキシャルシリコンである停止層から選択的に除去され
た。
【0055】 図17および図18は、劈開された膜の表面粗さの顕微鏡的グラフである。図
17を参照すると、SmartCut(商標)で知られた従来のブリスター(膨
れ生成)分離プロセスの表面粗さが実施された。このような従来プロセスは、基
板に打ち込まれる高いドーズ量の水素(約22keVで、>6×1016原子/
cm)を使用する。基板は、結合され、それから高温で処理される。高い温度
は、最終的に基板から装置層をブリスター(膨れ)させる大きな気泡(マクロバ
ブル)に変わる微小気泡(マイクロバブル)を発生させる。このような高濃度と
高温が使われるので、表面粗さはしばしば、80オングストロームRMS以上と
なる。対照的に、制御された劈開プロセスを使用する本プロセスは、シリコンゲ
ルマニウム劈開層を使う。本プロセスは、従来のプロセスより遙かに平滑な表面
を与える。ここで我々は、10〜20オングストロームRMSの表面粗さ値を測
定した。劈開層を剥離した後で、表面粗さは、約4〜6オングストロームRMS
であった。したがって本プロセスは、劈開における実質的に異なる物理的メカニ
ズムによって、従来プロセスより遙かに平滑な膜を提供する。本実験は、ここに
述べた本発明の態様の多くを明らかにしている。しかしながらこの実験は、本書
の特許請求の範囲を不当に限定することを意図していない。当業者は、多くの変
形と代替と修正とを認めるであろう。
【0056】 上記は、幾つかの特定の実施形態の詳細説明であるが、種々の修正と代替構成
と同等手段とを使用することもできる。したがって上記の説明と例示図は、付属
の請求項によって定義される本発明の範囲を限定するものと取るべきではない。
【図面の簡単な説明】
【図1〜15】 本発明の実施形態による方法の単純化された図である。
【図16〜18】 本発明の実施形態による実験結果の単純化された図である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,BZ,C A,CH,CN,CR,CU,CZ,DE,DK,DM ,DZ,EE,ES,FI,GB,GD,GE,GH, GM,HR,HU,ID,IL,IN,IS,JP,K E,KG,KP,KR,KZ,LC,LK,LR,LS ,LT,LU,LV,MA,MD,MG,MK,MN, MW,MX,MZ,NO,NZ,PL,PT,RO,R U,SD,SE,SG,SI,SK,SL,TJ,TM ,TR,TT,TZ,UA,UG,US,UZ,VN, YU,ZA,ZW (72)発明者 エン・ウィリアム・ジー アメリカ合衆国 カリフォルニア 95035 ミルピタス アレグザンター ウェイ 495

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 ドナー基板を用意するステップと、 劈開面を含み、シリコンゲルマニウムを含む劈開層を前記ドナー基板上に形成
    するステップと、 前記劈開層上にエピタキシャルシリコンを含む装置層を形成するステップと、 前記劈開面に応力を加えるために、前記劈開層内で前記劈開面に沿って微小気
    泡または微小空洞を実質的に形成しない仕方で前記劈開層に粒子を導入するステ
    ップと、 前記劈開面の近傍の領域に前記粒子のより高濃度の領域を形成するように前記
    劈開層内で前記粒子の一部を再分布させるステップであって、前記劈開層内で前
    記劈開面に沿って微小気泡または微小空洞を実質的に形成しない仕方で実施され
    る前記再分布させるステップと、 制御された仕方で前記劈開層の一部から前記装置層を除去する制御された劈開
    動作を生成するために印加される選択されたエネルギーを、前記装置層を劈開す
    るように前記ドナー基板に与えるステップとをを含む、基板を形成する方法。
  2. 【請求項2】 前記粒子を導入した後に前記ドナー基板の前記装置層をハン
    ドル・ウェーハに結合するステップを更に含む、請求項1に記載の方法。
  3. 【請求項3】 前記劈開層内の前記シリコンゲルマニウムは、前記シリコン
    ゲルマニウム合金内に少なくとも10%ゲルマニウム含量を含む、請求項1に記
    載の方法。
  4. 【請求項4】 前記基板は前記劈開層の基底をなす停止層を含む、請求項1
    に記載の方法。
  5. 【請求項5】 前記装置層の劈開された表面は、約20オングストロームR
    MS未満の表面粗さを含む、請求項1に記載の方法。
  6. 【請求項6】 前記粒子は、水素イオン、重水素イオン、ヘリウムイオン、
    またはその他軽原子質量種から選択される、請求項1に記載の方法。
  7. 【請求項7】 前記停止層は前記基板の上に在る第1の停止層と、前記第1
    の停止層の上に在る第1のシリコンゲルマニウム層と、前記シリコンゲルマニウ
    ム層の上に在る第2の停止層とを含む、請求項4に記載の方法。
  8. 【請求項8】 前記劈開層は粒子収集領域と劈開領域とを含む、請求項1に
    記載の方法。
  9. 【請求項9】 前記劈開層は、傾斜した濃度の前記シリコンゲルマニウムを
    含む、請求項1に記載の方法。
  10. 【請求項10】 前記傾斜した濃度は、前記装置層付近でより大きく、前記
    ドナー基板に向かって減少する、請求項9に記載の方法。
  11. 【請求項11】 ドナー基板とその上の劈開層とその上の装置層とからなり
    、前記劈開層はその界面の一つの近傍に相対的最大濃度の粒子を含む複合基板。
  12. 【請求項12】 前記劈開層内にずらせて確定された劈開面を前記劈開層内
    に更に含む、請求項11に記載の基板。
  13. 【請求項13】 前記装置層はエピタキシャルシリコン材料を含む、請求項
    11に記載の基板。
  14. 【請求項14】 前記ドナー基板は前記劈開層の基底をなす停止層を含む、
    請求項11に記載の基板。
  15. 【請求項15】 前記劈開層はシリコンゲルマニウム材料を含む、請求項1
    1に記載の基板。
  16. 【請求項16】 前記粒子は、水素、重水素、ヘリウム、その他軽原子質量
    種から選択される、請求項11に記載の基板。
  17. 【請求項17】 前記最大ドーズ量は約1015原子/cmである、請求
    項11に記載の基板。
  18. 【請求項18】 前記最大ドーズ量は約1016原子/cm未満であるこ
    とを特徴とする、請求項11に記載の基板。
  19. 【請求項19】 ドナー基板を用意するステップと、 劈開面を含み、シリコンゲルマニウムからなる劈開層を前記ドナー基板上に形
    成するステップと、 前記劈開層上にエピタキシャルシリコンからなる装置層を形成するステップと
    、 前記劈開面に応力を加えるために、前記劈開層内で前記劈開面に沿って微小気
    泡または微小空洞を実質的に形成しない仕方で前記劈開層に粒子を導入するステ
    ップと、 前記劈開層上にハンドル基板を結合するステップと、 前記劈開面の近傍の領域により高濃度の前記粒子を形成するように前記劈開層
    内で前記粒子の一部を再分布させるステップであって、前記劈開層内で前記劈開
    面に沿って微小気泡または微小空洞を実質的に形成しない仕方で実施される前記
    再分布させるステップと、 前記ドナー基板から前記装置層を有する前記ハンドル層を分離するための制御
    された仕方で前記劈開層の一部から前記装置層を除去する制御された劈開動作を
    生成するために印加される選択されたエネルギーを、前記劈開面で前記装置層を
    劈開するように前記ドナー基板に提供するステップとを含む、多層基板を形成す
    る方法。
  20. 【請求項20】 前記劈開層がシリコンゲルマニウム層であって前記装置層
    がエピタキシャルシリコン層である、請求項19に記載の方法。
  21. 【請求項21】 ドナー基板を提供するステップと、 劈開面を含み、シリコンゲルマニウムを含む劈開層を前記ドナー基板上に形成
    するステップと、 前記劈開層上にエピタキシャルシリコンを含む装置層を形成するステップと、 前記劈開面に応力を加えるために、水素ガス、ヘリウムガス、その他微小気泡
    または微小空洞を形成するいかなる種も含まないように取り出された種から選択
    された粒子を前記劈開層に導入するステップと、 前記ドナー基板の前記劈開面で前記ドナー基板から前記装置層を分離するステ
    ップとを含む、基板を形成する方法。
  22. 【請求項22】 前記ドナー基板の前記装置層をハンドル基板に結合するス
    テップを更に含む、請求項21に記載の方法。
  23. 【請求項23】 前記分離するステップは前記ハンドル基板が含む前記装置
    層を前記ドナー基板から除去する、請求項22に記載の方法。
JP2001515663A 1999-08-10 2000-08-10 低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス Pending JP2003506883A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US37095999A 1999-08-10 1999-08-10
US09/370,959 1999-08-10
PCT/US2000/022245 WO2001011930A2 (en) 1999-08-10 2000-08-10 A cleaving process to fabricate multilayered substrates using low implantation doses

Publications (1)

Publication Number Publication Date
JP2003506883A true JP2003506883A (ja) 2003-02-18

Family

ID=23461909

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001515663A Pending JP2003506883A (ja) 1999-08-10 2000-08-10 低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス

Country Status (5)

Country Link
US (3) US7056808B2 (ja)
EP (2) EP1212787B1 (ja)
JP (1) JP2003506883A (ja)
AU (1) AU6905000A (ja)
WO (1) WO2001011930A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007507859A (ja) * 2004-01-29 2007-03-29 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ 半導体層の分離方法
JP2007526644A (ja) * 2004-03-05 2007-09-13 エス オー イ テク シリコン オン インシュレータ テクノロジース 採取薄膜の品質改善熱処理方法
JP2009094490A (ja) * 2007-09-21 2009-04-30 Semiconductor Energy Lab Co Ltd Soi基板の作製方法
KR100910687B1 (ko) * 2004-03-05 2009-08-04 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 스마트 컷 분리 후 열처리
JP2009529800A (ja) * 2006-03-13 2009-08-20 エス.オー.アイ.テック シリコン オン インシュレータ テクノロジーズ エピタキシによって支持基板上に得られる、非晶質材料の少なくとも1層の薄層を備える構造を製作する方法、およびその方法により得られた構造
JP2010103488A (ja) * 2008-08-28 2010-05-06 Silicon Genesis Corp 制御伝搬を利用する膜のレイヤトランスファ

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
JP2000012864A (ja) * 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
FR2821697B1 (fr) * 2001-03-02 2004-06-25 Commissariat Energie Atomique Procede de fabrication de couches minces sur un support specifique et une application
JP2002305293A (ja) 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
US6804502B2 (en) 2001-10-10 2004-10-12 Peregrine Semiconductor Corporation Switch circuit and method of switching radio frequency signals
FR2830983B1 (fr) 2001-10-11 2004-05-14 Commissariat Energie Atomique Procede de fabrication de couches minces contenant des microcomposants
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
FR2842349B1 (fr) * 2002-07-09 2005-02-18 Transfert d'une couche mince a partir d'une plaquette comprenant une couche tampon
US7018910B2 (en) 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
FR2843826B1 (fr) * 2002-08-26 2006-12-22 Recyclage d'une plaquette comprenant une couche tampon, apres y avoir preleve une couche mince
FR2843827B1 (fr) * 2002-08-26 2005-05-27 Recyclage mecanique d'une plaquette comprenant une couche tampon, apres y avoir preleve une couche mince
JP2005537685A (ja) 2002-08-26 2005-12-08 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ 緩衝層を含むウェハから層を取り除いた後のウェハの機械的リサイクル
US7008857B2 (en) 2002-08-26 2006-03-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Recycling a wafer comprising a buffer layer, after having separated a thin layer therefrom
EP1532677B1 (en) * 2002-08-26 2011-08-03 S.O.I.Tec Silicon on Insulator Technologies Recycling a wafer comprising a buffer layer, after having taken off a thin layer therefrom
US7176108B2 (en) 2002-11-07 2007-02-13 Soitec Silicon On Insulator Method of detaching a thin film at moderate temperature after co-implantation
FR2848336B1 (fr) 2002-12-09 2005-10-28 Commissariat Energie Atomique Procede de realisation d'une structure contrainte destinee a etre dissociee
FR2856844B1 (fr) 2003-06-24 2006-02-17 Commissariat Energie Atomique Circuit integre sur puce de hautes performances
FR2857953B1 (fr) 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
JP4405201B2 (ja) * 2003-07-29 2010-01-27 独立行政法人科学技術振興機構 二次元パターニング方法ならびにそれを用いた電子デバイスの作製方法
DE10336271B4 (de) * 2003-08-07 2008-02-07 Siltronic Ag Siliciumscheibe und Verfahren zu deren Herstellung
US6767802B1 (en) * 2003-09-19 2004-07-27 Sharp Laboratories Of America, Inc. Methods of making relaxed silicon-germanium on insulator via layer transfer
FR2861497B1 (fr) 2003-10-28 2006-02-10 Soitec Silicon On Insulator Procede de transfert catastrophique d'une couche fine apres co-implantation
US7935613B2 (en) * 2003-12-16 2011-05-03 International Business Machines Corporation Three-dimensional silicon on oxide device isolation
US7772087B2 (en) 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
US7282449B2 (en) * 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
KR100842848B1 (ko) 2004-03-05 2008-07-02 에스.오.아이. 테크 실리콘 온 인슐레이터 테크놀로지스 반도체 층의 열처리 방법
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
EP1605504B1 (en) * 2004-06-10 2011-05-25 S.O.I. Tec Silicon on Insulator Technologies S.A. Method for manufacturing a SOI wafer
EP3570374B1 (en) 2004-06-23 2022-04-20 pSemi Corporation Integrated rf front end
US7229901B2 (en) * 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
FR2886051B1 (fr) 2005-05-20 2007-08-10 Commissariat Energie Atomique Procede de detachement d'un film mince
US9653601B2 (en) 2005-07-11 2017-05-16 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction
US7890891B2 (en) 2005-07-11 2011-02-15 Peregrine Semiconductor Corporation Method and apparatus improving gate oxide reliability by controlling accumulated charge
US8742502B2 (en) 2005-07-11 2014-06-03 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction
US20080076371A1 (en) 2005-07-11 2008-03-27 Alexander Dribinsky Circuit and method for controlling charge injection in radio frequency switches
USRE48965E1 (en) 2005-07-11 2022-03-08 Psemi Corporation Method and apparatus improving gate oxide reliability by controlling accumulated charge
US7910993B2 (en) 2005-07-11 2011-03-22 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of MOSFET's using an accumulated charge sink
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
FR2889887B1 (fr) 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
FR2899378B1 (fr) 2006-03-29 2008-06-27 Commissariat Energie Atomique Procede de detachement d'un film mince par fusion de precipites
US7790565B2 (en) * 2006-04-21 2010-09-07 Corning Incorporated Semiconductor on glass insulator made using improved thinning process
US7777290B2 (en) * 2006-06-13 2010-08-17 Wisconsin Alumni Research Foundation PIN diodes for photodetection and high-speed, high-resolution image sensing
US7960218B2 (en) * 2006-09-08 2011-06-14 Wisconsin Alumni Research Foundation Method for fabricating high-speed thin-film transistors
US8124499B2 (en) 2006-11-06 2012-02-28 Silicon Genesis Corporation Method and structure for thick layer transfer using a linear accelerator
US20080128641A1 (en) * 2006-11-08 2008-06-05 Silicon Genesis Corporation Apparatus and method for introducing particles using a radio frequency quadrupole linear accelerator for semiconductor materials
JP5249511B2 (ja) * 2006-11-22 2013-07-31 信越化学工業株式会社 Soq基板およびsoq基板の製造方法
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US7910458B2 (en) 2007-01-29 2011-03-22 Silicon Genesis Corporation Method and structure using selected implant angles using a linear accelerator process for manufacture of free standing films of materials
JP2008244435A (ja) * 2007-01-29 2008-10-09 Silicon Genesis Corp 選択された注入角度を用いて線形加速器工程を使用した材料の自立膜の製造方法および構造
US20080303033A1 (en) * 2007-06-05 2008-12-11 Cree, Inc. Formation of nitride-based optoelectronic and electronic device structures on lattice-matched substrates
US7781308B2 (en) * 2007-12-03 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
FR2925221B1 (fr) 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
EP3958468B1 (en) 2008-02-28 2024-01-31 pSemi Corporation Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device
US8329260B2 (en) * 2008-03-11 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
US8309432B2 (en) * 2008-05-30 2012-11-13 Alta Devices, Inc. Epitaxial lift off stack having a universally shrunk handle and methods thereof
US8602707B2 (en) * 2008-05-30 2013-12-10 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US8324031B2 (en) * 2008-06-24 2012-12-04 Globalfoundries Singapore Pte. Ltd. Diffusion barrier and method of formation thereof
SG160302A1 (en) * 2008-09-29 2010-04-29 Semiconductor Energy Lab Method for manufacturing semiconductor substrate
KR20110069852A (ko) * 2008-10-10 2011-06-23 알타 디바이씨즈, 인크. 연속적인 공급 화학 기상 증착
CN102177572A (zh) * 2008-10-10 2011-09-07 奥塔装置公司 用于外延剥离的台面蚀刻方法和组成
JP2010114409A (ja) * 2008-10-10 2010-05-20 Sony Corp Soi基板とその製造方法、固体撮像装置とその製造方法、および撮像装置
WO2010077616A2 (en) * 2008-12-08 2010-07-08 Alta Devices, Inc. Multiple stack deposition for epitaxial lift off
WO2010078022A2 (en) 2008-12-17 2010-07-08 Alta Devices, Inc. Tape-based epitaxial lift off apparatuses and methods
EP2206808B1 (en) * 2008-12-23 2017-07-12 Imec Method for manufacturing a mono-crystalline semiconductor layer on a substrate
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
CN102414837B (zh) 2009-02-27 2016-04-20 奥塔装置公司 用于沉积和外延剥离过程的平铺衬底
US8723260B1 (en) 2009-03-12 2014-05-13 Rf Micro Devices, Inc. Semiconductor radio frequency switch with body contact
US8048773B2 (en) * 2009-03-24 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US8703521B2 (en) * 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
US8802477B2 (en) * 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince
SG176602A1 (en) * 2009-06-24 2012-01-30 Semiconductor Energy Lab Method for reprocessing semiconductor substrate and method for manufacturing soi substrate
US8148237B2 (en) 2009-08-07 2012-04-03 Varian Semiconductor Equipment Associates, Inc. Pressurized treatment of substrates to enhance cleaving process
US8318588B2 (en) * 2009-08-25 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate
SG178179A1 (en) * 2009-10-09 2012-03-29 Semiconductor Energy Lab Reprocessing method of semiconductor substrate, manufacturing method of reprocessed semiconductor substrate, and manufacturing method of soi substrate
US9834860B2 (en) * 2009-10-14 2017-12-05 Alta Devices, Inc. Method of high growth rate deposition for group III/V materials
US11393683B2 (en) 2009-10-14 2022-07-19 Utica Leaseco, Llc Methods for high growth rate deposition for forming different cells on a wafer
WO2012054642A1 (en) 2010-10-20 2012-04-26 Peregrine Semiconductor Corporation Method and apparatus for use in improving linearity of mosfets using an accumulated charge sink - harmonic wrinkle reduction
US8845859B2 (en) 2011-03-15 2014-09-30 Sunedison Semiconductor Limited (Uen201334164H) Systems and methods for cleaving a bonded wafer pair
US9123529B2 (en) 2011-06-21 2015-09-01 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate
JP6167108B2 (ja) 2011-10-31 2017-07-19 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッドMemc Electronic Materials,Incorporated 結合ウェハ構造体を劈開させるための固定装置及び劈開方法
US20140157939A1 (en) 2011-12-09 2014-06-12 Grand Rapids Controls Company, Llc Release mechanism
US8936961B2 (en) * 2012-05-26 2015-01-20 International Business Machines Corporation Removal of stressor layer from a spalled layer and method of making a bifacial solar cell using the same
US8829967B2 (en) 2012-06-27 2014-09-09 Triquint Semiconductor, Inc. Body-contacted partially depleted silicon on insulator transistor
US8729952B2 (en) 2012-08-16 2014-05-20 Triquint Semiconductor, Inc. Switching device with non-negative biasing
US9590674B2 (en) 2012-12-14 2017-03-07 Peregrine Semiconductor Corporation Semiconductor devices with switchable ground-body connection
US8847672B2 (en) 2013-01-15 2014-09-30 Triquint Semiconductor, Inc. Switching device with resistive divider
US9214932B2 (en) 2013-02-11 2015-12-15 Triquint Semiconductor, Inc. Body-biased switching device
US8923782B1 (en) 2013-02-20 2014-12-30 Triquint Semiconductor, Inc. Switching device with diode-biased field-effect transistor (FET)
US8977217B1 (en) 2013-02-20 2015-03-10 Triquint Semiconductor, Inc. Switching device with negative bias circuit
US9203396B1 (en) 2013-02-22 2015-12-01 Triquint Semiconductor, Inc. Radio frequency switch device with source-follower
US9040432B2 (en) * 2013-02-22 2015-05-26 International Business Machines Corporation Method for facilitating crack initiation during controlled substrate spalling
US20150236748A1 (en) 2013-03-14 2015-08-20 Peregrine Semiconductor Corporation Devices and Methods for Duplexer Loss Reduction
US9064789B2 (en) * 2013-08-12 2015-06-23 International Business Machines Corporation Bonded epitaxial oxide structures for compound semiconductor on silicon substrates
US9406695B2 (en) 2013-11-20 2016-08-02 Peregrine Semiconductor Corporation Circuit and method for improving ESD tolerance and switching speed
US9379698B2 (en) 2014-02-04 2016-06-28 Triquint Semiconductor, Inc. Field effect transistor switching circuit
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
CN205752158U (zh) 2015-01-09 2016-11-30 硅源公司 集成电路装置
US9263626B1 (en) * 2015-01-29 2016-02-16 International Business Machines Corporation Crystalline thin film photovoltaic cell
US9831857B2 (en) 2015-03-11 2017-11-28 Peregrine Semiconductor Corporation Power splitter with programmable output phase shift
JP6970109B2 (ja) 2016-03-08 2021-11-24 アリゾナ・ボード・オブ・リージェンツ・オン・ビハーフ・オブ・アリゾナ・ステイト・ユニバーシティーArizona Board of Regents on behalf of Arizona State University 半導体ウェハ成形のための音響促進の亀裂伝播
US9948281B2 (en) 2016-09-02 2018-04-17 Peregrine Semiconductor Corporation Positive logic digitally tunable capacitor
CN107910327B (zh) * 2017-11-07 2024-05-14 长鑫存储技术有限公司 电容器阵列结构及其制造方法
US10505530B2 (en) 2018-03-28 2019-12-10 Psemi Corporation Positive logic switch with selectable DC blocking circuit
US10236872B1 (en) 2018-03-28 2019-03-19 Psemi Corporation AC coupling modules for bias ladders
US10886911B2 (en) 2018-03-28 2021-01-05 Psemi Corporation Stacked FET switch bias ladders
US11476849B2 (en) 2020-01-06 2022-10-18 Psemi Corporation High power positive logic switch
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1275192A (en) 1917-10-18 1918-08-13 Henry F Alexis Automobile-leaf-spring oiler.
US1412743A (en) 1921-10-01 1922-04-11 Jacob K Herfjord Spring oiler
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
US3117022A (en) 1960-09-06 1964-01-07 Space Technhology Lab Inc Deposition arrangement
US3085454A (en) 1961-11-16 1963-04-16 Stero Chemical Mfg Co Pressurized device for uncorking bottles
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
FR2245779B1 (ja) 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4053335A (en) 1976-04-02 1977-10-11 International Business Machines Corporation Method of gettering using backside polycrystalline silicon
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2475068B1 (fr) 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
GB2071411B (en) 1980-03-07 1983-12-21 Philips Electronic Associated Passivating p-n junction devices
EP0506146A2 (en) 1980-04-10 1992-09-30 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
JPS6124240A (ja) 1984-07-13 1986-02-01 Toshiba Corp 半導体基板
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (nl) 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
US4706377A (en) 1986-01-30 1987-11-17 United Technologies Corporation Passivation of gallium arsenide by nitrogen implantation
US4689985A (en) 1986-03-05 1987-09-01 The Babcock & Wilcox Company Calibration impact hammer
JPS62208638A (ja) 1986-03-07 1987-09-12 Toshiba Corp 半導体装置の製造方法
JPS62219636A (ja) 1986-03-20 1987-09-26 Hitachi Ltd 半導体装置
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
DE3803424C2 (de) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
US5203960A (en) 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
DE4114108C1 (ja) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JP2575545B2 (ja) 1990-07-05 1997-01-29 株式会社東芝 半導体装置の製造方法
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5528397A (en) * 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
DE4100526A1 (de) 1991-01-10 1992-07-16 Wacker Chemitronic Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben
CA2060956C (en) * 1991-02-12 2000-04-11 Jesse N. Matossian Evaluation of the extent of wear of articles
JPH04266047A (ja) * 1991-02-20 1992-09-22 Fujitsu Ltd 埋め込み層形成に相当するsoi型半導体装置の製造方法及び半導体装置
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
JPH04359518A (ja) 1991-06-06 1992-12-11 Nec Corp 半導体装置の製造方法
JPH05308107A (ja) * 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (ja) * 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 半導体基板
US5827751A (en) * 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
EP1251556B1 (en) * 1992-01-30 2010-03-24 Canon Kabushiki Kaisha Process for producing semiconductor substrate
JP3261685B2 (ja) * 1992-01-31 2002-03-04 キヤノン株式会社 半導体素子基体及びその作製方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) * 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (ja) * 1992-08-25 2001-07-23 キヤノン株式会社 半導体基板及びその作製方法
JP3266163B2 (ja) * 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
FR2714524B1 (fr) * 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
JP3352340B2 (ja) 1995-10-06 2002-12-03 キヤノン株式会社 半導体基体とその製造方法
US5480842A (en) * 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
WO1996015550A1 (en) * 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
JP3265493B2 (ja) * 1994-11-24 2002-03-11 ソニー株式会社 Soi基板の製造方法
US5504328A (en) * 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) * 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
US5603779A (en) * 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5863831A (en) * 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
FR2738671B1 (fr) * 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
KR0165467B1 (ko) * 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
FR2748851B1 (fr) * 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
FR2748850B1 (fr) * 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
US5710057A (en) * 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
FR2752332B1 (fr) * 1996-08-12 1998-09-11 Commissariat Energie Atomique Dispositif de decollement de plaquettes et procede de mise en oeuvre de ce dispositif
US5753560A (en) * 1996-10-31 1998-05-19 Motorola, Inc. Method for fabricating a semiconductor device using lateral gettering
SG65697A1 (en) * 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
JP3257624B2 (ja) * 1996-11-15 2002-02-18 キヤノン株式会社 半導体部材の製造方法
FR2756847B1 (fr) * 1996-12-09 1999-01-08 Commissariat Energie Atomique Procede de separation d'au moins deux elements d'une structure en contact entre eux par implantation ionique
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
AU7685198A (en) * 1997-05-12 1998-12-08 Silicon Genesis Corporation A controlled cleavage process
US6159825A (en) * 1997-05-12 2000-12-12 Silicon Genesis Corporation Controlled cleavage thin film separation process using a reusable substrate
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5920764A (en) * 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
JPH11195775A (ja) * 1997-12-26 1999-07-21 Sony Corp 半導体基板および薄膜半導体素子およびそれらの製造方法ならびに陽極化成装置
US6083324A (en) * 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) * 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007507859A (ja) * 2004-01-29 2007-03-29 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ 半導体層の分離方法
JP2007526644A (ja) * 2004-03-05 2007-09-13 エス オー イ テク シリコン オン インシュレータ テクノロジース 採取薄膜の品質改善熱処理方法
KR100910687B1 (ko) * 2004-03-05 2009-08-04 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 스마트 컷 분리 후 열처리
JP2009529800A (ja) * 2006-03-13 2009-08-20 エス.オー.アイ.テック シリコン オン インシュレータ テクノロジーズ エピタキシによって支持基板上に得られる、非晶質材料の少なくとも1層の薄層を備える構造を製作する方法、およびその方法により得られた構造
JP2009094490A (ja) * 2007-09-21 2009-04-30 Semiconductor Energy Lab Co Ltd Soi基板の作製方法
JP2010103488A (ja) * 2008-08-28 2010-05-06 Silicon Genesis Corp 制御伝搬を利用する膜のレイヤトランスファ

Also Published As

Publication number Publication date
US7378330B2 (en) 2008-05-27
WO2001011930A9 (en) 2001-09-20
WO2001011930A3 (en) 2001-08-30
US20030124815A1 (en) 2003-07-03
EP1212787A4 (en) 2003-07-16
EP1212787A2 (en) 2002-06-12
AU6905000A (en) 2001-03-05
WO2001011930A2 (en) 2001-02-15
US20060166472A1 (en) 2006-07-27
US7056808B2 (en) 2006-06-06
EP1939932A1 (en) 2008-07-02
US20080206963A1 (en) 2008-08-28
EP1212787B1 (en) 2014-10-08

Similar Documents

Publication Publication Date Title
JP2003506883A (ja) 低打ち込みドーズ量を用いて多層基板を製造するための劈開プロセス
US6500732B1 (en) Cleaving process to fabricate multilayered substrates using low implantation doses
US6558802B1 (en) Silicon-on-silicon hybrid wafer assembly
US6054363A (en) Method of manufacturing semiconductor article
US5876497A (en) Fabrication process and fabrication apparatus of SOI substrate
US6103599A (en) Planarizing technique for multilayered substrates
US10475694B2 (en) Handle substrate for use in manufacture of semiconductor-on-insulator structure and method of manufacturing thereof
KR100996539B1 (ko) 산소 종을 제거하기 위해 열 처리를 이용하여 접합된 기판 구조물을 제조하는 방법 및 구조
US20030008477A1 (en) Smoothing method for cleaved films made using a release layer
US20010016402A1 (en) Smoothing method for cleaved films made using thermal treatment
KR100279756B1 (ko) 반도체 물품의 제조방법
US20080064182A1 (en) Process for high temperature layer transfer
JPH05211128A (ja) 薄い半導体材料フィルムの製造方法
SG186853A1 (en) Methods for in-situ passivation of silicon-on-insulator wafers
WO2007127074A2 (en) Semiconductor on glass insulator made using improved thinning process
WO2000063965A1 (en) Treatment method of cleaved film for the manufacture of substrates
TWI450366B (zh) Semiconductor substrate manufacturing method
KR101384845B1 (ko) 반도체 기판의 제조 방법
EP3803961B1 (en) Method for transfer of a thin layer of silicon
JPH10200079A (ja) 半導体部材の製造方法および半導体部材
JP2002118242A (ja) 半導体部材の製造方法
WO2008088559A1 (en) Method and structure for cleaning surfaces for bonding layer transfer substrates
JP5364345B2 (ja) Soi基板の作製方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070808

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110621

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110928

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111017

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120207