TW201417172A - 用以改良控制之利用直流電輔助射頻功率的半導體處理 - Google Patents

用以改良控制之利用直流電輔助射頻功率的半導體處理 Download PDF

Info

Publication number
TW201417172A
TW201417172A TW102127133A TW102127133A TW201417172A TW 201417172 A TW201417172 A TW 201417172A TW 102127133 A TW102127133 A TW 102127133A TW 102127133 A TW102127133 A TW 102127133A TW 201417172 A TW201417172 A TW 201417172A
Authority
TW
Taiwan
Prior art keywords
power supply
upper cover
plasma
gate electrode
cover assembly
Prior art date
Application number
TW102127133A
Other languages
English (en)
Other versions
TWI594319B (zh
Inventor
Jang-Gyoo Yang
xing-long Chen
Soo-Nam Park
Jong-Hoon Baek
Saurabh Garg
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201417172A publication Critical patent/TW201417172A/zh
Application granted granted Critical
Publication of TWI594319B publication Critical patent/TWI594319B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5093Coaxial electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Particle Accelerators (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

所揭露之半導體處理系統包括一處理腔室。該處理腔室包括一上蓋組件、柵電極、傳導性插件,以及接地電極。每一構件係耦接於一或多個電源供應器,該等電源供應器可運作以於該處理腔室內產生一電漿。每一構件係經由置放複數個絕緣構件而電氣隔離。該一或多個電源供應器係利用切換機制而電氣耦接於該處理腔室。開關係可切換以使該一或多個電源供應器電氣耦接至該處理腔室的構件。

Description

用以改良控制之利用直流電輔助射頻功率的半導體處理 【相關發明相互參照】
此申請案主張在2012年8月2日申請之美國臨時申請案第61/678,964號(名稱為「Semiconductor Processing With DC Assisted RF Power for Improved Control」)的優先權,該文件的整體揭露內容係基於所有目的而藉由引用形式併入本文。
本案技術是與半導體處理和設備有關。更具體而言,本案技術是與處理系統電漿構件有關。
積體電路可藉由會在基板表面上產生錯綜複雜的圖案化材料層之處理所製成。於一基板上產生圖案化材料需要受控制的方法以進行暴露材料的移除。化學蝕刻係為各種目的而被使用,包括將光阻劑中的圖案轉移到下層層體中,薄化層體,或薄化已經存在於表面上之特徵結構的側向維度。通常需要使蝕刻處理能蝕刻一種材料比蝕刻另一種材料更快,以增進例如圖案轉移處理。此一蝕刻處理即稱為對第一種材料有選擇性。因為材料、電路和處理的多樣性,蝕刻處 理係已經發展為對各種材料具有選擇性。
在局部電漿(形成於基板處理區域內)中產生的乾式蝕刻可穿透更多受限的溝槽並呈現出較少的細緻剩餘結構變形。然而,當積體電路技術持續減少尺寸時,輸送前驅物的設備會影響所使用之前驅物和電漿物種的均勻度和品質,且電漿的形成和輪廓也會影響薄膜沉積與蝕刻的品質。
因此,需要改良的系統構件,這些系統構件可被有效地用於電漿環境,以提供電漿與電漿特性之改良控制。本案技術係處理這些和其他需求。
所說明之半導體處理系統包括一處理腔室,該處理腔室包括一上蓋組件、柵電極、傳導性插件與接地電極。每一個構件係耦接於一或多個電源供應器,該等電源供應器係可運作以於該處理腔室中產生電漿。每一個構件係經由置放複數個絕緣構件而電氣隔離。該一或多個電源供應器係利用切換機制而電氣耦接於處理腔室。開關係可切換以使該一或多個電源供應器電氣耦接至處理腔室的構件。
一種例示的處理系統包括一處理腔室,該處理腔室包括一上蓋組件,該上蓋組件限定一前驅物入口,前驅物物種係透過該前驅物入口而輸送。該腔室也包括一接地電極與配置在該上蓋組件和該接地電極之間的一柵電極,並於該柵電極和該上蓋組件之間的腔室內限定一第一電漿區域,以及於該柵電極和該接地電極之間的腔室內限定一第二電漿區域。該腔室也包括一傳導性插件,該傳導性插件係配置在該 上蓋組件與該柵電極之間、在該第一電漿區域的一週邊處。該腔室進一步包括一絕緣構件,該絕緣構件係置放以使該柵電極自該傳導性插件電氣隔離。該處理系統也包括電氣耦接於該上蓋組件之一第一電源供應器,以及電氣耦接於該上蓋組件、該柵電極或該傳導性插件中至少其一的一第二電源供應器。
一開關(例如一第一開關)係電氣耦接於該第二電源供應器。該開關係可切換以使該第二電源供應器可電氣耦接於該上蓋組件、該柵電極或該傳導性插件中之一者,還可電氣耦接該處理腔室的其他傳導性部分。該處理系統可另外包括一第二開關,該第二開關係可切換以電氣耦接該上蓋組件、該接地電極或該柵電極中的至少兩者,使得施加至所耦接結構中其一的電位將可被施加至所耦接的兩個結構。該處理系統可具有第一開關,該第一開關係切換以使該第二電源供應器電氣耦接於該傳導性插件。該第二開關也可切換以電氣耦接該柵電極與該接地電極。
此外,該第二電源供應器係配置以輸送一負電壓至該傳導性插件,且該第一電源供應器係配置以於電子通量被引導至該柵電極的該第一電漿區域中引發一電漿。該第二電源供應器也配置以輸送一正電壓至該傳導性插件,且該第一電源供應器係配置以於離子通量被引導至該柵電極的該第一電漿區域中引發一電漿。該第一開關亦切換以使該第二電源供應器電氣耦接於該上蓋組件,使得該第一電源供應器與該第二電源供應器兩者都電氣耦接於該上蓋組件。該第二開關 也可切換以電氣耦接該柵電極與該接地電極。該第二電源供應器也可配置以對該上蓋組件提供固定電壓,且該第一電源供應器係配置以對該上蓋組件提供脈衝式頻率功率。
該處理系統也可配置為該第一開關可切換以使該第 二電源供應器電氣耦接於該上蓋組件,使得該第一電源供應器與該第二電源供應器兩者都電氣耦接於該上蓋組件;且第二開關係切換以電氣耦接該柵電極和該上蓋組件。第二電源供應器亦配置以對該上蓋組件提供固定電壓,且該第一電源供應器係配置以對該上蓋組件提供脈衝式頻率功率。同時,第一開關係切換以使該第二電源供應器電氣耦接於該柵電極。在另一配置中,該第二電源供應器係配置以對該柵電極提供固定電壓,而該第一電源供應器係配置以對該上蓋組件提供脈衝式頻率功率。在所揭示的具體實施例中,該第一電源供應器係一RF電源供應器,且該第二電源供應器係一DC電源供應器。
本文也揭露一種用於在一半導體處理腔室中產生一 電漿的方法,且該方法包括使一第一電源供應器耦接於該處理腔室上蓋組件,以於該處理腔室內形成一電漿。該方法也包括使一第二電源供應器耦接於該處理腔室,並以該第二電源供應器調整該電漿。所述調整包括各種操作,包括以該第二電源供應器施加一負電壓以增加電子通量。同樣的,所述調整包括以該第二電源供應器施加一正電壓以增加離子通量。
該方法包括使該第二電源供應器電氣耦接於一開 關,該開關係可切換地耦接於該處理腔室的多個傳導性區段。在一實例中,該第二電源供應器係切換為耦接於該上蓋組件。該方法包括操作該第一電源供應器,使得該第一電源供應器對該上蓋組件提供脈衝功率。該方法也包括利用一RF電源供應器作為該第一電源供應器,並利用一DC電源供應器作為該第二電源供應器。
相較於傳統設備,本案技術提供了數種益處。舉例 而言,可調整式電漿可增加對處理操作中使用之電漿輪廓的控制。除此之外,藉由調整離子/電子通量輪廓,即可於正在進行處理時原位調整蝕刻操作。結合下述說明與如附圖式,這些與其他具體實施例、以及具體實施例的許多優點和特徵係於下文中詳細說明。
100‧‧‧處理系統
105‧‧‧處理腔室
110‧‧‧流體供應系統
115‧‧‧上蓋/上蓋組件/熱電極
120‧‧‧柵電極
122‧‧‧孔洞
125‧‧‧接地電極/上蓋間隔物
130‧‧‧絕緣器
135‧‧‧電漿激發區域
140‧‧‧氣體入口
145‧‧‧遠端電漿系統
150‧‧‧氣體供應區域
155‧‧‧RF產生器
160‧‧‧反應區域
165‧‧‧台座
200‧‧‧處理系統
205‧‧‧腔室
210‧‧‧前驅物入口
215‧‧‧上蓋組件
220‧‧‧柵電極
225‧‧‧接地電極
230‧‧‧絕緣區段
235‧‧‧傳導性插件
240a-240c‧‧‧絕緣構件
245‧‧‧第一電漿區域
250‧‧‧第二電漿區域
255、260‧‧‧電源供應器
265、270‧‧‧開關
300‧‧‧處理系統
305‧‧‧腔室
310‧‧‧前驅物入口
315‧‧‧上蓋組件
320‧‧‧柵電極
325‧‧‧接地電極
335‧‧‧傳導性插件
340a-340c‧‧‧絕緣構件
345‧‧‧電漿區域
355、360‧‧‧電源供應器
365、370‧‧‧開關
400‧‧‧處理系統
405‧‧‧腔室
410‧‧‧前驅物入口
415‧‧‧上蓋組件
420‧‧‧柵電極
425‧‧‧接地電極
435‧‧‧傳導性插件
440a-440c‧‧‧絕緣構件
445‧‧‧電漿區域
455、460‧‧‧電源供應器
465、470‧‧‧開關
500‧‧‧處理系統
505‧‧‧腔室
510‧‧‧前驅物入口
515‧‧‧上蓋組件
520‧‧‧柵電極
525‧‧‧接地電極
535‧‧‧傳導性插件
540a-540c‧‧‧絕緣構件
555、560‧‧‧電源供應器
565、570‧‧‧開關
600‧‧‧處理系統
605‧‧‧腔室
610‧‧‧前驅物入口
615‧‧‧上蓋組件
620‧‧‧柵電極
625‧‧‧接地電極
635‧‧‧傳導性插件
640a-640c‧‧‧絕緣構件
645‧‧‧電漿區域
655、660‧‧‧電源供應器
665、670‧‧‧開關
710-730‧‧‧操作步驟
參照說明書的剩餘部分及圖式,即可進一步理解本案技術的本質和優點。
第1圖說明根據本案技術之具體實施例的一處理系統的簡化截面圖。
第2圖說明根據本案技術之具體實施例的一處理腔室組件的簡化截面圖。
第3圖說明根據本案技術之具體實施例的一處理系統的簡化截面圖,其中該處理系統具有電漿產生構件。
第4圖說明根據本案技術之具體實施例的另一處理系統的簡化截面圖,其中該另一處理系統具有電漿產生構件。
第5圖說明根據本案技術之具體實施例的另一處理 系統的簡化截面圖,其中該另一處理系統具有電漿產生構件。
第6圖說明根據本案技術之具體實施例的另一處理系統的簡化截面圖,其中該另一處理系統具有電漿產生構件。
第7圖說明根據本案技術之具體實施例的一種用於調整電漿的例示方法的操作步驟。
在如附圖式中,類似的構件及/或特徵具有相同的元件符號。此外,相同類型的各種構件係藉由在元件符號之後有一個可區分該些類似構件及/或特徵的字母來加以區分。如果在說明書中僅使用第一元件符號,則說明內容係可應用至任何一個具有相同的第一元件符號的類似構件,不論後綴字母為何。
系統與方法係描述以於一半導體處理腔室內部產生及/或控制電漿。電漿可起源於處理腔室內部、一遠端電漿單元中的處理腔室外部、或兩者。在腔室內部,在使用電氣耦接於處理腔室的構件(即作為電極的構件)之電源供應器下,電漿係受限制並與一基板晶圓分隔。在某些實例中,該等構件也作用為一氣體/前驅物分配系統的部分,且包含一抑制器及/或噴淋頭,以及該處理腔室的其他構件。在其他實例中,構件可作用以於一電漿產生區域和一氣體反應區域之間定義一隔離物,其中該氣體反應區域可用以蝕刻及/或於基板晶圓的暴露表面上沉積材料。
本案技術包括在一半導體處理腔室內之電漿調制的改良功率和控制方案。傳統的電漿產生僅僅以受限的調整提 供一內部電漿,但本案提出的技術可經由電漿操縱而允許蝕刻化學物質的改良控制與調制。藉由這麼做,可依需要而為各種操作條件調整蝕刻深度、輪廓與選擇性。
例示的處理系統配置包括一或多個電源供應器,該 等電源供應器可使用作為一電容耦合電漿(CCP)系統的一部分。在某些具體實施例中,一RF電源供應器可電氣耦接於該處理腔室的一部分,而一DC電源供應器可耦接於該處理腔室的相同或一不同部分。該DC電源供應器可使處理腔室內的電漿在RF電源供應器的循環期間得以維持,連同所產生之電漿的操縱。操縱包括調整導向基板晶圓或腔室柱的特定蝕刻化學物質,以及所使用之離子的功能能力。
第1圖說明根據本案技術之一處理系統100的簡化 截面圖。該處理系統視需要地包括位於處理腔室105外部的構件,例如流體供應系統110。處理腔室105保持一個不同於周圍壓力的內部壓力。舉例而言,在處理期間,處理腔室內部的壓力約為10mTorr至約20Torr。
CCP系統可由數個處理腔室構件所組成,且可作用 以於處理腔室105內部產生一電漿。CCP系統的構件可包括由數個構件所組成的一上蓋組件或熱電極115,包括一氣體盒、一阻擋器,以及一面板。這些構件可直接或間接地機械耦接以作用為一單一電極。CCP系統也包括一柵電極120,該柵電極120同樣由一或多個腔室構件所組成。舉例而言,柵電極120可由一離子抑制器或阻擋器及/或一岐管或噴淋頭所組成,以向基板晶圓輸送前驅物。同樣的,該等構件可彼此 電氣耦接以作用為一單一電極。CCP系統也包括一接地電極125,該接地電極125包括一或多個腔室構件(包括一上蓋間隔物)。
在某些具體實施例中,上蓋115和柵電極120為導 電電極,該等導電電極可彼此電氣偏壓,以產生一個夠強而足以使各電極之間的氣體離子化為電漿的電場。一電氣絕緣器130可分隔上蓋115和柵電極120,以避免在產生電漿時造成電極短路。電氣絕緣器130可包括多個材料層,如下文關於第二圖之具體實施例所更詳細說明者,且可替代地包括其他電極層。上蓋115的電漿暴露表面、絕緣器130,和柵電極120可於處理腔室105中定義一電漿激發區域135。
電漿產生氣體可從一氣體供應系統110移動通過一 氣體入口140而進入電漿激發區域135。電漿產生氣體可用以撞擊激發區域135中的電漿,或可維持已經形成的電漿。在某些具體實施例中,電漿產生氣體在向下游移動通過入口140而至電漿激發區域135之前,可在位於處理腔室105外部的一遠端電漿系統145中已經至少部分轉化為電漿激發物種。 當電漿激發物種抵達電漿激發區域135時,電漿激發物種會進一步激發,並受電漿激發區域中所產生的電漿的特性影響。在某些操作步驟中,CCP系統提供的增加激發程度會根據基板處理順序及/或條件而隨著時間而改變。
電漿產生氣體及/或電漿激發物種會通過上蓋115中 的複數個孔洞(未圖示)以更均勻地輸送至電漿激發區域135中。例示配置包括使入口140開放至一氣體供應區域150中 (其中該氣體供應區域150可藉由上蓋115而與電漿激發區域135分隔),使得氣體/物種流經上蓋115中的孔洞而至電漿激發區域135中。可選擇結構性與操作性特徵來避免電漿從電漿激發區域135顯著回流返回供應區域150、入口140和流體供應系統110中。結構性特徵包括上蓋115中之孔洞的維度尺寸和截面幾何形狀的選擇,使回流電漿去活化。操作性特徵包括維持氣體供應區域150和電漿激發區域135之間的壓力差,該壓力差可維持電漿單方向流動通過柵電極120。
如上所述,上蓋115和柵電極120可分別作用為一第一電極與第二電極,因此上蓋115及/或柵電極120可接受一電荷。在這些配置中,電力(例如RF功率)可被施加至上蓋115、柵電極120、或兩者。在一實例中,電力被施加至上蓋115,而柵電極120可接地。基板處理系統100可包括一RF產生器155,該RF產生器155提供電力至上蓋115及/或腔室105的一或多個其他構件。帶有電荷的上蓋115會促進電漿激發區域135中的電漿均勻分佈,亦即減少侷限化的電漿。為能使電漿在電漿激發區域135中形成,絕緣器130可電氣絕緣上蓋115和柵電極120。絕緣器130可由陶瓷製成,且具有高崩潰電壓以避免放電。在下文將更詳細說明的其他具體實施例中,絕緣器130包括可進一步用以影響所產生的電漿並可包含其他電極材料的數個構件。CCP系統也包括如下文將進一步說明的一DC電源供應器系統以調變在腔室內部的RF產生之電漿。CCP系統可進一步包括一冷卻單元(未 圖示),該冷卻單元包括一或多個冷卻流體通道,以利用一循環冷卻劑(例如水)來冷卻暴露於電漿的表面。該冷卻單元可包括耦接於腔室105壁部外部的護封,以及在腔室壁部內部內所定義之通道,該等通道可使一溫度控制流體循環。
柵電極120包括複數個孔洞122,這些孔洞122抑 制帶離子電荷物種遷移出電漿激發區域135,同時使未帶電荷的中性或自由基物種通過柵電極120。未帶電荷的物種可包括利用較低反應性之載氣而被傳送通過孔洞122的高反應性物種。如上所述,會減少、且在某些實例中甚至完全抑制離子物種之遷移通過孔洞122。控制通過柵電極120的離子物種量可提供對於與下方晶圓基板接觸的氣體混合物之增加控制,續而增加沉積及/或氣體混合物的蝕刻特性的控制。舉例而言,氣體混合物的離子濃度的調整可顯著調整其蝕刻選擇性(例如SiOx:SiNx蝕刻比例、多晶矽(Poly-Si):SiOx蝕刻比例等),同時移動一沉積之介電質材料的共形性對可流動性的平衡。這些特徵將於下文中更詳細說明。
複數個孔洞122可配置以控制活化氣體(亦即離子 性、自由基、及/或中性物種)通過柵電極120。舉例而言,孔洞122的徑長比(亦即,孔洞直徑對長度的比值)及/或孔洞122的幾何形狀可受控制,因此可減少通過柵電極120的活化氣體中帶有離子電荷的物種的流量。在柵電極包括一電氣耦接之離子抑制器與噴淋頭的具體實施例中,離子阻擋器中的孔洞(可配置在噴淋頭上方)可具有面向電漿激發區域135的一漸縮部分以及面向該噴淋頭的一圓柱部分。圓柱部分 之形狀和大小可形成以控制通至噴淋頭的離子物種流量。也對柵電極120施加一可調整電氣偏壓,作為用以控制通過電極之離子物種流量的另一種方式。
根據是進行一沉積或一蝕刻處理,氣體與電漿激發 物種可通過柵電極120,且被引導至基板。噴淋頭(柵電極中所包含的一個構件)可進一步引導氣體或電漿物種的流動。 噴淋頭可為一雙區噴流頭,其包括多個流體通道以引導一或多種氣體的流動。該雙區噴淋頭可具有一第一通道組以使電漿激發物種流進反應區域160中,該雙區噴淋頭也具有一第二通道組,該第二通道組將一第二氣體/前驅物混合物輸送至該反應區域160中。
一流體輸送來源可耦接於該噴淋頭以輸送一前驅 物,該前驅物可分流電漿激發區域135並從噴淋頭內部經由第二組通道而進入反應區160。噴淋頭中的該第二組通道可流體耦接於一來源氣體/前驅物混合物(未圖示),該來源氣體/前驅物混合物可針對欲執行的處理而加以選擇。舉例而言,當該處理系統可配置以於基板表面上進行蝕刻,該來源氣體/前驅物混合物可包括蝕刻劑,例如氧化劑、鹵素、水蒸氣及/或載氣,這些蝕刻劑可在反應區域160中與從噴淋頭中的第一組通道所分佈的電漿激發物種混合。電漿激發物種中的過剩離子會在物種移動通過柵電極120中的孔洞122時減少,並進一步在物種移動通過噴淋頭中的通道時減少。
該處理系統仍進一步包括一台座165,該台座165可運作以支撐和移動基板或晶圓。台座165和柵電極120底 部之間的距離有助於定義反應區域160,連同定義反應區域160的週邊之上蓋間隔物125。台座165可於處理腔室105內垂直或軸向調整,以增加或減少反應區域160,並藉由使晶圓基板相對於通過柵電極120的氣體之重新定位而進行晶圓基板的沉積或蝕刻。台座165具有一熱交換通道,一熱交換流體可流經該熱交換通道以控制晶圓基板的溫度。熱交換流體的循環可使基板溫度可維持在相對低的溫度(例如大約-20℃至約90℃)。例示的熱交換流體包括乙二醇和水。
台座165亦配置有一加熱元件,例如一電阻加熱元件,以使基板維持在加熱溫度(例如約90℃至約1100℃)。例示的加熱元件可包括內嵌在基板支撐盤中的一單迴圈加熱器元件,該單迴圈加熱器元件以平行同心圓的形式產生兩次或更多次全轉。加熱器元件的一外部部分可於鄰近支撐盤的週邊而運作,而一內部部分則在具有較小半徑的一同心圓的路徑上運作。對該加熱器元件的佈線可通過台座的莖幹部。
在2011年10月3日所申請的美國專利申請案第13/251,714號中更完整說明了雙區噴淋頭、以及處理系統與腔室,該申請案可基於所有目的而藉由引用形式、在沒有與本案所主張特徵和說明內容不一致的程度下併入本文。
第2圖說明了根據本案技術的具體實施例之處理腔室組件的簡化截面示意圖,該示意圖說明了用於半導體製造處理之一部分的處理腔室205。腔室205可類似於第1圖中所示之處理腔室105。腔室205可包括一上蓋組件215,其在某些方面可相當於第1圖所示之上蓋組件115。舉例而言,上蓋 組件215可定義前驅物入口210,一前驅物物種可輸送通過該前驅物入口210。一遠端電漿單元(未圖示)可流體耦接於該前驅物入口210,以將自由基前驅物物種提供至處理腔室205中。電漿單元所提供的自由基前驅物可與處理腔室205內的其他氣體混合,這些其他氣體可經由交替的通道(未圖示)而分別地流至腔室205中。
處理腔室205可包括一柵電極220,該柵電極220 包括一或多個電氣耦接之腔室構件。柵電極220可包括一或多個岐管,且可包括一離子阻擋器、以及一噴淋頭(例如前述之雙區噴淋頭)。該處理腔室205可包括一接地電極225,該接地電極可由其他腔室205構件所組成。舉例而言,接地電極225係一上蓋間隔物或處理腔室205的其他壁部構件。 接地電極係可替代地包含對一基板台座(未圖示)之一接地連接,但這並非為本案技術之具體實施例所必須。
處理腔室205可包含與第1圖所示之絕緣器130類 似的一絕緣區段230。然而,如在處理腔室205中所說明者,絕緣區段230包括多數個構件。處理腔室205可包括一傳導性插件235,該傳導性插件235是配置在上蓋組件215和柵電極220之間。傳導性插件235係一單件之傳導材料,該傳導性插件235具有環形形狀且配置作為該腔室205壁部的一部分。
在處理腔室205中可另外包括有複數個絕緣構件以 電氣隔離該等腔室構件。舉例而言,絕緣構件240a-240c可位於每一個傳導性構件和腔室外殼的電極之間。絕緣構件240 可具一單一環形形狀,或由數個區段組成,該數個區段在組合時可於腔室構件之間提供一電氣阻障。舉例而言,絕緣構件可阻擋電荷的流動,且含有具高介電強度的材料。例示的絕緣構件包括陶瓷板,陶瓷板可為環形且配置在構件(包含傳導性插件235)上方和下方。多層的絕緣構件可置放在腔室205中,以進一步隔離腔室的構件。該複數個絕緣構件中至少其一可置放為使傳導性插件235與柵電極220電氣隔離。該等絕緣構件可另外使傳導性插件235和柵電極220與上蓋組件215和接地電極225隔離。以此方式,每一個傳導性區段皆與處理腔室205的任一其他傳導性區段電氣隔離。
腔室構件可於處理腔室內定義電漿區域。一第一電 漿區域245可由上蓋組件215和柵電極220至少部分定義於上與下方。傳導性插件是沿著第一電漿區域245的週邊而配置。一第二電漿區域250可由柵電極220至少部分定義於上方。該第二電漿區域250可由一基板台座(未圖示)至少部分定義於下方,其中該基板台座係可垂直移動、可軸向移動、或兩者皆可,以定義第二電漿區域250存在的區域。接地電極225可沿著第二電漿區域250的週邊而配置,或可用以產生一邊界,該邊界可至少部分定義第二電漿區域250的下界。
電源供應器255、260以及視需要配置的電氣開關265、270可耦接於處理腔室205,以產生具電漿控制之一處理系統200。該等視需要之開關可用以電氣耦接系統的構件。舉例而言,電氣開關265可電氣耦接於電源供應器260,或是耦接於電源供應器255和260,或是耦接於電源供應器255, 或是耦接於任一電源供應器,而一另一開關(未圖示)可用以電氣耦接另一電源供應器。在另外一個替代方式中,開關可經分通以使每一個電源供應器255、260直接耦接至部分的處理腔室。電源供應器255、260可為半導體製造中可用的任何電源供應形式,且可包括RF電源供應器和DC電源供應器等。視需要之開關270可用以電氣耦接處理腔室205的構件。 舉例而言,開關270係可切換以電氣耦接上蓋組件215、傳導性插件235、柵電極220和接地電極225中的任兩個或更多者。可替代地,視需要之開關270可經分通以直接電氣耦接該處理腔室205的一或多個構件。開關265、270可提供額外的功能,使得可在處理操作期間的任何時間點調整所產生之電漿類型以及電漿的位置。視需要之開關265、270以及電源供應器255、260可另外包括遠端控制,以操縱該等構件的設定,如下文將進一步說明者。
第3圖說明了根據本案技術之具體實施例、具有電漿產生構件的一處理系統300的簡化截面示意圖。處理系統300的腔室305可包含與先前針對第2圖所說明的類似構件。腔室305可定義一氣體入口310,反應性前驅物物種可從例如一遠端電漿單元(未圖示)而輸送通過該氣體入口310。腔室305包括一上蓋組件315、傳導性插件335、柵電極320和接地電極325,以及其他的腔室構件。上蓋構件315、傳導性插件335、柵電極320、以及接地電極325可藉由複數個絕緣構件340a-340c中的一或多者而與其他列出的構件電氣隔離。系統300可另外包含電源供應器355、360,並使電源供應器電 氣耦接至腔室、或使腔室中的構件彼此電氣耦接之視需要的開關365、370。
如第3圖所示,電源供應器355可電氣耦接於上蓋 組件315。在某些具體實施例中,電源供應器355係一RF電源供應器。當在運作時,電源供應器對上蓋組件315提供一電荷,該上蓋組件315可作用為電漿產生之一熱電極。柵電極320可耦接於接地電極325,藉此定義一電漿區域345,於該電漿區域345中產生電漿,或於該電漿區域345中調整電漿條件。柵電極320可直接耦接於接地電極325、或者是利用絕緣構件340c而電氣隔離。絕緣可藉由電氣耦接柵電極320和接地電極325而加以克服。利用一傳導性裝置來電氣耦接該等電極,且一例示系統可使用視需要配置之開關370,該開關370係可切換以電氣耦接構件。
RF電源供應器355可配置以根據所執行的處理而對 上蓋組件315輸送一可調整大小量的功率。例示的電源供應器可配置以於0至約3kW之功率範圍內輸送一可調整大小量之功率。例如在沉積處理中,輸送至上蓋組件315的功率可經調整以設定沉積層的共形性。所沉積的介電薄膜一般在較低電漿功率下係更可流動,且當電漿功率增加時即從可流動轉換為共形性。舉例而言,當電漿功率從約1000瓦降低至約100瓦或更低(例如約900、700、500、300、200、100、50、25瓦、或更低)時,在電漿激發區域345中所維持的一含氬電漿可產生一更可流動的矽氧化物層,而當電漿功率從約1000瓦增加至更高(例如約1200、1500、1800、2000、2200、 2400、2600、2800瓦或更高)時則為一較呈共形性的層體。隨著電漿功率從低向高增加,自一可流動至共形性沉積薄膜的轉變就相對為平順和連續,或進展通過相對離散的臨界點。電漿功率(無論是單獨、或是在其他沉積參數以外)可經調整以於所沉積薄膜的共形性和可流動性性質之間選擇一平衡。就蝕刻處理而言,使功率從3kW向下降低至低於1kW或更低係可影響待蝕刻材料的移除速率,並可降低所沉積之薄膜被移除的速率。使功率從1kW向上增加至3kW係可產生較高的沉積薄膜移除速率。
或者是,就例示蝕刻處理而言,可調整RF功率的頻率和工作週期以調整薄膜的化學物質和通量特性。發明人也已經確認了RF電源供應器的某些頻率會對腔室構件(例如柵電極)產生衝擊。可使用各種頻率,且在RF電源供應器355的連續運作期間,可使用高達約400kHz、介於約400kHz至約13.56MHz之間、介於約13.56MHz至約60MHz之間、以及高於60MHz的頻率。在某些運作期間,增加頻率係可更有效率的產生蝕刻劑自由基,以及可減少對腔室構件所產生的損害。
在電源供應器355的運作期間也可使用電源供應器360,且在某些具體實施例中,電源供應器360係一DC電源供應器。例示的DC電源供應器可配置以在約500V至約-500V之間進行可調整的控制。可替代的,電源供應器360可配置以於約400V至約-400V之間、約300V至約-300V之間、約200V至約-200V之間、約100V至約-100V之間等、或 更低進行可調整的控制。電源供應器360可直接耦接於腔室305的構件,或利用可切換以使電源供應器360電氣耦接至腔室305的構件之開關365而電氣耦接至構件。在一具體實施例中,DC電源供應器360可電氣耦接於傳導性插件335。
RF電源供應器355與DC電源供應器360可一起運 作、交替運作、或以某些其他組合方式運作。在一例示運作中,如第3圖所示,RF電源供應器355可於約0kW與約3000kW之間連續運作,以維持電漿反應區域345中的電漿。DC電源供應器360可為未使用或關閉、可為連續地運作、或是可在運作期間呈脈衝式。DC功率的輔助可對正被蝕刻的材料產生作用。舉例而言,若DC偏壓是正的,則有較多來自電漿的離子通量會移動至柵電極、接著至基板晶圓。若DC偏壓是負的,則有較多的電子通量被引導至柵電極。藉由調整偏壓以及DC電源供應器360的功率,即可調制電漿的蝕刻特性。 因此,根據基板的特性、或被蝕刻的薄膜的特性,可調整蝕刻劑的化學物質以產生最佳的蝕刻結果。舉例而言,被調整以增加離子或電子通量的蝕刻劑化學物質越多,則有越多的蝕刻劑流體可被選擇朝向一或多個沉積薄膜。藉由結合DC電源供應器360與RF電源供應器355,即可得到比僅單獨為RF電源供應器更多的化學物質調制。利用提高的蝕刻劑化學物質調制,即可得到增進的蝕刻選擇性,也會為更敏感的沉積薄膜移除提供增進的能力。具移除速率之選擇性可使較少的材料被整體移除,這可允許一開始就沉積較少的材料。
由第3圖所說明之一替代運作方式包括RF電源供 應器355之脈衝化、以及DC電源供應器360的連續或脈衝式運作。RF電源供應器355可配置以從低達約1000kHz或更高的連續波提供RF脈衝之連續可調整調制。可替代地,可從連續波至約0.1kHz、或者是約0.1kHz、1kHz、10kHz、100kHz、1000kHz或更高之離散增量來調整RF。在另外的具體實施例中,RF電源供應器355係可脈衝化為任何各類數值或所列數值之間的任何數值範圍。
可替代地、或同時地調制工作週期。為RF電源供 應器調整工作週期或主動狀態的時間係可影響電漿中所產生的離子通量。舉例而言,當發生工作週期降低時,即會產生離子電流的相對或實質相稱減少。在蝕刻處理期間,藉由減少離子電流,即可成比例地降低蝕刻速率。然而,當一RF電源供應器循環時,RF電源供應器可於循環時間中切換開啟與關閉。當RF功率循環為關閉,則所產生的電漿便散失。因此,工作週期降低量傳統上係已受到使電漿保持在一特定等級的時間所限制。然而,發明人已經確認利用例如上述配置,DC電源供應器360係可藉由在RF功率關閉的週期中保持離子和電子移動而調整化學物質。此外,藉由在RF功率關閉的週期中具有一特定大小之DC功率,即可藉由DC功率來至少部分維持電漿。在此方式中,電漿在RF功率為循環關閉時便不完全散失。這允許化學物質的進一步調整,因為蝕刻劑化學物質可在RF功率從開啟切換為關閉時調整。藉由在RF電源供應器355的某些或全部的開啟及/或關閉時間中使用DC電源供應器360,可進一步調制蝕刻劑化學物質。因此,RF功率 可進一步調制為具有可接受的減少之工作週期。因此RF功率的工作週期在運作期間可從約100%運作至約0%、低於或約75%、低於或約50%、或低於或約25%。或者是,工作週期可以約90%、80%、75%、70%、60%、50%、40%、30%、25%、20%、15%、10%、5%、或更低運作。在另外的替代配置中,電源供應器可配置以具有所列出的任何週期百分比或其間、以及任何所列週期範圍中之一可調整的工作週期。RF電源供應器的脈衝可藉由減少的及更有選擇性的移除而允許進一步調整蝕刻參數。舉例而言,當正移除大量的材料時,可使用連續供應的RF功率。在另一方面,當需要移除少量材料或更具選擇性的移除時,則使用RF脈衝。RF脈衝係可移除低於100奈米的材料量,或者是少於或約50奈米、30奈米、22奈米、15奈米、或更少,包括少達單層沉積薄膜。
第4圖說明根據本案技術之具體實施例、具有電漿 產生構件的另一種處理系統400的簡化截面示意圖。處理系統400的腔室405可包括與先前關於第2圖所說明者類似之構件。腔室405可定義一氣體入口410,反應性前驅物物種可從例如一遠端電漿單元(未圖示)而輸送通過該氣體入口410。腔室405可包括一上蓋組件415、傳導性插件435、柵電極420、與接地電極425,以及其他的腔室構件。上蓋組件415、傳導性插件435、柵電極420、以及接地電極425中的其一或多者可藉由複數個絕緣構件440a-440c中的一或多個而與其他所列構件電氣隔離。系統400可另外包括電源供應器455、460,以及使電源供應器電氣耦接至腔室、或使腔室 中的構件彼此電氣耦接之視需要而設的開關465、470。
如第4圖所示,電源供應器455可電氣耦接於上蓋組件415。在某些具體實施例中,電源供應器455是一RF電源供應器。當在運作中時,電源供應器455可對上蓋組件415提供電荷,該上蓋組件415可作為一熱電極以供電漿產生。柵電極420可耦接於接地電極425,藉此定義一電漿區域445,在該電漿區域445中有電漿產生、或可調整電漿條件。柵電極420可直接耦接於接地電極425、或者是與絕緣構件440c電氣隔離。藉由電氣耦接柵電極420和接地電極425係可克服絕緣。利用一種傳導裝置來電氣耦接電極,且一例示系統可使用視需要之開關470來電氣耦接構件。RF電源供應器455可配置為可以先前所述之任何參數調整。
電源供應器460也於電源供應器455的運作期間使用,且在某些具體實施例中為一DC電源供應器。例示的DC電源供應器可配置為可利用先前所述的任何參數進行可調整控制。DC電源供應器460可以連續或脈衝形式運作,如先前所述。如第4圖所示,DC電源供應器460可與RF電源供應器455一起耦接至上蓋組件415。電源供應器460可直接耦接於上蓋組件415或RF電源供應器455的電氣耦接,或是利用開關465而電氣耦接至上蓋組件415或RF電源供應器455的電氣耦接,其中該開關465係可切換以使DC電源供應器460耦接至腔室405的構件。
在運作時,RF電源供應器455和DC電源供應器460可如第4圖所述方式運作,以經由一種另外的電漿調制形式 來提供前驅物物種的另外的化學物質調制。自由基前驅物物種可從流體耦接至氣體入口410的一遠端電漿系統(未圖示)輸送至處理腔室405。RF電源供應器455可以連續波或呈脈衝而運作,以對上蓋組件415輸送調制功率。當連接所述配置時,電源供應器455可於一電漿區域455中產生一電漿。 上蓋組件415(作為熱電極)係一主要電漿產生區域。如前所述,以此方式之傳統運作係因電漿區域445中的電漿特性而限制了RF電源供應器455的工作週期調制。然而,在所述之例示配置中,DC電源供應器460可運作以輔助RF電源供應器455來維持電漿區域445中的電漿作用。當RF電源供應器455循環為關閉時,DC電源供應器460即可保持某些電漿。
在一實例中,DC電源供應器460可以連續形式運 作,而RF電源供應器455可呈脈衝式。DC電源供應器460在電性耦接於上蓋組件415及連續地運作時,係可於RF電源供應器455所產生的電漿區域445中維持電漿。因此,RF電源供應器係可調制為開啟與關閉,而連續運作的電源供應器460可於關閉週期中維持電漿。因此,RF電源供應器455可調制為具有減少之工作週期。舉例而言,在運作期間,RF功率的工作週期可從約100%運作至約0%、低於或大約75%、低於或大約50%、或是低於或大約25%。或者是,工作週期可以約90%、80%、75%、70%、60%、50%、40%、30%、25%、20%、15%、10%、5%、或更低運作。在另外的替代配置中,電源供應器可配置以具有所列出的任何週期百分比或其間、以及任何所列週期範圍中之一可調整的工作週期。
RF電源供應器455的脈衝能力係可加以連續調製, 如先前所述者,從連續波至約1000kHz或更高。可替代地,可從連續波至約0.01kHz、或者是約0.1kHz、1kHz、10kHz、100kHz、1000kHz或更高之離散增量來調整RF。在另外的具體實施例中,RF電源供應器455係可脈衝化為任何各類數值或所列數值之間的任何數值範圍。在脈衝化時,相較於連續波運作,離子電流會減少。因為DC電源供應器有助於在RF電源供應器455的「關閉」週期中保持電漿,因而會發生進一步的脈衝調制。因此,在一蝕刻處理中即可執行減少之蝕刻,達到少於100奈米的程度。因為離子電流在蝕刻處理期間減少,因此相同的蝕刻時間即會導致一減少之蝕刻量。 因此,經由所述電源供應器調制而進一步減少離子電流會使蝕刻量小於或大約為50奈米、40奈米、30奈米、20奈米、10奈米、5奈米等、或更少。此外,降低工作週期係可因工作週期減少而藉由額外減少離子電流來進一步調整蝕刻量。 在結合時,脈衝式和減少之工作週期係可結合以提供進一步的化學物質調制和離子電流,以允許提高運作彈性。
相較於關於第3圖所述之調制,DC電源供應器係可 使用於數種方式中。當被引導朝向傳導性插件435時,DC電源供應器對離子和電子通量具有較大的衝擊,且可施用一功率級範圍之正與負偏壓,以影響被導至基板的前驅物的化學物質。可替代地,當DC電源供應器460與RF電源供應器455一起耦接於上蓋組件時,DC電源供應器460可用於電漿保持,因此離子電流即可經由RF電源供應器455而被進一步調 制。此外,藉由利用可電氣耦接於電源供應器460之開關465,DC電源465可於不同的運作期間電氣耦接於處理腔室405的不同構件。因此,根據執行的蝕刻類型、使用的前驅物類型等,DC電源供應器460可適當地耦接於腔室405,以產生對該特定運作而言最有效益的電漿輔助。此外,這些參數可於運作期間調整,使得當要移除多個沉積薄膜時,可在運作參數受有蝕刻性能變化助益的處理期間調整電源供應器460的耦接、以及電源供應器455、460兩者的調制。
第5圖說明根據本案技術之具體實施例、具有電漿 產生構件之另一處理系統500的簡化截面示意圖。處理系統500的腔室505可包括與先前關於第2圖所述之類似構件。腔室505可定義一氣體入口510,反應性前驅物物種即從例如一遠端電漿單元(未圖示)輸送通過該氣體入口510。腔室505包括一上蓋組件515、傳導性插件535、柵電極520和接地電極525、以及其他腔室構件。上蓋組件515、傳導性插件535、柵電極520、以及接地電極525可藉由複數個絕緣構件540a-540c中的一或多個而與其他所列出構件電氣隔離。系統500可另外包括電源供應器555、560,以及用於使電源供應器電氣耦接至腔室、或使腔室中的構件電氣耦接之視需要的開關565、570。
如第5圖所示,電源供應器555可電氣耦接於上蓋 組件515。在某些具體實施例中,電源供應器555係一RF電源供應器。當在運作時,電源供應器555對上蓋組件515提供電荷,該上蓋組件515可作用為一熱電極以供電漿產生之 用。柵電極520可耦接於上蓋組件515,使熱電極作用以移至柵電極520高度。因此,電漿產生可偏移低於柵電極520至電漿區域550中的晶圓高度。柵電極520可電氣耦接於上蓋組件,而一例示系統可使用視需要之開關570來電氣耦接構件。RF電源供應器555可配置以可以前述任一參數加以調整。
電源供應器560也在電源供應器555的運作期間被 使用,且在某些具體實施例中係一DC電源供應器。例示的DC電源供應器可配置為可以任何前述參數進行可調整控制。DC電源供應器560可連續運作或以脈衝形式運作,如前述所說明。如第5圖所示,DC電源供應器560可與RF電源供應器555一起電氣耦接於上蓋組件515。電源供應器560可直接耦接於上蓋組件515或RF電源供應器555的電氣耦接,或是利用開關565而電氣耦接至上蓋組件515或RF電源供應器555的電氣耦接,該開關565係可切換以使DC電源供應器560電氣耦接至腔室505的構件。
第5圖中所示之例示系統配置係可用於處理運作。 舉例而言,在已經執行一蝕刻處理之後(例如利用其中一種習知蝕刻配置),副產物即形成或保留在基板表面上。遠端電漿系統在某些情況中係比在晶圓高度處所產生的電漿效率更低。因此,藉由使用第5圖所示之系統配置,即可在基板高度處形成較有效率的電漿處理。然而,根據副產物的類型和留在表面上的量,仍可利用前述任一運作操作來使用電漿調制。因此,藉由利用先前所述的其中一或多種電源供應器配置,即可調制處理化學物質。藉由使用可切換以耦接處理 腔室505的多個構件之開關570,即可在同一腔室505中進行半導體處理的多個步驟。舉例而言,在執行了關於第4圖所示具體實施例的蝕刻運作之後,開關570可運作而使柵電極520的電氣耦接從接地電極525切換至上蓋組件515。以第5圖所示之晶圓高度電漿來執行一後續處理製程。或者是,一蝕刻運作可以如第3圖所示之具體實施例之說明而進行。在已經完成蝕刻之後,開關565可運作以使DC電源供應器560的耦接從傳導性插件535切換至上蓋組件515或RF電源供應器555電氣耦接,且開關570可運作以使柵電極520的電氣耦接從接地電極525切換至上蓋組件515。利用本文所述的配置和切換能力,許多這類選項即為可行。
第6圖說明根據本案技術之具體實施例、具有電漿 產生構件的另一處理系統600之簡化截面示意圖。處理系統600之腔室605可包括如先前參照第2圖所描述的類似構件。 腔室605可定義一氣體入口610,反應性前驅物物種可從例如一遠端電漿單元(未圖示)輸送通過該氣體入口610。腔室605可包括一上蓋組件615、傳導性插件635、柵電極620和接地電極625,以及其他腔室構件。上蓋組件615、傳導性插件635、柵電極620以及接地電極625中之一或多個可藉由複數個絕緣構件640a-640c中的一或多個而與其他所列構件電氣隔離。系統600可另外包括電源供應器655、660,以及用於使電源供應器電氣耦接至腔室、或使腔室中的構件彼此電氣耦接之視需要的開關665、670。
如第6圖所示,電源供應器655可電氣耦接於上蓋 組件615。在某些具體實施例中,電源供應器655係一RF電源供應器。在運作中時,電源供應器655對上蓋組件615提供電荷,上蓋組件615可作用為用於電漿產生之一熱電極。 相較於第3圖所述之具體實施例,柵電極620並不電氣耦接於接地電極625。因此,電源系統的接地電極可從柵電極620轉換至接地電極625。這樣一來,電漿係可於處理腔室605的一稍微較大區域中發展,包括進入及通過柵電極620。RF電源供應器655可配置為可以先前所述之任一參數加以調整。
電源供應器660在電源供應器655的運作期間也被 使用,且在某些具體實施例中係一DC電源供應器。例示的DC電源供應器可配置為可以前述任一參數進行可調整控制。DC電源供應器660可以連續式或脈衝形式運作,如先前所述,且也如前所述而電氣耦接於處理腔室605的任何構件。 在第6圖所示之例示系統中,DC電源供應器660可電氣耦接於柵電極620。電源供應器660可直接耦接於柵電極620,或是利用開關665而耦接,開關665係可切換以使DC電源供應器660電氣耦接至腔室605的構件。
在運作時,RF電源供應器655和DC電源供應器660 可以如第6圖所述般運作,以經由一額外形式的電漿調制而提供額外的前驅物物種之化學物質調制。自由基前驅物物種可從流體耦接於氣體入口610的一遠端電漿系統(未圖示)而輸送至處理腔室605。RF電源供應器655可以一連續波或呈脈衝式運作,以對上蓋組件615輸送調制功率。當連接所述配置時,電源供應器655可於電漿區域645中產生電漿。 在某些蝕刻或處理運作期間,副產物會留在先前所蝕刻的溝槽特徵結構中。藉由使電漿區域645擴張至柵電極620的高度或下方,即可完成蝕刻結構特徵內的副產物之進一步移除。擴張的電漿區域可使某些電漿物種在基板高度互相作用,並對使用於副產物移除的物種提供方向性。RF電源供應器655可經由脈衝化而調制,或可如前所述進行工作週期調制。
DC電源供應器660可運作以利用前述任何方式來 輔助RF電源供應器655。當DC電源供應器660電氣耦接於柵電極620時,DC電源供應器660可利用所產生電漿而提供額外的離子與電子通量控制。當與柵電極電氣耦接時,即可根據DC電源供應器的偏壓與功率級而經由柵電極620構件調整離子和電子通量,如上文所解釋。替代的具體實施例也使DC電源供應器660電氣耦接於傳導性插件635或上蓋組件615,如前述說明者。
轉參第7圖,第7圖係根據本案技術而說明了一種 在一處理腔室中產生電漿的方法。該腔室可與前述腔室100類似。腔室可包括一或多個電源供應器,例如電源供應器155。在操作步驟710中,一第一電源供應器可耦接於處理腔室上蓋組件。電源供應器也電氣耦接於處理腔室的其他或替代結構。在操作步驟720中,一第二電源供應器可耦接於處理腔室,並像第一電源供應器般耦接於該處理腔室的一類似或不同部分。舉例而言,第二及/第一電源供應器可耦接於一開關,該開關可切換地耦接於該處理腔室的一或多個傳導性 區段。舉例而言,該第二電源供應器係可切換地耦接於該上蓋組件、或該腔室的另一傳導部分,如先前所述者。
電漿是藉由連接第一電源供應器而於處理腔室中引 發或形成。若連接電源供應器時,耦接電源供應器的動作即會在第一電源供應器所電氣耦接之結構和位於腔室內之一接地源之間引發電漿。在操作步驟730中,第二電源供應器可用以以一或多種方式調整電漿輪廓。舉例而言,利用第二電源供應器施加一負電壓,該第二電源供應器可使較大的電子通量流經腔室,例如朝向一柵電極或處理區域。同樣的,利用第二電源供應器施加一正電壓,以增加通過腔室而朝向一電極結構或處理區域的離子通量。第一電源供應器也可以各種模式運作,舉例而言,第一電源供應器可對上蓋組件提供脈衝功率。在所揭露的具體實施例中,該第一電源供應器可包括一RF電源供應器,且該第二電源供應器可包括一DC電源供應器。
在前述說明中,基於解釋目的,係已提出各種細節 以對本案技術的各種具體實施例加以理解。然而,該領域技術人士顯然將知某些具體實施例係可在不含某些此類細節下、或在含有其他細節下實施。
既已揭露了數個具體實施例,該領域技術人士將理 解各種修飾例、替代架構與等效例皆可被使用,其皆不脫離所揭露之具體實施例的精神。此外,並沒有對許多習知處理與元件加以說明,以避免不必要地混淆本案技術。因此,上述說明不應被視為對本案技術範疇的限制。
注意個別的具體實施例係以處理來加以說明,這些 處理係以流程圖、流程圖表或方塊圖加以描述。雖然流程圖中係將方法描述為一種連續的處理,但是其中的許多操作步驟係可並行地或同時地進行。此外,操作步驟的順序是可以重新排列的。處理可在其操作步驟完成時終止,但也可具有圖式中所未說明或包含的其他步驟。此外,任一特定說明的處理中,並非所有操作步驟都在所有的具體實施例中發生。 一處理係對應於一方法、一功能、一程序、一子程序、一子程式等。
當提供一數值範圍時,應理解的是,除非上下文另 行清楚指明,否則也相當於具體揭露了該數值範圍的上限和下限之間的每一個中間值,而至該下限的單位的最小分數。 在一陳述範圍中的任何陳述數值或中間值之間的每一個較小範圍、以及在該陳述範圍中的任何其他陳述數值或中間值也被涵蓋。這些較小範圍的上限和下限係獨立地被包含於該範圍中、或被排除於該範圍外,且每一個範圍(不管這些較小範圍是否包括上限與下限中任一者、或皆不包含、或包含兩者)也都被涵蓋於本發明中,受到該陳述範圍中任何具體排除的限值。當所陳述的範圍包括限值中的一或兩者時,亦包括排除了這些包含限制中一或兩者的範圍。
在本文與如附申請專利範圍中,除非上下文中另行 清楚指明,否則所使用的單數形式「一」與「該」係包括複數形式。因此,舉例而言,當述及「一介電材料」時即包括複數個這類材料,而當述及「該應用」時即包括述及該領域 技術人士所習知的一或多個應用或等效例。
同樣的,在本說明書與下述申請專利範圍中,所使用之用語「包括」、「含有」以及「包含」意欲具體指明所述特徵、整數、構件或步驟的存在,但他們並未排除一或多個其他特徵、整數、構件、步驟、動作或群組的存在或加入。
100‧‧‧處理系統
105‧‧‧處理腔室
110‧‧‧流體供應系統
115‧‧‧上蓋/上蓋組件/熱電極
120‧‧‧柵電極
122‧‧‧孔洞
125‧‧‧接地電極
130‧‧‧絕緣器
135‧‧‧電漿激發區域
140‧‧‧氣體入口
145‧‧‧遠端電漿系統
150‧‧‧氣體供應區域
155‧‧‧RF產生器
160‧‧‧反應區域
165‧‧‧台座

Claims (20)

  1. 一種半導體處理系統,包括:一處理腔室,該處理腔室包括:一上蓋組件,該上蓋組件限定一前驅物入口,前驅物物種係輸送通過該前驅物入口;一接地電極;一柵電極,配置在該上蓋組件和該接地電極之間,並於該腔室內之該柵電極和該上蓋組件之間限定一第一電漿區域以及於該腔室內之該柵電極和該接地電極之間限定一第二電漿區域;一傳導性插件,配置在該第一電漿區域的一週邊處之該上蓋組件和該柵電極之間;一絕緣構件,置放以使該柵電極自該傳導性插件電氣隔離;一第一電源供應器,其電氣耦接於該上蓋組件;及一第二電源供應器,其電氣耦接於該上蓋組件、該柵電極或該傳導性插件中至少其一。
  2. 如請求項1所述之半導體處理系統,進一步包括一第一開關,該第一開關係電氣耦接於該第二電源供應器且可切換以使該第二電源供應器電氣耦接至該上蓋組件、該柵電極或該傳導性插電中其一。
  3. 如請求項1所述之半導體處理系統,進一步包括一第二開關,該第二開關係可切換以電氣耦接該上蓋組件、該接地電極或該柵電極中的至少兩者。
  4. 如請求項3所述之半導體處理系統,其中該第一開關係切換以使該第二電源供應器電氣耦接於該傳導性插件,且其中該第二開關係切換以電氣耦接該柵電極和該接地電極。
  5. 如請求項4所述之半導體處理系統,其中該第二電源供應器係配置以輸送一負電壓至該傳導性插件,且其中該第一電源供應器係配置以於電子通量被引導至該柵電極的該第一電漿區域中引發一電漿。
  6. 如請求項4所述之半導體處理系統,其中該第二電源供應器係配置以輸送一正電壓至該傳導性插件,且其中該第一電源供應器係配置以於離子通量被引導至該柵電極的該第一電漿區域中引發一電漿。
  7. 如請求項3所述之半導體處理系統,其中該第一開關係切換以使該第二電源供應器電氣耦接於該上蓋組件,使得該第一電源供應器和該第二電源供應器兩者皆電氣耦接於該上蓋組件,且其中該第二開關係切換以電氣耦接該柵電極和該接地電極。
  8. 如請求項7所述之半導體處理系統,其中該第二電源供應器係配置以對該上蓋組件提供固定電壓,且該第一電源供應器係配置以對該上蓋組件提供脈衝式頻率功率。
  9. 如請求項3所述之半導體處理系統,其中該第一開關係切換以使該第二電源供應器電氣耦接於該上蓋組件,使得該第一電源供應器和該第二電源供應器兩者皆電氣耦接於該上蓋組件,且其中該第二開關係切換以電氣耦接該柵電極與該上蓋組件。
  10. 如請求項9所述之半導體處理系統,其中該第二電源供應器係配置以對該上蓋組件提供固定電壓,且該第一電源供應器係配置以對該上蓋組件提供脈衝式頻率功率。
  11. 如請求項3所述之半導體處理系統,其中該第一開關係切換以使該第二電源供應器電氣耦接於該柵電極。
  12. 如請求項11所述之半導體處理系統,其中該第二電源供應器係配置以對該柵電極提供固定電壓,且其中該第一電源供應器係配置以對該上蓋組件提供脈衝式頻率功率。
  13. 如請求項1所述之半導體處理系統,其中該第一電源供應器係一RF電源供應器,且該第二電源供應器係一DC電源供應器。
  14. 一種用於在一半導體處理腔室中產生一電漿的方法,該方法包括以下步驟:耦接一第一電源供應器於該處理腔室上蓋組件,以於該處理腔室內形成一電漿;耦接一第二電源供應器於該處理腔室;以該第二電源供應器調整該電漿。
  15. 如請求項14所述之方法,其中所述調整步驟包括以下步驟:以該第二電源供應器施加一負電壓,以增加電子通量。
  16. 如請求項14所述之方法,其中所述調整步驟包括以下步驟:以該第二電源供應器施加一正電壓,以增加離子通量。
  17. 如請求項14所述之方法,其中該第二電源供應器係電氣耦接於一開關,該開關係可切換地耦接於該處理腔室的多數個傳導區段。
  18. 如請求項17所述之方法,其中該第二電源供應器係耦接於該上蓋組件。
  19. 如請求項14所述之方法,其中該第一電源供應器對該上蓋組件提供脈衝功率。
  20. 如請求項14所述之方法,其中該第一電源供應器包括一RF電源供應器,且該第二電源供應器包括一DC電源供應器。
TW102127133A 2012-08-02 2013-07-29 用以改良控制之利用直流電輔助射頻功率的半導體處理 TWI594319B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261678964P 2012-08-02 2012-08-02
US13/829,669 US9373517B2 (en) 2012-08-02 2013-03-14 Semiconductor processing with DC assisted RF power for improved control

Publications (2)

Publication Number Publication Date
TW201417172A true TW201417172A (zh) 2014-05-01
TWI594319B TWI594319B (zh) 2017-08-01

Family

ID=50028443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102127133A TWI594319B (zh) 2012-08-02 2013-07-29 用以改良控制之利用直流電輔助射頻功率的半導體處理

Country Status (6)

Country Link
US (2) US9373517B2 (zh)
JP (1) JP6258320B2 (zh)
KR (1) KR102111919B1 (zh)
CN (1) CN104508804B (zh)
TW (1) TWI594319B (zh)
WO (1) WO2014022192A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632833B (zh) * 2015-05-22 2018-08-11 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TWI747998B (zh) * 2016-11-18 2021-12-01 美商帕斯馬舍門有限責任公司 離子過濾器
US11355319B2 (en) 2017-12-19 2022-06-07 Hitachi High-Tech Corporation Plasma processing apparatus
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9449796B2 (en) * 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9941111B2 (en) * 2015-05-29 2018-04-10 Infineon Technologies Ag Method for processing a semiconductor layer, method for processing a silicon substrate, and method for processing a silicon layer
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170076917A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma Module With Slotted Ground Plate
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102214350B1 (ko) * 2016-05-20 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199648A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP7094154B2 (ja) 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020023853A1 (en) 2018-07-27 2020-01-30 Applied Materials, Inc. Remote capacitively coupled plasma source with improved ion blocker
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7228539B2 (ja) * 2019-06-28 2023-02-24 株式会社東芝 スイッチ装置
KR102392039B1 (ko) 2019-09-11 2022-04-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11773484B2 (en) * 2020-06-26 2023-10-03 Tokyo Electron Limited Hard mask deposition using direct current superimposed radio frequency plasma
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (1602)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
JPH02121330U (zh) 1989-03-15 1990-10-02
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
EP0478233B1 (en) 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0562936A (ja) * 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
JP3501524B2 (ja) 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3411678B2 (ja) 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
CN1053764C (zh) 1994-12-09 2000-06-21 中国科学院微电子中心 束致变蚀方法
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) * 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
DE69608669T2 (de) 1995-12-19 2001-03-01 Fsi International Chaska Stromloses aufbringen von metallfilmen mit sprayprozessor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69636880T2 (de) 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) * 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6177222B1 (en) 1998-03-12 2001-01-23 Xerox Corporation Coated photographic papers
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2002510878A (ja) 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6007785A (en) * 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6129829A (en) * 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
WO2001040537A1 (en) 1999-11-30 2001-06-07 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3659101B2 (ja) 1999-12-13 2005-06-15 富士ゼロックス株式会社 窒化物半導体素子及びその製造方法
JP4695238B2 (ja) 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
JP2001313282A (ja) 2000-04-28 2001-11-09 Nec Corp ドライエッチング方法
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US7308431B2 (en) 2000-09-11 2007-12-11 Nokia Corporation System and method of secure authentication and billing for goods and services using a cellular telecommunication and an authorization infrastructure
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
KR100423953B1 (ko) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
CN1516895A (zh) 2001-06-14 2004-07-28 马特森技术公司 用于铜互连的阻挡层增强工艺
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) * 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
CN1329972C (zh) 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
CN100462475C (zh) 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (ko) 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
KR100641762B1 (ko) 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
CN100524642C (zh) 2001-12-13 2009-08-05 应用材料股份有限公司 一种半导体器件
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
AU2002366943A1 (en) * 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) * 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (ja) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
KR101019190B1 (ko) 2002-06-14 2011-03-04 세키스이가가쿠 고교가부시키가이샤 산화막 형성 방법 및 산화막 형성 장치
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
JP2006509999A (ja) 2002-08-02 2006-03-23 イー エイ フィシオネ インストルメンツ インコーポレーテッド 顕微鏡の試料調製方法及び装置
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
WO2004082007A1 (ja) 2003-03-12 2004-09-23 Tokyo Electron Limited 半導体処理用の基板保持構造及びプラズマ処理装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
JP2004296467A (ja) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
KR100853388B1 (ko) 2003-06-27 2008-08-21 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 기판 처리 방법
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
WO2005036615A2 (en) 2003-10-06 2005-04-21 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4393844B2 (ja) 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100558925B1 (ko) 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005076336A1 (ja) 2004-02-09 2005-08-18 Tadahiro Ohmi 半導体装置の製造方法および絶縁膜のエッチング方法
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
CN101124661A (zh) 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN100594619C (zh) 2004-05-21 2010-03-17 株式会社半导体能源研究所 半导体器件及其制造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (ko) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
CN101048531A (zh) 2004-07-07 2007-10-03 通用电气公司 基材上的保护涂层及其制备方法
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4579611B2 (ja) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
JP4718141B2 (ja) 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
WO2006026765A2 (en) 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
JP4467453B2 (ja) 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
JP2006193822A (ja) 2004-12-16 2006-07-27 Sharp Corp めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
JP4191137B2 (ja) 2004-12-24 2008-12-03 東京エレクトロン株式会社 基板処理装置のクリーニング方法
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060252252A1 (en) 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
WO2007016013A2 (en) 2005-07-27 2007-02-08 Applied Materials, Inc. Unique passivation technique for a cvd blocker plate to prevent particle formation
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
JP4823628B2 (ja) 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
KR100712727B1 (ko) 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
CN101378850A (zh) 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
EP2001864A1 (en) 2006-03-16 2008-12-17 Novartis Pharma AG Heterocyclic organic compounds for the treatment of in particular melanoma
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7628574B2 (en) 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008073906A2 (en) 2006-12-11 2008-06-19 Applied Materials, Inc. Dry photoresist stripping process and apparatus
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR100843236B1 (ko) 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
CN101681870B (zh) 2007-03-12 2011-08-17 东京毅力科创株式会社 用于提高衬底内处理均匀性的动态温度背部气体控制
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP4988402B2 (ja) * 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5179476B2 (ja) 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
TWI479559B (zh) 2007-06-28 2015-04-01 Quantum Global Tech Llc 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
JP4438008B2 (ja) 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP5583011B2 (ja) 2007-07-19 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 照明装置データを送信するための方法、システム及び装置
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5316412B2 (ja) 2007-08-31 2013-10-16 東京エレクトロン株式会社 半導体装置の製造方法
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
TWI508129B (zh) 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8592318B2 (en) 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
JP5172617B2 (ja) 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
DE202008016190U1 (de) 2007-12-04 2009-03-19 Parabel Ag Mehrschichtiges Solarelement
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
CN101903996B (zh) 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
TWI427697B (zh) 2007-12-28 2014-02-21 Tokyo Electron Ltd 金屬膜及金屬氧化膜之蝕刻方法與半導體裝置之製造方法
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5108933B2 (ja) 2008-02-26 2012-12-26 京セラ株式会社 静電チャック
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JP5352103B2 (ja) 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US8318605B2 (en) 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
KR101006848B1 (ko) 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
WO2009157084A1 (ja) 2008-06-27 2009-12-30 三菱重工業株式会社 真空処理装置および真空処理装置の運転方法
JP5211332B2 (ja) * 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
WO2010004997A1 (ja) 2008-07-11 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
WO2010008021A1 (ja) 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
EP2324687B1 (en) * 2008-08-20 2016-01-27 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5358165B2 (ja) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101527195B1 (ko) 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP2010180458A (ja) 2009-02-06 2010-08-19 Kit:Kk アルミニウム表面の酸化層形成方法及び半導体装置の製造方法
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
KR101566922B1 (ko) 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
CN102007565A (zh) 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 基片处理系统和基片处理方法
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) * 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
KR101534357B1 (ko) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 기판 지지 장치 및 기판 지지 방법
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
JP5710591B2 (ja) 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101095119B1 (ko) 2009-08-19 2011-12-16 삼성전기주식회사 다이 패키지 및 그 제조방법
US20120223048A1 (en) 2009-08-26 2012-09-06 Veeco Process Equipment Inc. System for Fabricating a Pattern on Magnetic Recording Media
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
JP5002073B2 (ja) 2009-09-02 2012-08-15 積水化学工業株式会社 シリコン含有膜のエッチング方法
WO2012118987A1 (en) 2011-03-02 2012-09-07 Game Changers, Llc Air cushion transport
WO2011028349A2 (en) 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) * 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
SG10201407094SA (en) 2009-11-04 2014-12-30 Applied Materials Inc Plasma ion implantation process for patterned disc media applications
WO2011056281A1 (en) 2009-11-06 2011-05-12 Rambus Inc. Three-dimensional memory array stacking structure
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
CN102640216A (zh) 2009-11-30 2012-08-15 应用材料公司 处理硬盘驱动器基板的腔室
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
ATE551439T1 (de) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8992733B2 (en) 2010-02-15 2015-03-31 Daikin Industries, Ltd. Water and oil resistant agent for paper and paper treatment process
JP5476152B2 (ja) 2010-02-16 2014-04-23 積水化学工業株式会社 窒化シリコンのエッチング方法及び装置
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
EP2548238B8 (en) 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP2011258768A (ja) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
JP5463224B2 (ja) 2010-07-09 2014-04-09 日本発條株式会社 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
TW201213594A (en) 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8993434B2 (en) 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR101209003B1 (ko) 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
WO2012118951A2 (en) 2011-03-01 2012-09-07 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5837178B2 (ja) 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
JP5994772B2 (ja) 2011-03-23 2016-09-21 住友大阪セメント株式会社 静電チャック装置
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
JP5563522B2 (ja) 2011-05-23 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
EP2720518B1 (en) 2011-06-09 2016-12-28 Korea Basic Science Institute Plasma-generating source comprising a belt-type magnet, and thin-film deposition system using same
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
JP2013033965A (ja) 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
CN102915902B (zh) * 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) * 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
JP6010406B2 (ja) 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
CN104040699B (zh) 2012-02-08 2018-06-26 岩谷产业株式会社 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
KR20190124348A (ko) 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
WO2014116304A2 (en) 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
JP6027374B2 (ja) * 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140288528A1 (en) 2013-03-15 2014-09-25 Dr. Py Institute, Llc Single-use needle assembly and method
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
JP5386046B1 (ja) 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2016529736A (ja) 2013-08-27 2016-09-23 東京エレクトロン株式会社 ハードマスクを横方向にトリミングする方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
CN104956476B (zh) 2013-11-06 2017-11-14 马特森技术有限公司 用于垂直nand器件的新型掩模去除方法策略
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) * 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170943A1 (en) * 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US20150170879A1 (en) * 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) * 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102248205B1 (ko) 2014-06-25 2021-05-04 삼성전자주식회사 수직 채널 및 에어 갭을 갖는 반도체 소자
KR20160002543A (ko) 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9165786B1 (en) * 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR102314442B1 (ko) 2015-02-06 2021-10-19 삼성전자주식회사 D2d 네트워크에서 ue 디스커버리 방법 및 시스템
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632833B (zh) * 2015-05-22 2018-08-11 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TWI798531B (zh) * 2015-05-22 2023-04-11 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TWI818454B (zh) * 2015-05-22 2023-10-11 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TWI747998B (zh) * 2016-11-18 2021-12-01 美商帕斯馬舍門有限責任公司 離子過濾器
US11355319B2 (en) 2017-12-19 2022-06-07 Hitachi High-Tech Corporation Plasma processing apparatus
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
JP6258320B2 (ja) 2018-01-10
CN104508804A (zh) 2015-04-08
CN104508804B (zh) 2017-10-31
US20160300694A1 (en) 2016-10-13
TWI594319B (zh) 2017-08-01
US10032606B2 (en) 2018-07-24
KR102111919B1 (ko) 2020-05-18
US20140057447A1 (en) 2014-02-27
KR20150038564A (ko) 2015-04-08
WO2014022192A1 (en) 2014-02-06
US9373517B2 (en) 2016-06-21
JP2015529972A (ja) 2015-10-08

Similar Documents

Publication Publication Date Title
TWI594319B (zh) 用以改良控制之利用直流電輔助射頻功率的半導體處理
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
KR102098698B1 (ko) 플라즈마 처리 장치
US9508530B2 (en) Plasma processing chamber with flexible symmetric RF return strap
US10714372B2 (en) System for coupling a voltage to portions of a substrate
TWI673752B (zh) 電漿裝置
KR102356952B1 (ko) 실리콘 질화물 스페이서 에칭 동안 에칭 선택도를 향상시키기 위한 방법
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
JP2014531753A5 (zh)
KR20140043721A (ko) 용량 결합형 플라즈마를 이용하는 반도체 프로세싱 시스템 및 방법들
TW201443992A (zh) 使用遠端電漿源之加強式蝕刻製程
KR101736841B1 (ko) 기판 처리 장치 및 방법
JP2023549528A (ja) 容量結合プラズマを用いた酸化ケイ素間隙の充填
KR102418621B1 (ko) 플라즈마 클리닝링을 구비한 플라즈마 처리장치, 이를 포함한 플라즈마 처리 시스템 및 이를 이용한 처리방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees