KR100639752B1 - 3차원구조 메모리 - Google Patents

3차원구조 메모리 Download PDF

Info

Publication number
KR100639752B1
KR100639752B1 KR1019997009061A KR19997009061A KR100639752B1 KR 100639752 B1 KR100639752 B1 KR 100639752B1 KR 1019997009061 A KR1019997009061 A KR 1019997009061A KR 19997009061 A KR19997009061 A KR 19997009061A KR 100639752 B1 KR100639752 B1 KR 100639752B1
Authority
KR
South Korea
Prior art keywords
memory
substrate
circuit
integrated circuit
stacked integrated
Prior art date
Application number
KR1019997009061A
Other languages
English (en)
Other versions
KR20010005983A (ko
Inventor
글렌 제이. 리디
Original Assignee
글렌 제이. 리디
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25268870&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100639752(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 글렌 제이. 리디 filed Critical 글렌 제이. 리디
Priority to KR1020057018170A priority Critical patent/KR100785821B1/ko
Publication of KR20010005983A publication Critical patent/KR20010005983A/ko
Application granted granted Critical
Publication of KR100639752B1 publication Critical patent/KR100639752B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/84Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability
    • G11C29/846Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability by choosing redundant lines at an output stage
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/44Indication or identification of errors, e.g. for repair
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/80Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout
    • G11C29/81Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout using a hierarchical redundancy scheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/84Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability
    • G11C29/848Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability by adjacent switching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/29109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/29111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/29116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/29118Zinc [Zn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/29124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Dram (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Non-Volatile Memory (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

3차원구조(3DS) 메모리(100)는 다른 층상에서 메모리 회로(103)와 제어 로직 회로(101)간의 물리적 분리를 허용함으로써 각 층이 독립적으로 최적화될 수 있다. 하나의 제어 로직 회로(101)가 감소된 비용으로 수개의 메모리 회로(103)들을 만족시킨다. 3차원구조 메모리(100)의 제작은 메모리 회로(103)를 두께 50 마이크론 이하로 씨닝하고, 아직 웨이퍼 기판 형태인 회로 스택에 접착시키는 것을 포함한다. 고밀도 미립자로 된 층간 수직 버스 배선(105)이 사용된다. 3차원구조 메모리(100)의 제작방법은 몇가지 성능 및 물리적 크기의 효율화를 달성하였으며, 이미 정립된 반도체 공정기술로 실시되어진다.

Description

3차원구조 메모리{Three dimensional structure memory}
본 발명은 적층된 집적회로 메모리에 관한 것이다.
전자회로의 성능 향상과 비용 감소를 위한 제조방법들은, 거의 예외없이 회로의 집적도를 증가시키고, 트랜지스터 또는 캐패시터 등과 같은 회로소자들의 동일 갯수에 대한 그들의 물리적 크기를 감소시키는 방법들이다. 이러한 방법들은 1996년 현재 1000달러 이하의 가격으로 초당 1억번 이상의 동작을 수행할 수 있는 마이크로프로세서와 50달러 이하의 가격으로 50 나노세컨드(ns) 이하에서 데이터를 억세스하는 64 메가비트 디램(DRAM)을 생산해냈다. 이러한 회로들의 물리적 크기는 2 cm2 이하이다. 이러한 제조방법들은 주요 산업국가에서의 경제적 생활 수준을 상당한 정도로 지지해주고 있으며, 확실히 계속적으로 전세계에 걸쳐 인간의 일상생활에 중대한 영향을 미칠 것이다.
회로 제조방법은 공정 집적화 및 어셈블리 집적화라는 두가지 주요한 형태를 취한다. 역사적으로 이러한 두개의 제조 분야들 사이의 경계가 명확해져 왔지만, 최근 멀티칩 모듈(Multi-Chip Module;MCM)과 플립칩 다이 어태치(flip-chip die attach)의 사용의 증가와 함께 이러한 명확한 구분은 곧 사라지게 될 것이다. (여기서 집적회로(Integrated Circuit;IC)라는 용어는, 예를 들어 패키지 형태의 집적 회로와 대비하여 반도체 웨이퍼와 같은 회로기판으로부터 절단된 단독의 다이 형태의 집적회로에 대해 주로 사용한다.) 초기 다이 형태일 때는 집적회로의 대부분은 현재 개별적으로 패키징되고 있으나, MCM의 사용이 증가하고 있다. MCM에서 다이는 와이어본딩, DCA(Direct Chip Attach) 또는 FCA(Flip-Chip Attach)와 같은 통상적인 집적회로 다이 입/출력(I/O) 상호연결 접착방법에 의해 플래나(planar)방식으로 회로기판에 정상적으로 접착된다.
디램(DRAM), 에스램(SRAM), 플레시 이피롬(flash EPROM), 이이피롬(EEPROM), 강유전체(Ferroelectric), 지엠알(Giant MagnetoResistance;GMR)등과 같은 집적회로 메모리는, 메모리 어레이 회로와 동일 다이상에 집적된 제어기 회로와 함께 단일칩으로 구성되어 공통의 구조적 특성을 갖는다. 이러한 표준화 또는 통상화된 구성 또는 회로 레이아웃 구조는 대규모 메모리 회로에 있어서 제어기 회로와 메모리 어레이 회로의 설계상 서로간에 타협을 필요로 한다. 메모리셀 회로의 제작면적의 축소는 더욱더 밀집된 메모리 집적회로를 초래하게 되었으나, 이러한 메모리의 고 밀집도는 집적회로의 면적증가를 대신하여 한층 복잡해진 제어기 회로를 초래하게 되었다. 집적회로의 면적증가는 적어도 집적회로당 제조비용의 상승(웨이퍼당 집적회로수의 감소), 집적회로 수율의 저하(웨이퍼당 가동 집적회로수의 감소)를 의미하며, 최악의 경우, 경쟁력이 없는 비용 또는 신뢰성없는 동작으로 인해 제작될 수 없는 집적회로 디자인을 의미하게 된다.
메모리 밀도가 증가하고 개별적인 메모리셀 크기가 감소함에 따라 보다 많은 제어기 회로가 요구되어진다. DRAM과 같은 일부의 경우에는 집적회로(IC) 면적에 대한 백분율로서 메모리 IC의 제어기 회로가 40 %에 근접하거나 이를 초과한다. 제어기 회로의 일부는 독출동작 동안에 메모리 어레이 회로내에서 메모리셀의 상태, 전위 또는 전하를 감지하는 센스 앰프이다. 상기 센스 앰프회로는 제어기 회로에 있어서 중요한 부분이며, 센스 앰프가 점유하는 면적이 너무 크게 되지 않으면서도 보다 작아진 메모리셀을 감지하기 위하여 센스 앰프의 감도를 향상시키는 것은 IC 메모리 디자이너에게 있어서는 지속적인 연구과제가 된다.
만약 이러한 제어 회로와 메모리 회로 사이에 디자인상의 제한이나 타협이 존재하지 않았다면, 메모리 셀당 다중의 저장상태를 감지하는 것, 보다 감도가 커진 센스 앰프를 통한 보다 빨라진 메모리 억세스, 캐싱, 리프레시, 어드레스 변경 등과 같은 수많은 부가적인 기능들이 수행되도록 만들어졌을 것이다. 그러나 이러한 타협은 현재 모든 제작자들에 의해 채택되고 있기 때문에, 메모리 집적회로에 있어서 물리적 및 경제적 현실로 되고 있다.
DRAM의 용량은 한 세대로부터 다음 세대로 4 배씩, 즉 1 메가비트, 4 메가비트, 16 메가비트, 64 메가비트 DRAM으로 증가한다. 이와 같이 세대당 메모리 용량이 4 배씩 증가하면서 DRAM 회로면적은 점점 커지게 되었다. 새로운 DRAM 세대가 시작될 때에는 회로의 수율이 너무 낮기 때문에 대량 생산에 따르는 비용 효과가 없다. 새로운 DRAM 세대의 시작품이 선보인 이후 그 회로가 대량 생산되기 까지는 통상 수년이 걸린다.
적층식 또는 3차원(3D)식으로 다이를 조립하는 방법은 본명세서에 인용함으로써 통합되는 본 발명자의 미합중국 특허 제5,354,695호에 개시되어 있다. 더구 나, 메모리에 대하여도 3차원식의 다이 조립이 시도되었다. 달라스 텍사스의 텍사스 인스트루먼트사, 코스타 메사 캘리포니아의 어빈 센서사, 스코트 밸리 캘리포니아의 큐빅 메모리사가 모두 적층형 또는 3차원 DRAM 제품을 생산하려고 시도하였다. 세 경우 모두 다이 형태의 종래의 DRAM 회로를 적층하고, 적층된 각 DRAM 사이의 상호연결부는 적층된 외측 표면을 따라 형성하였다. 이러한 제품은 지난 수년 동안에 유용하였으며, 상업적으로 적용하기에는 너무 비싼 것으로 판명되었으나, 그들의 물리적 크기 또는 족적이 작기 때문에 우주선 및 군사적인 용도로서 일부 사용되었다.
본 명세서에서는 DRAM형 회로가 언급되며, 예로서 자주 사용되지만, 본 발명은 DRAM형 회로에 한정되는 것이 아니라는 것은 명백하다. 의심할 것도 없이 EEPROM(Electrically Erasable Programmable Read Only Memories), 플레시 EPROM, 강유전체, GMR(Giant Magneto Resistance) 또는 이러한 메모리셀들의 상호적 또는 내부적 조합물 등과 같은 메모리셀 형태들에 대하여도 3차원구조 메모리소자를 형성하기 위한 본 발명의 3차원 구조(3DS) 방법을 사용할 수 있다.
본 발명은 여러가지 가운데 다음의 목적들을 갖는다:
1. 종래의 모노리딕 회로 집적방법만으로 만들어진 회로보다 메가바이트 메모리당 몇 배의 저렴한 제작비용
2. 종래의 메모리 회로보다 몇 배의 향상된 성능
3. 종래의 메모리 회로보다 상당히 높아진 집적회로당 메모리 밀도
4. 회로면적의 크기, 비용에 대한 보다 커진 디자이너의 제어성
5. 내부의 제어기에 의한 메모리셀의 동적 및 정적 자기 테스트
6. 동적 에러 회복 및 재구성
7. 메모리 셀당 다중 레벨 저장
8. 가상 어드레스 변경, 어드레스 윈도윙, 간접 어드레싱 또는 내용 어드레싱 등과 같은 여러가지 어드레스 기능들, 아날로그회로 기능들 및 여러가지 그래픽 가속 및 마이크로프로세서 기능들
< 발명의 요약 >
본 발명의 3차원구조(3DS) 메모리 기술은 적층형 또는 3차원 회로 어셈블리 기술이다. 특징은 다음과 같다:
1. 메모리 회로와 제어 로직회로를 다른 층으로의 물리적 분리
2. 수개의 메모리회로에 대하여 하나의 제어 로직회로의 사용
3. 두께가 약 50 ㎛ 이하가 되도록 메모리 회로를 씨닝하고, 평탄하게 처리된 접착면을 가지고 유연한 기판을 형성하며, 여전히 웨이퍼 기판 형태로 회로 스택에 상기 회로를 접착하는 것
4. 수직적 버스 연결을 위한 고밀도 미립자 내부층의 사용.
상기 3차원구조 메모리 제조방법은 여러 가지의 성능 및 물리적 크기를 효율적으로 할 수 있으며, 이미 확립된 반도체 공정기술로 실시되어진다. DRAM 회로를 예로 들면, 0.25㎛ 공정기술로 만들어진 64 메가비트 DRAM은 다이의 크기가 84 mm2이며, 다이 크기에 대한 메모리면적의 비율이 40 %이며, 8 메가바이트의 저장을 위 한 억세스 타임이 50 ns이지만, 동일한 0.25㎛ 공정기술로 제작된 3차원구조 DRAM 집적회로는 다이의 크기가 18.6 mm2이며, 17개의 DRAM 어레이 회로층을 사용하며, 다이 크기에 대한 메모리 면적의 비율이 94.4 %이며, 64 메가바이트의 저장을 위한 예상되는 억세스 타임이 10 ns 이하이다. 상기 3차원구조 DRAM 집적회로의 제작방법은 전형적인 DRAM 집적회로 제작방법에 비하여 메가바이트당 비용면에서 몇 배의 감소를 나타낸다. 환언하면, 3차원구조 메모리 제작방법은, 하부구조의 레벨에서, 사용된 제조 공정기술과는 관계없이 근본적인 비용절감을 보여준다.
본 발명은 첨부된 도면과 결부하여 이하의 설명으로부터 보다 명확히 이해되어질 것이다.
도 1a는 방법 A와 방법 B에 의해 제작된 3차원구조 메모리 집적회로의 사시도로서, 종래의 전형적인 집적회로 다이와 동일한 I/O 접착패드의 물리적 외양을 보여주고 있다.
도 1b는 몇개의 씨닝된 회로층들 사이의 금속접착 상호연결부를 보여주는 3차원구조 메모리 집적회로의 단면도이다.
도 1c는 종래의 대형 집적회로 또는 다른 3차원구조 집적회로상에 면접되게 접착 및 상호연결된 3차원구조 DRAM 집적회로 스택의 사시도이다.
도 2a는 한 세트의 버스선의 데이터선, 즉 1 포트에 대한 3차원구조 DRAM 어레이회로 블록의 물리적 레이아웃을 보여주는 도면이다.
도 2b는 두 세트의 데이터선 버스선, 즉 2 포트에 대한 3차원구조 DRAM 어레 이회로 블록의 물리적 레이아웃을 보여주는 도면이다.
도 2c는 예시적인 메모리 제어기 회로의 일부의 물리적 레이아웃을 보여주는 도면이다.
도 3은 3차원 DRAM 어레이 블록에 대한 64개 분할을 보여주는 3차원 DRAM 어레이 회로의 물리적 레이아웃을 나타내는 도면이다.
도 4는 씨닝된 기판속으로 투입된 일반적인 3차원구조의 수직적 상호연결부를 보여주는 단면도이다.
도 5는 하향 선택되는 게이트선 독출 또는 기입의 선택을 위한 3차원구조 메모리 멀티플렉서의 레이아웃을 보여주는 도면이다.
도 1a 및 도 1b를 참조하면, 3차원구조(Three Dimensional Structure;3DS) 메모리장치(100)는 모든 회로층들 사이에서 미립자의 수직적 상호연결부를 갖는 집적회로층들의 스택이다. 상기 미립자의 층간 수직적 상호연결부란 용어는 중간에 개입된 소자요소가 있든가 또는 없든간에 회로층을 통과하며, 도 2a 및 도 2b에서 가장 잘 보여지는 바와 같이, 정상적으로 100 ㎛ 이하의 피치, 보다 전형적으로는 10 ㎛ 이하의 피치, 그러나 2 ㎛ 이하의 피치로 제한되지 않는 피치를 갖는 전기적 도전체를 의미하는 것으로 사용된다. 상기 미립자의 층간 수직적 상호연결부는 또한 여러 가지 회로층들을 함께 접착하는 기능을 수행한다. 도 1b에서 보여지는 바와 같이, 비록 상기 접착 및 상호연결층(105a)(105b) 등은 바람직하게는 금속이지만, 이하에서 충분히 설명되는 바와 같이 다른 재질도 또한 사용될 수 있다.
상기 접착 및 상호연결층(105a)(105b) 등에서 패턴(107a)(107b) 등은 집적회로층들 사이에서 수직적 상호연결 콘택을 정의하고 있으며, 이러한 콘택들을 서로로부터 그리고 잔존하는 접착물질로부터 전기적으로 분리하게 해주며, 이러한 패턴은 상기 접착층들에서 보이드 또는 유전체로 충전되는 공간의 형태를 취한다.
상기 3차원구조 메모리 스택은 전형적으로 제어기 회로(101)와, 전형적으로는 9 내지 32 개 사이이나, 층수에는 특별한 제한은 없는 일정한 수의 메모리 어레이 회로층(103)으로 구성되어 있다. 상기 제어기 회로는 정상적인 회로 두께(전형적으로 0.5 mm 또는 그 이상)를 갖지만, 각 메모리 어레이 회로층은 50 ㎛ 이하, 전형적으로는 10 ㎛ 이하의 두께로 낮은 스트레스를 갖는 씨닝된 유연한 회로이다. 종래의 I/O 접착패드들은 종래의 전형적인 패키징 방법을 사용하기 위해 최종 메모리 어레이 회로층상에 형성된다. 삽입형 상호연결(본 발명자에 의한 미합중국 특허 제5,323,035호 및 제5,453,404호에 개시됨), DCA(Direct Chip Attach) 또는 FCA(Flip-Chip Attach)와 같은 다른 금속 패턴들이 사용될 수도 있다.
나아가, 상기 미립자 층간 수직적 상호연결부는 3차원구조 메모리 다이와 종래의 다이(여기서 종래의 다이는 도 1c에서 보여지는 제어기 회로가 될 수 있다) 또는 3차원구조 메모리 다이와 다른 3차원 메모리 다이 사이에서 접착하는 직접적으로 단독의 다이를 위해 사용되어질 수 있다. 함께 접착되어지는 각 다이스의 면적(크기)은 다양할 수 있으며, 동일할 필요는 없다고 추정할 수 있다. 보다 구체적으로 도 1c를 참조하면, 3차원구조 DRAM 집적회로 스택(100)이 종래의 대형 집적회로 또는 다른 3차원구조 집적회로(107)상에 면접하여 접착 및 상호연결된다. 선택 적으로 상기 3차원구조 스택(100)은 상기 대형 다이의 일부로서 DRAM 제어기 회로를 갖는 DRAM 어레이 회로들만으로 구성될 수 있다. 만약 상기 DRAM 제어기 회로들이 상기 대형 다이의 일부이면, 그 때는 상기 3차원구조 DRAM 어레이 회로를 상기 DRAM 제어기에 연결하기 위해 미립자 수직 버스 상호연결부가 요구되어지며(상기 3차원구조 DRAM 집적회로 스택(100)의 면(109)에서), 그렇지 않으면 보다 큰 종래의 입자 상호연결이 상기 평탄화된 접착층속으로 접착(패턴)되어질 것이다.
도 3에서 보여지는 것처럼, 각 메모리 어레이 회로층은 메모리 어레이 블록(301)(정상적으로 면적이 5 mm2 이하)들로 구성된 메로리 어레이 회로(300)를 포함하며, 각 블록은 메모리 셀들(많은 점에서 DRAM 또는 EEPROM 회로의 셀 어레이와 동일한 방식), 버싱 전극들(busing electrodes) 및 디자이너의 선택에 따라 상기 메모리 어레이의 특정 로우 또는 컬럼을 선택하기 위한 인에이블 게이트(enabling gate)들로 구성된다. 상기 제어기 회로는 종래의 DRAM에서와 같은 전형적인 모노리딕 디자인의 메모리 회로의 주변영역에서 정상적으로 찾아볼 수 있는 센스 앰프, 어드레스, 제어 및 구동 로직으로 구성되어 있다.
미립자 버싱은 수직적으로 상기 제어기를 각 메모리 어레이층에 독립적으로 연결시켜서, 상기 제어기가 다른 어떤 층의 상태에 영향을 끼치지 않고서도 어떤 하나의 층에 구동력 또는 인에이블 신호들을 제공할 수 있도록 해준다. 이것은 상기 제어기로 하여금 상기 각 메모리 회로층들을 독립적으로 테스트, 독출 또는 기입하게 해준다.
도 2a 및 도 2b는 도 3의 블록(301)과 같은 메모리 어레이 블록의 가능한 레 이아웃의 예를 보여준다. 비록 블록의 단지 일부분만 보여지지만, 도시된 실시예에서, 상기 블록은 좌우대칭을 나타내어 전체 블록의 레이아웃이 도시된 부분으로부터 확인되어질 수 있게 해준다. 참조번호 뒤에 사용된 약자 "T", "L" 및 "TL" 은 각기 도면에는 보여지지 않는 대응하는 요소들을 암시하는 "Top", "Left" 및 "Top-Left"를 가리킨다.
도 2a를 참조하면, 블록의 중심부(200)는 메모리셀의 "바다"로 구성되어 있다. 논리적으로, 메모리셀의 집합체는 각기 일정한 수의 메모리셀, 즉 8 x 8의 어레이인 64개 메모리셀들을 포함하는 "마크로셀"(201)로 분할될 수 있다. 중심부의 주변부에는 도 4를 참조하여 이하에서 더욱 상세히 설명된, 층간 접착 및 버스 콘택 금속배선(400)을 포함하는 미립자 수직 상호연결부가 형성되어 있다. 상기 미립자 수직 상호연결부는 I/O 전력 및 접지 버스선(203TL), 메모리 회로층 선택선(205T), 메모리 마크로셀 컬럼 선택선(207T), 데이터선(209L) 및 게이트선 멀티플렉서("먹스") 선택선(209TL)을 포함한다. 도시된 실시예에서 게이트선 멀티플렉서(211T)는 8개의 광메모리 마크로셀 컬럼내에서 4개의 컬럼중의 하나를 선택하기 위해 사용되는 4:1 멀티플렉서이다. 대응하는 하단측 4:1 멀티플렉서는 8개 게이트선 광메모리 마크로셀 컬럼으로부터 단일 게이트선을 선택하기 위한 등가 8:1 멀티플렉서를 형성하기 위해 상단측 멀티플렉서(211T)와 연결된다.
4:1 게이트선 버스 멀티플렉서(500)의 한 실행태양이 도 5에 나타난다. 게이트선 인에이블(209TL')(예를 들어, 금속-1 층에 형성됨)은 각기 트랜지스터 501a 내지 501d를 제어한다. 각 게이트선 503a 내지 503d이 상기 트랜지스터들에 연결되 어 있다. 또한 대응하는 4:1 멀티플렉서(도시안됨)에 연결된 게이트선 505a 내지 505d가 부분적으로 보여진다. 게이트선 인에이블중의 하나가 활성화되면, 대응하는 게이트선은 멀티플렉서(예를 들어, 금속-2 층에 형성됨)의 출력선(507)에 연결된다. 상기 출력선은 선(509)(예를 들어, 금속-3 층에 형성되며 수직 버스 상호연결부의 금속 콘택(400)에 대응하는) 내지 텅스텐 플러그(511 및 513)를 통하여 하나 또는 그 이상의 수직 버스 콘택에 연결되어 있다. 상기 텅스텐 플러그(513)는 선(509)을 수직 상호연결부(도시안됨)에 연결시킨다.
다시 도 2a를 참조하면, 메모리 회로층의 경우에 상기 층은 I/O 인에이블(게이트)(213)이 제공되어지도록 제어기층 인에이블 신호(205T)로부터의 출력선 인에이블(게이트)을 포함할 수 있다.
메모리층 레벨에서, 각 메모리 블록(301)은 전기적으로 모든 다른 메모리 블록(301)으로부터 분리되어 있다. 따라서, 각 메모리 블록에 대한 수율은 독립적이다.
부가적인 독출/기입 포트들이 부가적인 게이트선 수직 상호연결을 할 수 있도록 부가되어질 수 있으며, 부가적인 수직 상호연결이 수직 상호연결의 수율을 향상시키기 위하여 중복방식으로 사용될 수 있다. 상기 3차원구조 메모리 회로는 하나 또는 그 이상의 데이터 독출 및 기입 버스 포트 상호연결부를 갖도록 설계될 수 있다. 도 2b를 참조하면, 메모리 블록(301')이 포트 P0(209L)와 다른 포트 P1(209L')을 갖는 것으로 나타난다. 수직 상호연결부의 수에 관한 유일한 제한은 이 러한 수직 상호연결부로 인하여 회로의 비용에 부과되는 총경비이다. 상기 미립자 수직 상호연결 방법은 단지 몇 퍼센트의 다이 면적의 증가로서 블록당 수천배의 상호연결을 허용한다.
예로서, 두개의 독출/기입 포트를 구비하는 4 메가비트의 DRAM 메모리 블록을 위한, 도 2b에서 보여지며 0.35 ㎛ 또는 0.15 ㎛ 디자인룰이 적용된 상기 수직 상호연결부의 총비용은 거의 5000개의 상호연결부로 구성되며, 상기 메모리 어레이 블록의 전체 면적의 6 %이하가 된다. 따라서, 3차원구조 DRAM 회로에서 각 메모리 어레이 회로층을 위한 상기 수직 상호연결부의 총비용은 6 %이하가 된다. 이것은 모노리딕 DRAM 회로 디자인에서 비메모리 셀영역의 백분율이 40 %를 초과하는 현재 진행되는 것보다 훨씬 적은 것이다. 완성된 3차원구조 DRAM 회로에서, 비메모리 셀영역의 백분율은 전형적으로 적층된 구조에 있는 모든 회로의 전체 면적의 10 %이하가 된다.
통상적으로 모노리딕 메모리 회로의 메모리셀들에 인접하여 발견되는 상기 3차원구조 메모리장치는 제어기능들을 분리하고, 그들을 제어기 회로에 대하여 격리시킨다. 이러한 제어기능들은 종래의 메모리 집적회로에서와 같이 각 메모리 어레이층상에서 발생한다기 보다는 상기 제어기 회로에서만 발생한다. 이것은 수개의 메모리 어레이층이 동일한 제어기 로직을 공유함으로써 경제적으로 유리하며, 따라서 종래의 메모리 디자인과 대비하여 2 배 이상 메모리셀당 총비용을 절감시킬 수 있다.
격리된 제어기 회로에 의한 제어기능의 분리는 그러한 기능을 위해 요구되는 보다 큰 면적(즉, 상기 메모리 어레이 블록의 한개 또는 여러 개의 면적과 동일한 면적) 만큼을 허용한다. 또한, 기능에 따른 이러한 물리적 분리는 제어 로직 및 메모리 어레이를 위해 사용된 매우 다른 두개의 제조기술상의 제조공정 분리를 허용해주며, 다시 종래의 메모리를 위해 사용된 보다 복잡하게 조합된 로직/메모리 제조기술에 대비하여 부가적인 제조비용의 절감을 실현시켜준다. 또한 상기 메모리 어레이는 제어 로직 기능들에 대한 공정요건을 고려함이 없이 공정기술로 제작될 수 있다. 이것은 현재의 메모리 회로의 경우 보다도 저비용으로 고성능의 제어기 기능을 디자인할 수 있게 해준다. 나아가, 상기 메모리 어레이 회로는 보다 적어진 공정 단계들로 제작될 수 있으며, 통상적으로 30 내지 40 %에 이르는 메모리 회로 제작비용을 절감할 수 있다(예를 들면, DRAM 어레이의 경우, 상기 공정기술은 CMOS에 비하여 NMOS 또는 PMOS 트랜지스터로 제한될 수 있다).
그러므로, 비록 열확산 금속 접착을 이용하여 메모리 제어기 기판과 메모리 어레이 기판간의 충분히 평탄한 면을 접착시키는 것이 바람직하지만, 본 발명의 보다 넓은 개념하에서는, 이방적으로 도전성을 띠는 에폭시 접착제와 같은 종래의 여러 가지 표면 접착방법중의 임의의 방법에 의해, 격리되어진 메모리 제어기와 메모리 어레이 기판 사이에 상호연결을 형성하여 랜덤 억세스 데이터 저장을 제공하도록 한다.
도 2c를 참조하면, 예시적인 메모리 제어기 회로의 일부 레이아웃이 보여진다. 상기 층간 접착 및 버스 콘택 금속배선은 도 2a와 관련하여 전술한 것과 동일한 패턴을 갖는다. 그러나, 메모리셀의 바다 대신에 예를 들어, 샌스 앰프 및 데이 터선 버퍼(215)를 포함하는 메모리 제어기 회로군이 제공된다. 다이 면적의 유용성이 향상됨에 따라 다중레벨 로직이 상기 센스 앰프 및 데이터선 버퍼(215)와 접착되어 제공될 수 있다. 또한, 어드레스 디코드, 게이트선 및 DRAM층 선택 로직(217), 리프레시 및 자기테스트 로직(219), ECC 로직(221), 윈도윙 로직(223) 등이 보여진다. 상기 자기테스트 로직, ECC 로직 및 윈도윙 로직은 통상적으로 DRAM 메모리 제어기 회로내에서 발견되는 기능들에 부가하여 제공된다. 다이의 크기 또는 사용된 제어기 회로층의 수에 의존하여, 예를 들어, 가상 메모리 관리, 간접 어드레싱 또는 내용 어드레싱과 같은 어드레스 기능, 데이터 압축, 데이터 압축해제, 오디오 인코딩, 오디오 디코딩, 비디오 인코딩, 비디오 디코딩, 음성인식, 필적인식, 전력 관리, 데이터베이스 처리, 그래픽 가속기능, 마이크로프로세서 기능(마이크로프로세서 기판의 부가를 포함) 등을 포함하는 수많은 다른 기능들 중 어느 것이 제공될 수도 있다.
3차원구조 메모리 회로 다이의 크기는 하나의 모노리딕층상에 메모리셀 및 제어기능 로직의 필요한 수를 포함한다는 본 제한조건에 의존하지 않는다. 이것은 회로 디자이너로 하여금 3차원구조 회로 다이의 크기를 축소하게 할 수 있고, 회로의 수율을 위해 보다 적정화된 다이 크기를 선택하게 할 수도 있다. 3차원구조 메모리 회로 다이의 크기는 기본적으로 최종적인 3차원구조 메모리 회로를 제조하기 위해 사용된 메모리 어레이 블록의 크기 및 수와, 메모리 어레이층의 수의 함수이다. (19층을 갖는 0.25 ㎛ 공정에 의한 DRAM 메모리 회로의 수율은 이하에서 설명하는 바와 같이 90 % 이상이 되는 것으로 나타난다.) 이러한 3차원구조 회로 다이 의 크기를 선택할 수 있다는 장점은 초기 제품으로 하여금 종래의 모노리딕 회로 디자인에 대하여 통상적으로 가능한 것보다 더 진보된 공정기술을 사용할 수 있게 해주는 것이다. 물론, 이것은 종래의 메모리 회로에 비하여 부가적인 비용의 감소 및 보다 향상된 성능을 내포하는 것이다.
[ 3차원구조 메모리소자 제조방법 ]
3차원구조 메모리 회로에 대한 두개의 기본적인 제조방법이 있다. 그러나, 이 두개의 3차원구조 메모리 제조방법은, 자체가 선택적으로 회로 구성층으로 될 수 있는 견고한 지지대 또는 공통의 기판상에 수많은 회로기판들을 열확산 금속접착(이하에서 열압축 접착이라고도 함)한다는 공통의 목적을 가지고 있다.
상기 지지대 또는 공통의 기판은 표준 반도체 웨이퍼, 쿼츠 웨이퍼 또는 3차원구조 회로의 공정단계, 회로의 동작 및 사용되는 처리장치에 적합한 조성물질을 갖는 기판이 될 수 있다. 상기 지지기판의 크기 및 형상은 가용한 제조장치 및 방법을 가장 적정화할 수 있는 선택적인 사항이다. 회로기판들은 상기 지지기판에 접착되고, 이어서 여러 가지 방법들을 통하여 씨닝된다. 회로기판들은 표준 단결정 반도체기판상에 형성되거나 또는 실리콘이나 쿼츠와 같은 적절한 기판상에 형성된 폴리실리콘 회로와 같은 것일 수 있다.
폴리실리콘 트랜지스터 회로는 폴리실리콘 회로들이 형성된 기판을 떼어내거나 재사용할 수 있도록 해주는 분리층을 접착할 수 있다는 중요한 비용절감의 요인을 갖는다. 폴리실리콘 트랜지스터 또는 TFT(Thin Film Transistor)장치는 광범위하게 사용되며, 유일하게 실리콘으로만 만들어질 필요는 없다.
3차원구조 메모리 회로의 다양한 회로층은 두개의 금속 표면, 전형적으로는 알루미늄간의 열확산을 이용하여 함께 접착된다. 접착되는 회로의 표면은, 적어도 접착되어질 (기판상에 형성된) 회로의 표면 영역위로 1 mm이하, 바람직하게는 1,000Å 이하의 표면 평탄도를 갖도록 화학적 기계적 폴리싱(CMP)방법에 의해 평탄화되며, 공정수행이 되지 않은 반도체 웨이퍼 또는 공정수행된 반도체 웨이퍼의 표면의 경우와 같이 매끄럽고 충분히 평탄하다. 상기 접착되어질 회로의 표면상에 있는 금속 접착물질은 서로 거울상이 되고, 도 2a, 도 2b, 도 2c 및 도 5에서 지시된 것처럼 다양한 수직 상호연결 콘택을 정의할 수 있도록 패턴되어진다. 두 회로기판의 접착단계는 동시에 상기 두 회로층 또는 기판간의 수직적 상호연결부를 형성하는 것이다.
회로층의 열확산 접합은 바람직하게는 약간의 H2O 및 O2를 포함하는 N2 분위기 및 제어된 압력을 갖는 챔버내에서 수행된다. 상기 접합장치는 접합되어질 기판들의 패턴들을 정렬하고, 일련의 계획된 압력과 접착물질로서 사용되는 금속의 형태에 따라 요구되는 시간주기 동안 하나 또는 그 이상의 온도하에서 이들을 함께 압착시킨다. 상기 접착물질의 두께는 통상적으로는 500Å 내지 15,000Å의 범위, 바람직하게는 1,500Å이 된다. 기판의 초기 접착은 접착패턴의 디자인에 따라서 1 torr 내지 740 torr 사이의 음압과 같이 표준압보다 낮은 압력하에서 수행되는 것이 바람직하다. 이것은 접착면 사이에 내부 음압을 남길 수 있으며, 외부의 대기압이 회복되면서 접착의 형성을 지원하며, 접착의 신뢰성을 증진시켜준다.
바람직한 접착물질은 순수한 알루미늄 또는 알루미늄 합금이지만, 알루미늄 에 한정되지 않고, 예를 들어 허용되는 온도 및 형성주기에서 허용가능한 표면접착 확산능력을 제공하는 Sn, Ti, In, Pb, Zn, Ni, Cu, Pt, Au 및 이들 금속의 합금을 포함할 수 있다. 상기 접착물질은 금속에 한정되지 않고, 고전도성 폴리실리콘과 같은 접착물질의 조합일 수 있으며, 그 일부는 실리콘다이옥사이드와 같은 비도전성일 수 있으며, 전술한 접착물질 선택에 대한 예시적인 형태는 회로층이 어떻게 접착되는가에 대하여는 제한을 받지 않는다.
금속 접착물질이 만족스러운 접착을 방해하거나 접착에 의해 형성된 수직 상호연결부에서 저항을 증가시킬지도 모르는 표면의 자연산화막을 형성하는 경우에는 상기 산화막은 제거되어야 한다. 상기 접착장치는 접착물질의 접착표면이 표면 자연산화막없이 이루어지도록 산화막 감소 기능을 제공한다. 표면산화막의 감소를 위한 가스분위기를 형성하는 방법들은 주지되어 있으며, 스퍼터링 식각, 플라즈마 식각 또는 이온밀 식각과 같은 자연산화막을 제거하는 다른 방법들도 있다. 알루미늄이 접착물질로 사용되는 경우, 접착표면에 형성되는 약 40Å 정도의 자연 알루미늄산화막은 접착 전에 제거하는 것이 바람직하다.
3차원구조 메모리회로의 씨닝된 유연한 기판 회로층들은 메모리 어레이 회로들이지만, 상기 씨닝된 기판 회로층들은 메모리 회로에 한정되는 것은 아니다. 다른 형태의 회로층으로서, 제어기 회로, EEPROM과 같은 비휘발성 메모리, 마이크로프로세서 로직 및 그래픽 또는 데이터베이스 처리를 지원하는 것과 같은 특정 로직기능이 적용된 것을 포함하는 부가적인 로직 회로 등이 될 수 있다. 이러한 회로층의 형태에 대한 선택은 회로설계의 기능적 요구가 뒤따르지만 3차원구조 메모리 제 조공정에 의해 제한되지는 않는다.
상기 씨닝된 유연한 기판 회로층들은 종래의 메모리 회로 제작시 일반적으로 사용되던 실리콘옥사이드 및 실리콘나이트라이드와 같은 높은 스트레스를 갖는 유전체와는 반대로 저스트레스의 실리콘다이옥사이드 및 실리콘나이트라이드 유전체와 같은 저스트레스(5 x 108 dynes/cm2 이하)의 유전체로 제작하는 것이 바람직하다. 이러한 저스트레스 유전체에 관하여는 인용에 의해 본 명세서에 통합되는 본 발명자의 미합중국 특허 제5,354,695호에서 논의되었다. 종래의 스트레스 레벨을 갖는 유전체가 3차원구조 DRAM 회로의 어셈블리에 사용될 수도 있지만, 만약 수개층 이상으로 적층된 어셈블리의 경우 어셈블리내의 각 층은 층의 증착막의 전체 스트레스가 5 x 108 dynes/cm2 이하가 되도록 스트레스의 균형이 이루어져야 한다. 본질적으로 낮은 스트레스의 증착막을 사용하는 것이, 개별적으로 증착된 막들의 스트레스가 동일하지 않지만 전체적으로 평가하여 낮은 스트레스를 갖도록 증착하는 방법보다는 바람직하다.
[ 방법 A, 3차원구조 메모리장치 제작 시퀀스 ]
이 제작 시퀀스는 수개의 회로층이 공통 또는 지지 기판에 접착되어지며 연속적으로 제자리에서 씨닝되는 것으로 가정한다. 제작된 3차원구조 메모리회로의 예가 도 1a에 나타난다.
1. 공통기판을 제2 회로기판의 상단측에 정렬하고 접착한다.
2A. 제2 회로기판의 이면 또는 노출면을 50 ㎛ 이하의 두께로 연마하고, 이 어서 표면을 폴리싱 또는 매끄럽게 한다. 여기서 씨닝된 기판은 유연한 기판이 된다.
선택적으로, 장치의 제작에 앞서 반도체기판 아래로 1 마이크론 이하에서 수 마이크론에 이르는 에치스톱(Etch stop)을 제2 기판내에 접착시킬 수도 있다. 이러한 에치스톱은 GeB(여기서 인용에 의해 통합되는 본 발명자의 미합중국 특허 제5,354,695호 및 제5,323,035호에서 설명됨)와 같은 에피택셜 형성막, 또는 상기 제2 기판의 상단측상의 상기 장치층의 바로 아래에 매몰 옥사이드 또는 나이트라이드 장벽 에치 스톱층을 형성하기 위한 산소 또는 질소의 저밀도 이온주입층이 될 수 있다. 상기 기판의 이면의 중요부분에 대한 초벌 연마를 한 후, 상기 제2 기판의 이면의 잔존하는 부분을 화학조에서 선택적으로 식각하며, 상기 에피택셜층 또는 이온주입층의 표면상에서 멈춘다. 필요하면 후속적으로 폴리싱 및 반응성 이온 식각(RIE) 단계가 제2 기판의 씨닝을 완료하기 위해 사용될 수도 있다.
다르게는, 장치 제작에 앞서 상기 제2 기판의 상단측 표면속으로 H2 등이 주입된 분리층이, 제2 기판의 이면의 대부분을 깨뜨려 재사용할 수 있도록 열공정 단계와 함께 사용될 수도 있다.
2B. 상기 제2 기판은, 택일적으로 특정한 화학적 방출제를 사용하여 활성화될 수 있는, 알루미늄, 티타늄, AlAs, KBr 등과 같은 분리층 위로 폴리실리콘 트랜지스터 또는 TFT가 형성된 회로가 될 수도 있다. 이어서, 제2 기판의 이면은 방출층을 활성화(용해)시켜 제거되며, 필요하면 상호연결 처리단계가 후속된다.
3. 제2 기판의 접착 표면측과 함께 도 4에서 보여지는 것과 같은 수직 상호 연결부를 형성하기 위해 제2 기판의 씨닝된 이면을 처리한다. 이면 처리는 전형적으로 유전체 및 금속 증착, 리소그라피 및 반응성 이온식각 등의 종래의 반도체 공정 단계들을 포함하며, 그 순서는 상당한 정도로 다양하게 할 수 있다. 이면 처리가 완료되면 부가적인 회로기판의 후속되는 접착을 촉진하기 위한 상단측 접착물질 패턴, 종래의 I/O 집적회로 접착패드(와이어 본딩) 패턴과 같은 최종 패턴, 3차원구조 메모리 회로를 다른 다이(다른 3차원구조 회로 또는 종래의 다이)에 열확산 접착하기 위한 패턴, 또는 삽입형 상호연결을 위한 패턴, 종래의 DCA(Direct Chip Attach) 또는 FCA(Flip-Chip Attach)를 위한 패턴과 유사한 금속 패턴층으로 된다.
보다 구체적으로 도 4를 참조하면, 액티브 회로장치를 제작하는 동안에 산화막 마스크(401)가 열적으로 성장 또는 증착된다. 이어서,수직 버스콘택(403)이, 예를 들어 폴리실리콘 게이트 형성단계와 일치하는 고농도 폴리실리콘으로부터 형성된다. 택일적으로, 콘택(403)은 금속으로 형성될 수도 있다. 이어서,종래의 DRAM 상호연결 구조(410)가 종래의 공정을 사용하여 형성된다. 상기 DRAM 상호연결부는 내부패드(405)를 포함할 수 있다. 웨이퍼의 DRAM 형성부(420)는 다양한 유전체 및 금속층을 포함한다. 비어(409)가 형성된 후 최종 패시베이션층(407)이 증착된다. 이어서 종래의 CMP 공정이 평탄면(411)을 얻기위해 사용되어진다. 이어서 콘택(413)과 도시되지 않은 접착표면이 최상의 금속층(예를 들어, 금속-3)에서 패턴되어진다.
약 1 내지 8 mm의 실리콘(또는 다른 반도체)기판(415)에 상기 제2 기판을 접착시키고 제2 기판의 이면을 씨닝한 후, 이어서 투입로(417)가 콘택(403)과 정합되 도록 형성된다. 다른 웨이퍼의 접착을 허용할 수 있도록 상기 콘택(403)과 거울상을 형성하는 콘택(421)이 형성될 수도 있다.
4. 만약 다른 회로층이 상기 3차원구조 회로 스택에 접착된다면, 단계 1 내지 3이 반복된다.
5A. 이어서 완료된 3차원구조 메모리 기판의 회로는 종래와 같이 절단되어 도 1a에서 보여지는 형태의 회로가 되는 다이(단독적으로 됨)가 되고, 종래의 집적회로의 경우와 같이 패키징된다.
5B. 이어서 완료된 3차원구조 메모리 기판의 회로들은 종래와 같이 절단되고, 이어서 전술한 단계 1의 회로기판의 접착시 사용된 것과 유사한 방식으로 제2 다이(종래의 집적회로) 또는 MCM 기판에 개별적으로 정렬 및 열확산 접합된다. (상기 종래의 다이 또는 MCM 기판은 상기 3차원구조 메모리 기판보다 큰 면적을 가질 수 있으며, 그래픽 제어기, 비디오 제어기 또는 마이크로프로세서를 포함함으로서 상기 3차원구조가 다른 회로의 일부로서 끼워질 수 있다.) 이러한 최종 접착단계는 전형적으로 상기 3차원구조 메모리 회로와 상기 다이 또는 MCM 기판과의 사이에 미립자 상호연결부를 결부시키지만, 또한 종래의 상호연결 패턴을 사용할 수도 있다. 더구나, 3차원구조 메모리 회로는 다이 형태의 종래의 집적회로 또는 MCM 기판에 면접하여 접착될 수 있으며, 종래의 I/O 상호연결을 형성하기 위해 와이어본딩이 사용될 수 있다.
[ 방법 B, 3차원구조 메모리장치 제작 시퀀스 ]
본 제작 시퀀스는 회로기판이 우선 전송기판에 접착되고, 씨닝되고 이어서 회로 스택의 한 층으로서 공통기판에 접착되는 것으로 가정한다. 이어서 상기 전송기판이 해체된다. 이 방법은 방법 A에 비하여, 최종 회로 스택에 접착되기에 앞서 기판을 씨닝할 수 있으며, 씨닝과 기판 회로층의 수직 상호연결 공정을 동시에 수행할 수 있다는 장점이 있다.
1. 해체층 또는 분리층을 사용하여 전송기판에 제2 회로기판을 접착시킨다. 상기 전송기판은 높은 공차를 갖는 평행면(TTV 또는 1㎛ 이하의 총두께 편차)을 가지며, 분리공정을 지원하기 위해 작은 홀들의 어레이로 관통될 수 있다. 상기 분리층은 접착물질의 전면증착층일 수 있다. 표면의 정확한 정렬은 요구되지 않는다.
2. 방법 A의 단계 2A 또는 2B를 수행한다.
3. 도 4에서 보여지는 바와 같이 제2 기판의 접착된 상단측 표면과 상호연결부를 형성하기 위해 제2 기판의 이면을 처리한다. 상기 이면 처리는 전형적으로 유전체 및 금속 증착, 리소그라피 및 RIE와 같은 종래의 반도체 공정 단계들을 포함하며, 그 순서는 상당히 다양하게 할 수 있다. 이면 처리가 완료되면, 부가적인 회로층의 후속 접착을 촉진하기 위해 공통 기판의 접착물질 패턴과 유사하게 패턴된 금속층으로 된다.
4. 제2 회로를 공통 또는 지지 기판(3차원구조 스택)에 접착시키고, 이것과 제2 회로 사이의 분리층을 활성화시켜 상기 전송기판을 해체한다.
5. 후속되는 기판 접착을 위한 상호연결부를 형성하기 위해 제2 기판의 노출된 상단측, 또는 종래의 I/O 집적회로 접착패드(와이어 본딩) 패턴과 같은 최종 패턴, 3차원구조 메모리 회로를 다른 다이(다른 3차원구조 회로 또는 종래의 다이)에 열확산 접착하기 위한 패턴, 또는 삽입형 상호연결, 종래의 DCA(Direct Chip Attach) 또는 FCA(Flip-Chip Attach)를 위한 패턴을 처리한다. 만약 다른 회로층을 상기 3차원구조 회로 스택에 접착시키려면 단계 1 내지 4를 반복한다.
6. 방법 A의 단계 5A 또는 5B를 수행한다.
[ 3차원구조 메모리장치 수율 증진방법들 ]
3차원구조 회로는 수직적으로 조립된 MCM(Multi-Chip Module)으로 생각할 수 있으며, MCM에서와 같이 최종 수율은 완성된 3차원구조 회로에서 각 구성회로(층)의 수율의 곱이 된다. 3차원구조 회로는 단일 메모리 집적회로를 접착하여 상승효과를 내는 수배의 수율 증진방법을 사용한다. 3차원구조 메모리 회로에서 사용된 수율 증진방법은 작아진 메모리 어레이 블록의 크기, 물리적으로 유일한 또는 독립된 수직 버스 상호연결부를 통한 메모리 어레이 블록의 전기적 분리, 내부 메모리 어레이 블록 게이트선의 여유분, 메모리 어레이층의 여유분(블록간 게이트선 여유분), 제어기 여유분 및 ECC(Error Correcting Codes)를 포함한다. 여유분이란 용어는 여분 요소에 의한 대체를 의미한다.
메모리 어레이 블록의 선택된 크기는 3차원구조 메모리 회로을 위한 수율 계산식에서 제1 요소이다. 각 메모리 어레이 블록은 제어기 회로에 의해 개별적으로(유일하게) 억세스되고 동력을 공급받으며, 동일한 메모리 어레이층 뿐만아니라 다른 메모리 어레이층의 것을 포함하는 모든 다른 메모리 어레이 블록과 각기 물리적으로 독립적이다. 메모리 어레이 블록의 크기는 전형적으로 5 mm2 이하이며, 바람직 하게는 3 mm2 이하지만, 특정 크기로 제한되지 않는다. 거의 모든 집적회로 제조공정에 대하여, 메모리 어레이 블록의 크기, NMOS 또는 PMOS 트랜지스터의 제조공정의 단순성, 다른 메모리 어레이 블록들로부터의 물리적 독립성은 줄잡아 99.5 % 이상의 정상수율을 제공한다. 이러한 수율은, 오픈 또는 쇼트된 상호연결부 또는 불량 메모리셀들과 같은 메모리 어레이 블록에서의 모든 점결함이 내부의 블록 또는 여분 게이트선의 내부 블록 세트로부터 대체되어 수리될 수 있다는 것을 가정한다. 완성된 메모리 어레이 블록을 사용할 수 없게 하는 메모리 어레이 블록내의 주요 결함은 여유분의 메모리 어레이층으로부터 상기 블록을 완전히 대체하도록 하게하거나 또는 3차원 회로의 불량을 초래한다.
3차원구조 DRAM 회로의 예에서, 메모리 어레이 블록의 스택의 수율은 수율계산식 Ys = (( 1- (1-Py)2)n)b으로부터 계산되며, 여기서 n은 DRAM 어레이층의 수이고, b는 DRAM 어레이당 블록의 수이며, Py는 면적이 3 mm2 이하의 DRAM 어레이 블록의 유효 수율(확률)을 나타낸다. DRAM 어레이 블록 라인들과 하나의 여유분 DRAM 어레이층에서 게이트선에 대하여 4 %의 DRAM 어레이 블록 여유분을 가정하며, 나아가 층당 블록수는 64이고, 스택당 메모리 어레이층의 수는 17이며, Py에 대한 유효값은 0.995으로 가정하면, 완성된 메모리 어레이(모든 메모리 어레이 블록 스택을 포함함)에 대한 스택 수율 Ys는 97,47 %가 된다.
이어서, 상기 메모리 어레이 스택 수율 Ys는 제어기의 수율 Yc로 곱하여진 다. 50 mm2 이하의 다이 크기를 가정하고, 0.5 ㎛ BiCMOS 또는 혼합신호 처리로부터 제작된 제어기에 대한 적절한 수율 Yc가 65 % 내지 85 % 사이라고 가정하면, 전체 3차원구조 메모리 회로 수율은 63.4 % 내지 82.8 %가 된다. 만약 여유분의 제어기 회로층이 상기 3차원구조 메모리 스택에 부가되면, 수율은 85.7 % 내지 95.2 %가 될 것이다.
메모리 어레이 블록의 유효 수율은 ECC 로직을 선택적으로 사용하면 더욱 증가될 것이다. ECC 로직은 일부 그룹의 데이터 비트의 크기에 대한 데이터 비트 에러를 정정해준다. ECC 로직의 동작을 위해 필요한 신드롬 비트들이 수직적으로 연관된 블록 스택에서 어떤 메모리 어레이층의 여유분 게이트선상에 저장될 것이다. 더구나, 필요하다면, ECC 신드롬 비트의 저장을 수용하기 위하여 부가적인 메모리 어레이층이 상기 회로에 부가될 수 있다.
[ 유리한 3차원구조 메모리소자 제어기 능력들 ]
종래의 메모리 회로와 비교하여 상기 3차원구조 제어기 회로는, 제어기 회로를 위해 유용한 부가적인 면적과 여러가지 혼합 신호처리 제작기술의 유용성에 기인한 여러 가지 유리한 능력들을 갖는다. 이러한 능력들의 일부가 동적인 게이트선 어드레스 배정을 갖는 메모리셀의 자기테스트, 가상 어드레스전환, 프로그램가능한 어드레스 윈도윙 또는 매핑, ECC, 데이터 압축 및 다중레벨 저장등이다.
동적 게이트선 어드레스 배정은 독출/기입 동작을 위한 층 및 게이트선을 가동하기 위하여 프로그래밍가능한 게이트의 사용을 의미한다. 이것은 저장된 메모리의 논리적 순서와 독립적이거나 또는 다른 메모리 저장의 물리적 순서를 허용한다.
각 세대의 메모리 장치를 테스트하는 것은 테스트 비용을 상당히 증가시켰다. 3차원구조 메모리 제어기는 다양한 메모리 어레이 블록들의 내부 테스트(자기 테스트)를 수행하기 위해 충분한 제어 로직을 접착시킴으로써 테스트 비용을 감소시킨다. 종래의 ATE방식의 회로 테스트는 단지 제어기 회로 기능의 확인을 위해서만 요구되어진다. 내부 테스트의 사상은 나아가 각 층상에서 각 메모리 어레이 블록의 여러 게이트선에 대응하여 유일한 어드레스들을 프로그램가능하게(동적으로) 배정하는 것으로 확장되었다. 3차원구조 제어기 회로의 자기테스트 능력은 3차원구조 메모리 회로가 제품에서 사용된 후 불량으로 된 게이트선의 어드레스를 재구성(대체)함으로써 회로의 신뢰성을 향상시키기 위한 수단 및 진단 기구로서 3차원구조 메모리 회로의 수명 동안에는 언제나 사용될 수 있다.
ECC는 제어기 회로에 포함된다면 프로그래밍 신호에 의해 가동되거나 또는 가동되지 않는, 또는 전용 기능을 수행하는 회로 능력이다.
데이터 압축 로직은 3차원구조 메모리 어레이에 저장될 수 있는 전체 데이터량을 증가시킬 수 있도록 해준다. 이런 목적을 위해 유용한 여러 가지 데이터 압축방법이 주지되어 있다.
레이져 센스 앰프는 보다 향상된 동적 성능과 메모리셀로부터 고속의 독출동작을 하게 해준다. 레이져 센스 앰프는 각 메모리셀에서 1비트 이상(다중 레벨 저장)의 정보를 저장하는 능력을 제공할 것으로 기대된다. 이러한 능력은 이미 플래시 EPROM과 같은 비휘발성 메모리 회로내에서 증명되어졌다. 다중레벨 저장은 또한 4기가비트 DRAM 세대의 회로에서 사용하기 위해 제안되었다.
본 발명은 그 정신 또는 본질적 특징으로부터 벗어남이 없이 다른 특정한 형태들로 실시될 수 있다는 것은 당업자라면 인식할 수 있을 것이다. 따라서 여기에 개시된 실시예들은 모든 점에서 예시적인 것이며, 제한적인 것으로 생각해서는 안된다. 본 발명의 범위는 전술한 설명보다도 첨부한 클레임들에 의해 표현되며, 그의 균등물 및 그 범위내에 속하는 모든 변화는 그 범위내에 포함된다.
본 명세서에서는 예로서 DRAM형 회로가 자주 사용되었지만, 본 발명은 DRAM형 회로에 한정되는 것이 아니라는 것은 명백하다. 의심할 것도 없이 EEPROM(Electrically Erasable Programmable Read Only Memories), 플레시 EPROM, 강유전체, GMR(Giant Magneto Resistance) 또는 이러한 메모리셀들의 상호적 또는 내부적 조합물 등과 같은 메모리셀 형태들에 대하여도 3차원구조 메모리소자를 형성하기 위해 본 발명의 3차원 구조(3DS) 방법을 사용할 수 있다.

Claims (93)

  1. 제1 기판상에 메모리 회로를 제작하는 단계;
    제2 기판상에 메모리 제어기 회로를 제작하는 단계; 및
    상기 메모리 회로와 메모리 제어기 회로 사이의 상호연결부들을 형성하기 위해 각자 단독으로는 랜덤 억세스 데이터 저장을 하기에는 충분하지 않는 상기 제1 기판 및 제2 기판을 접착하는 단계를 구비하며, 상기 접착하는 단계는 상기 제2 기판에 대한 제1 기판의 열확산 접착이며, 상기 기판중의 하나의 이면이 씨닝되고, 이어서 상기 기판을 관통하는 상호연결부들을 형성하며 상기 기판의 이면상에서 콘택을 형성할 수 있도록 공정처리되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  2. 제 1항에 있어서, 상기 접착 단계는 적층된 집적회로 구조체를 형성하기 위하여 상기 제2 기판에 상기 제1 기판을 열확산 접착하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  3. 제 2항에 있어서, 상기 상호연결부들의 적어도 일부는 100 마이크론 이하의 피치를 갖는 미립자의 수직적 상호연결부임을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  4. 제 3항에 있어서, 상기 적층된 집적회로 구조체와 추가의 기판을 추가로 접착하는 단계를 구비하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  5. 제 4항에 있어서, 상기 추가의 기판을 추가로 접착하는 단계는 상기 적층된 집적회로 구조와 상기 추가의 기판을 서로 열확산 접착하는 것임을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  6. 제 5항에 있어서, 상기 열확산 접착은 100 마이크론 이하의 콘택 피치를 갖는 미립자 콘택 패턴들을 사용하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  7. 제 6항에 있어서, 상기 미립자 콘택 패턴들은 상기 미립자의 수직적 상호연결부들의 연장부를 형성하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  8. 제 1항에 있어서, 상기 적층된 집적회로 구조체와 추가의 기판을 추가로 접착하는 단계를 구비하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  9. 제 8항에 있어서, 상기 추가의 기판을 추가로 접착하는 단계는 단독의 적층된 집적회로 구조체와 상기 추가의 기판을 와이어 접착하는 것임을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  10. 제 1항에 있어서, 상기 상호연결부들의 적어도 일부는 평탄공정에 의해 형성되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  11. 제 8항에 있어서, 상기 추가의 기판을 추가로 접착하는 단계는, 단독의 적층된 집적회로 구조체와 상기 더욱 적층된 집적회로 또는 종래의 집적회로와의 미립자의 수직적 상호연결 콘택 패턴의 열확산 금속접착인 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  12. 제 8항에 있어서, 상기 추가의 기판을 추가로 접착하는 단계는, 단독의 적층된 집적회로 구조체와 상기 더욱 적층된 집적회로 또는 종래의 집적회로와의 상호연결 콘택 패턴의 열확산 금속접착인 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  13. 삭제
  14. 제 1항에 있어서, 상기 접착은 적층된 집적회로 구조체를 형성하기 위한 상기 제2 기판에 대한 제1 기판의 열확산 접착이며, 상기 방법은,
    적어도 하나의 부가적인 기판상에 적어도 하나의 부가적인 메모리 회로를 제작하는 단계; 및
    상기 적층된 집적회로 기판에 적어도 하나의 부가적인 기판을 접착하여 상기 적어도 하나의 부가적인 메모리 회로와 상기 메모리 제어기 회로 사이를 상호연결하며, 상기 상호연결부들의 적어도 일부가 메모리 회로가 형성된 기판을 관통하도록 하는 접착 단계를 더 구비하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  15. 제 14항에 있어서, 상기 상호연결부들의 형성을 촉진하기 위해, 메모리 회로들이 형성된 기판을 씨닝된 기판이 되도록 씨닝하는 단계를 더 구비하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  16. 제 15항에 있어서, 상기 상호연결부들의 적어도 일부는 100 마이크론 이하의 피치를 갖는 미립자의 수직적 상호연결부인 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  17. 제 15항에 있어서, 상기 씨닝된 기판은 두께가 50 ㎛ 이하가 되도록 씨닝되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  18. 제 15항에 있어서, 상기 씨닝된 기판의 반도체 부분은 1 내지 8 마이크론 범위의 두께로 씨닝되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  19. 제 15항에 있어서, 상기 씨닝단계는 상기 기판들을 그라인딩하는 것을 포함하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  20. 제 19항에 있어서, 상기 기판들은 접착되어진 후 그라인딩되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  21. 제 19항에 있어서, 상기 기판들은 접착되어지기 전에 그라인딩되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  22. 제 14항에 있어서, 적어도 하나의 상기 메모리 회로가 재사용가능한 기판상에 형성되며, 상기 메모리 회로가 형성된 층을 상기 재사용가능한 기판으로부터 분리하는 단계를 더 구비하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  23. 제 22항에 있어서, 적어도 하나의 상기 메모리 회로가 폴리실리콘 트랜지스터로 형성된 것임을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  24. 제 14항에 있어서, 상기 접착 단계는 열확산 접착을 포함하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  25. 제 24항에 있어서, 짝을 이루는 콘택 패턴들이 함께 접착되어지는 각 표면상 에 형성되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  26. 제 25항에 있어서, 짝을 이루는 콘택 패턴들은 주로 금속으로 형성되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  27. 제 26항에 있어서, 상기 금속은 Al, Sn, Ti, In, Pb, Zn, Ni, Cu, Pt 및 Au, 및 이들의 합금으로 이루어진 그룹으로부터 선택된 금속을 포함하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  28. 제 14항에 있어서, 상기 메모리 회로 및 메모리 제어기 회로는 반도체 회로이며, 상기 메모리 제어기 회로는 제1 반도체 공정기술을 사용하여 제작되며, 상기 메모리 회로는 다른 구별되는 제2 반도체 공정기술을 사용하여 제작되는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  29. 제 28항에 있어서, 상기 제1 반도체 공정기술은 제1 형태 및 제2 상보 형태의 액티브 반도체소자를 채용하는 것을 특징으로 하는 랜덤 억세스 메모리 형성방법.
  30. 제 28항에 있어서, 상기 제2 반도체 공정기술에 따라 형성된 반도체소자는 모두 단일 형태의 모스(MOS) 반도체소자를 포함하는 것을 특징으로 하는 랜덤 억세 스 메모리 형성방법.
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 메모리 회로 및 메모리 제어기 회로 중의 하나가 그 위에 형성된 강체인 제1 기판; 및
    상기 제1 기판에 접착되어 있으며, 상기 메모리 회로 및 메모리 제어기 회로 중의 나머지 것이 그 위에 형성된 적어도 하나의 유연한 기판;을 구비하는 것을 특징으로 하는 적층된 집적회로 메모리.
  39. 제 38항에 있어서, 상기 제1 기판은 그 위에 상기 메모리 회로가 형성되어 있으며 적층된 메모리 회로 기판들의 일부이며, 상기 제2 기판은 그 위에 메모리 제어기 회로가 형성된 것임을 특징으로 하는 적층된 집적회로 메모리.
  40. 제 39항에 있어서, 상기 제1 및 제2 기판들은 단독의 다이를 이루며, 상기 제2 기판이 상기 제1 기판보다 큰 면적을 갖는 것을 특징으로 하는 적층된 집적회로 메모리.
  41. 제 40항에 있어서, 상기 제2 기판은 그 위에 상기 메모리 제어기 회로와 분리된 부가 회로가 형성된 것을 특징으로 하는 적층된 집적회로 메모리.
  42. 제 41항에 있어서, 상기 부가 회로는 그래픽 디스플레이 서브시스템의 일부인 것을 특징으로 하는 적층된 집적회로 메모리.
  43. 제 41항에 있어서, 상기 부가 회로는 마이크로 프로세서를 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  44. 제 38항에 있어서, 상기 유연한 기판은 메모리 I/O 패드를 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  45. 제 44항에 있어서, 상기 메모리 회로는 상기 제1 기판과 접착되는 상기 유연 한 기판의 상부 표면 근처에 형성되며, 상기 메모리 I/O 패드는 상기 유연한 기판의 반대쪽 저부 표면 근처에 형성된 것을 특징으로 하는 적층된 집적회로 메모리.
  46. 제 38항에 있어서, 상기 메모리 회로 및 메모리 제어기 회로는 수직적 상호연결부에 의해 연결되는 것을 특징으로 하는 적층된 집적회로 메모리.
  47. 제 46항에 있어서, 상기 수직적 상호연결부는 100 마이크론 이하의 피치에서 형성된 미립자의 수직적 상호연결부를 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  48. 제 47항에 있어서, 상기 미립자의 수직적 상호연결부들의 적어도 일부는 2차원으로 어레이된 것을 특징으로 하는 적층된 집적회로 메모리.
  49. 제 47항에 있어서, 상기 메모리 회로는 2차원 어레이의 메모리 블록을 포함하며, 상기 각 메모리 블록은 상기 메모리 제어기에 상기 메모리 블록을 연결하는 제1 포트를 형성하는 미립자의 수직적 상호연결부의 어레이 근처에 형성되는 것을 특징으로 하는 적층된 집적회로 메모리.
  50. 제 49항에 있어서, 상기 메모리 블록의 적어도 일부는 상기 메모리 제어기에 상기 메모리 블록을 연결하는 제2 포트를 형성하는 미립자의 수직적 상호연결부의 어레이 근처에 형성되는 것을 특징으로 하는 적층된 집적회로 메모리.
  51. 제 38항에 있어서, 상기 메모리 회로의 적어도 하나는 여분의 메모리 위치를 제공하는 것을 특징으로 하는 적층된 집적회로 메모리.
  52. 제 51항에 있어서, 여분의 메모리 회로가 형성된 유연한 부가 기판을 더 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  53. 제 52항에 있어서, 상기 메모리 제어기 회로는 ECC 로직을 포함하며, 상기 여분 메모리 회로내에서 ECC 신드롬을 저장하도록 프로그래밍된 것을 특징으로 하는 적층된 집적회로 메모리.
  54. 제 51항에 있어서, 상기 메모리 제어기 회로는 상기 메모리 회로를 테스트하기 위한 로직을 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  55. 제 54항에 있어서, 상기 메모리 제어기 회로는 메모리 회로에서 결함적인 메모리 위치에 대하여 여분의 메모리 위치를 대체하도록 프로그래밍된 것을 특징으로 하는 적층된 집적회로 메모리.
  56. 제 38항에 있어서, 상기 메모리 제어기 회로는, 가상 메모리 관리, 간접 어 드레싱, 내용 어드레싱, 데이터 압축, 데이터 압축해제, 그래픽 가속, 오디오 인코딩, 오디오 디코딩, 비디오 인코딩, 비디오 디코딩, 음성인식, 필적인식, 전력관리 및 데이터베이스 처리 기능들 중의 적어도 하나를 수행하기 위한 로직을 포함하는것을 특징으로 하는 적층된 집적회로 메모리.
  57. 제 38항에 있어서, 상기 유연한 기판에 접착되며, 그 위에 여분의 메모리 제어기가 형성된 제2 기판을 더 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  58. 제 38항에 있어서, 상기 유연한 기판에 접착되며, 그 위에 마이크로 프로세서가 형성된 제2 기판을 더 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  59. 제 38항에 있어서, 상기 메모리 제어기회로는 상기 메모리 회로의 데이터선에 연결된 센스 증폭기를 포함하는 것을 특징으로 하는 적층된 집적회로 메모리.
  60. 제 59항에 있어서, 상기 센스 증폭기는 둘 이상의 신호레벨들을 식별하며, 각 센스 증폭기는 다중레벨 출력신호를 산출하는 것을 특징으로 하는 적층된 집적회로 메모리.
  61. 제 59항에 있어서, 상기 센스 증폭기는 10 ns 이하의 절환속도를 나타낼 수 있는 크기인 것을 특징으로 하는 적층된 집적회로 메모리.
  62. 집적회로들 사이에서 상호연결부를 형성하기 위해 각기 그 위에 집적회로가 형성된 다중 기판들을 함께 접착하는 방법에 있어서,
    제1 및 제2 각 기판상의 서로 짝을 이루는 표면을 처리하여 상기 짝을 이루는 각 표면의 기본적 평탄도를 얻도록 하는 단계;
    상기 짝을 이루는 표면상에 짝을 이루는 미립자의 상호연결 패턴을 형성하는 단계;
    상기 짝을 이루는 표면의 미립자, 플래나 열확산 접착을 수행하는 단계; 및
    상기 상호연결부의 형성을 촉진시키기 위해 상기 집적회로가 형성된 상기 기판의 적어도 하나를 씨닝된 기판이 되도록 씨닝하고, 상기 씨닝된 기판의 이면처리를 수행하는 단계;를 구비하는 것을 특징으로 하는 접착방법.
  63. 제 62항에 있어서, 상기 제2 기판에 대한 제1 기판의 열확산 접착은 적층된 집적회로 구조체를 형성하는 것을 특징으로 하는 접착방법.
  64. 제 63항에 있어서, 상기 상호연결부의 적어도 일부는 100 마이크론 이하의 피치를 갖는 미립자의 수직적 상호연결부인 것임을 특징으로 하는 접착방법.
  65. 제 64항에 있어서, 상기 적층된 집적회로 구조체와 추가의 기판을 추가로 접 착하는 단계를 포함하는 것을 특징으로 하는 접착방법.
  66. 제 65항에 있어서, 상기 적층된 집적회로 구조체와 상기 추가의 기판을 서로 접착하는 것은 열확산 접착인 것임을 특징으로 하는 접착방법.
  67. 제 66항에 있어서, 상기 열확산 접착은 100 마이크론 이하의 콘택 피치를 갖는 미립자의 콘택 패턴을 사용하는 것을 특징으로 하는 접착방법.
  68. 제 67항에 있어서, 상기 미립자 콘택 패턴은 상기 미립자 수직 상호연결부의 연장부를 형성하는 것을 특징으로 하는 접착방법.
  69. 제 62항에 있어서, 상기 적층된 집적회로 구조체와 추가의 기판을 접착하는 단계를 더 구비하는 것을 특징으로 하는 접착방법.
  70. 제 69항에 있어서, 상기 접착단계는 단독의 적층된 집적회로 구조체와 상기 추가의 기판을 와이어 접착하는 것임을 특징으로 하는 접착방법.
  71. 제 62항에 있어서, 상기 상호연결부의 적어도 일부는 평탄공정에 의해 형성되는 것임을 특징으로 하는 접착방법.
  72. 제 69항에 있어서, 상기 추가의 접착단계는 단독의 적층된 집적회로 구조체와 상기 추가로 적층된 집적회로 또는 통상의 집적회로의 미립자 수직 상호연결 콘택 패턴의 열확산 금속접착인 것임을 특징으로 하는 접착방법.
  73. 제 69항에 있어서, 상기 추가의 접착단계는 단독의 적층된 집적회로 구조체와 상기 추가의 적층된 집적회로 또는 종래의 집적회로의 상호연결 콘택 패턴의 열확산 금속접착인 것임을 특징으로 하는 접착방법.
  74. 삭제
  75. 제 62항에 있어서, 상기 상호연결부들의 형성을 촉진시키기 위해 상기 집적회로가 형성된 상기 기판을 씨닝된 기판이 되도록 씨닝하는 단계를 더 구비하는 것을 특징으로 하는 접착방법.
  76. 제 75항에 있어서, 상기 상호연결부들의 적어도 일부는 100 마이크론 이하의 피치를 갖는 미립자 수직 상호연결부인 것임을 특징으로 하는 접착방법.
  77. 제 75항에 있어서, 상기 씨닝된 기판은 두께가 50 ㎛ 이하로 씨닝되는 것을 특징으로 하는 접착방법.
  78. 제 75항에 있어서, 상기 씨닝된 기판의 반도체부분은 약 1 내지 8 마이크론 범위의 두께로 씨닝되는 것을 특징으로 하는 접착방법.
  79. 제 75항에 있어서, 상기 씨닝단계는 상기 기판들을 그라인딩하는 단계를 포함하는 것을 특징으로 하는 접착방법.
  80. 제 79항에 있어서, 상기 기판들은 접착된 후 그라인딩되는 것을 특징으로 하는 접착방법.
  81. 제 79항에 있어서, 상기 기판들은 접착하기 전에 그라인딩되는 것을 특징으로 하는 접착방법.
  82. 제 72항에 있어서, 상기 적어도 하나의 집적회로는 재사용가능한 기판상에 형성되며, 상기 집적회로가 형성된 층을 상기 재사용가능한 기판으로부터 분리하는 단계를 더 구비하는 것을 특징으로 하는 접착방법.
  83. 제 82항에 있어서, 상기 적어도 하나의 집적회로는 폴리실리콘 트랜지스터로 형성된 것임을 특징으로 하는 접착방법.
  84. 삭제
  85. 제 72항에 있어서, 짝을 이루는 콘택 패턴들이 함께 접착되는 각 표면상에 형성된 것을 특징으로 하는 접착방법.
  86. 제 85항에 있어서, 상기 짝을 이루는 콘택 패턴들은 주로 금속으로 형성된 것을 특징으로 하는 접착방법.
  87. 제 86항에 있어서, 상기 금속은 Al, Sn, Ti, In, Pb, Zn, Ni, Cu, Pt, Au 및 이들의 합금으로 이루어진 그룹으로부터 선택된 금속을 포함하는 것을 특징으로 하는 접착방법.
  88. 제 1항에 있어서, 상기 메모리 제어기 회로 내에 센스 증폭기를 결합시키는 단계 및 상기 센스 증폭기를 상기 메모리회로의 데이터선에 연결하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  89. 제 88항에 있어서, 둘 이상의 신호 레벨들을 식별하기 위해 상기 센스 증폭기를 사용하는 단계 및 상기 각 센스 증폭기로부터 다중 레벨의 출력신호를 산출하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  90. 제 1항에 있어서, 상기 메모리 제어기회로는 반도체 공정기술을 사용하여 제작되며, 상기 메모리 회로는 서로 다른 공정기술을 사용하여 형성되는 것을 특징으로 하는 방법.
  91. 제 90항에 있어서, 상기 다른 공정기술은 디램(DRAM), 에스램(SRAM), 플레시 이피롬(FLASH EPROM), 이이피롬(EEEPROM), 강유전체(Ferroelectric) 및 지엠알 (Giant Magnet Resistance;GMR)로 이루어진 그룹으로부터 선택된 것임을 특징으로 하는 방법.
  92. 제 1항에 있어서, 상기 열확산 접착에 의해 접착된 표면들은 상호연결된 금속배선과 상호연결되지 않은 금속배선을 포함하여,
    그에 따라 상기 열확산 접착은 상기 상호연결된 금속배선을 통하여 전기적 상호연결이 이루어지며, 동시에 상기 상호연결되지 않은 금속배선을 통하여 기계적 접착이 이루어지는 것을 특징으로 하는 방법.
  93. 제 62항에 있어서, 상기 열확산 접착에 의해 접착된 표면들은 상호연결된 금속배선과 상호연결되지 않은 금속배선을 포함하여,
    그에 따라 상기 열확산 접착은 상기 상호연결된 금속배선을 통하여 전기적 상호연결이 이루어지며, 동시에 상기 상호연결되지 않은 금속배선을 통하여 기계적 접착이 이루어지는 것을 특징으로 하는 방법.
KR1019997009061A 1997-04-04 1998-04-03 3차원구조 메모리 KR100639752B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020057018170A KR100785821B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/835,190 1997-04-04
US8/835,190 1997-04-04
US08/835,190 US5915167A (en) 1997-04-04 1997-04-04 Three dimensional structure memory
PCT/US1998/006861 WO1998045130A1 (en) 1997-04-04 1998-04-03 Three dimensional structure memory

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020067012803A Division KR100711820B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리
KR1020057018170A Division KR100785821B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리

Publications (2)

Publication Number Publication Date
KR20010005983A KR20010005983A (ko) 2001-01-15
KR100639752B1 true KR100639752B1 (ko) 2006-10-27

Family

ID=25268870

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1019997009061A KR100639752B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리
KR1020067012803A KR100711820B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리
KR1020057018170A KR100785821B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020067012803A KR100711820B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리
KR1020057018170A KR100785821B1 (ko) 1997-04-04 1998-04-03 3차원구조 메모리

Country Status (7)

Country Link
US (6) US5915167A (ko)
EP (3) EP2276035A3 (ko)
JP (6) JP2002516033A (ko)
KR (3) KR100639752B1 (ko)
CN (5) CN101188235B (ko)
TW (1) TW412854B (ko)
WO (1) WO1998045130A1 (ko)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101079301B1 (ko) * 2010-07-08 2011-11-04 윤재만 반도체 메모리 장치
US8114707B2 (en) 2010-03-25 2012-02-14 International Business Machines Corporation Method of forming a multi-chip stacked structure including a thin interposer chip having a face-to-back bonding with another chip
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
US8232636B2 (en) 2010-01-26 2012-07-31 International Business Machines Corporation Reliability enhancement of metal thermal interface
US8232648B2 (en) 2010-06-01 2012-07-31 International Business Machines Corporation Semiconductor article having a through silicon via and guard ring
US8237278B2 (en) 2009-11-16 2012-08-07 International Business Machines Corporation Configurable interposer
US8304863B2 (en) 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
US8409989B2 (en) 2010-11-11 2013-04-02 International Business Machines Corporation Structure and method to fabricate a body contact
US8415238B2 (en) 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8492878B2 (en) 2010-07-21 2013-07-23 International Business Machines Corporation Metal-contamination-free through-substrate via structure
US8546961B2 (en) 2011-01-10 2013-10-01 International Business Machines Corporation Alignment marks to enable 3D integration
US8558345B2 (en) 2009-11-09 2013-10-15 International Business Machines Corporation Integrated decoupling capacitor employing conductive through-substrate vias
US9029988B2 (en) 2010-09-30 2015-05-12 International Business Machines Corporation Through silicon via in n+ epitaxy wafers with reduced parasitic capacitance
KR101531843B1 (ko) * 2013-05-02 2015-06-26 하나 마이크론(주) 집적회로 소자 패키지의 제조 방법

Families Citing this family (1238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US7633162B2 (en) * 2004-06-21 2009-12-15 Sang-Yun Lee Electronic circuit with embedded memory
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US8018058B2 (en) * 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
FR2771852B1 (fr) * 1997-12-02 1999-12-31 Commissariat Energie Atomique Procede de transfert selectif d'une microstructure, formee sur un substrat initial, vers un substrat final
US6198168B1 (en) 1998-01-20 2001-03-06 Micron Technologies, Inc. Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same
JPH11204742A (ja) * 1998-01-20 1999-07-30 Sony Corp メモリ及び情報機器
US6090636A (en) * 1998-02-26 2000-07-18 Micron Technology, Inc. Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same
US6150188A (en) * 1998-02-26 2000-11-21 Micron Technology Inc. Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same
US6329712B1 (en) * 1998-03-25 2001-12-11 Micron Technology, Inc. High density flip chip memory arrays
US6219237B1 (en) 1998-08-31 2001-04-17 Micron Technology, Inc. Structure and method for an electronic assembly
US6392296B1 (en) 1998-08-31 2002-05-21 Micron Technology, Inc. Silicon interposer with optical connections
US6586835B1 (en) * 1998-08-31 2003-07-01 Micron Technology, Inc. Compact system module with built-in thermoelectric cooling
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US7157314B2 (en) 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6122187A (en) 1998-11-23 2000-09-19 Micron Technology, Inc. Stacked integrated circuits
US6255852B1 (en) 1999-02-09 2001-07-03 Micron Technology, Inc. Current mode signal interconnects and CMOS amplifier
JP4138254B2 (ja) * 1999-02-26 2008-08-27 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 記憶セル構造、およびこれを製造する方法
US6849480B1 (en) 1999-05-07 2005-02-01 Seagate Technology Llc Surface mount IC stacking method and device
US6903434B2 (en) 1999-05-20 2005-06-07 Alliance Semiconductors Method and apparatus for integrating flash EPROM and SRAM cells on a common substrate
DE19928733A1 (de) * 1999-06-23 2001-01-04 Giesecke & Devrient Gmbh Halbleiterspeicher-Chipmodul
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6259126B1 (en) * 1999-11-23 2001-07-10 International Business Machines Corporation Low cost mixed memory integration with FERAM
US6197663B1 (en) 1999-12-07 2001-03-06 Lucent Technologies Inc. Process for fabricating integrated circuit devices having thin film transistors
TW587252B (en) * 2000-01-18 2004-05-11 Hitachi Ltd Semiconductor memory device and data processing device
DE60032917T2 (de) * 2000-01-20 2007-10-25 Matsushita Electric Industrial Co., Ltd., Kadoma MR Kopf und Verfahren zur Herstellung desselben und Vorrichtung zur Magnetaufzeichnung/-wiedergabe
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US8575719B2 (en) 2000-04-28 2013-11-05 Sandisk 3D Llc Silicon nitride antifuse for use in diode-antifuse memory arrays
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6956757B2 (en) * 2000-06-22 2005-10-18 Contour Semiconductor, Inc. Low cost high density rectifier matrix memory
US6563133B1 (en) * 2000-08-09 2003-05-13 Ziptronix, Inc. Method of epitaxial-like wafer bonding at low temperature and bonded structure
US6765813B2 (en) 2000-08-14 2004-07-20 Matrix Semiconductor, Inc. Integrated systems using vertically-stacked three-dimensional memory cells
US6711043B2 (en) 2000-08-14 2004-03-23 Matrix Semiconductor, Inc. Three-dimensional memory cache system
EP2323164B1 (en) 2000-08-14 2015-11-25 SanDisk 3D LLC Multilevel memory array and method for making same
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6584541B2 (en) 2000-09-15 2003-06-24 Matrix Semiconductor, Inc. Method for storing digital information in write-once memory array
US20030120858A1 (en) * 2000-09-15 2003-06-26 Matrix Semiconductor, Inc. Memory devices and methods for use therewith
JP2002176137A (ja) 2000-09-28 2002-06-21 Toshiba Corp 積層型半導体デバイス
JP3934867B2 (ja) 2000-09-29 2007-06-20 株式会社東芝 不揮発性半導体記憶装置および不揮発性半導体メモリシステム
US6498088B1 (en) * 2000-11-09 2002-12-24 Micron Technology, Inc. Stacked local interconnect structure and method of fabricating same
US6377504B1 (en) * 2000-12-12 2002-04-23 Tachuon Semiconductor Corp High-density memory utilizing multiplexers to reduce bit line pitch constraints
US6591394B2 (en) * 2000-12-22 2003-07-08 Matrix Semiconductor, Inc. Three-dimensional memory array and method for storing data bits and ECC bits therein
US7352199B2 (en) 2001-02-20 2008-04-01 Sandisk Corporation Memory card with enhanced testability and methods of making and using the same
US6718432B1 (en) 2001-03-22 2004-04-06 Netlogic Microsystems, Inc. Method and apparatus for transparent cascading of multiple content addressable memory devices
US6521994B1 (en) * 2001-03-22 2003-02-18 Netlogic Microsystems, Inc. Multi-chip module having content addressable memory
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US7424201B2 (en) * 2001-03-30 2008-09-09 Sandisk 3D Llc Method for field-programming a solid-state memory device with a digital media file
US6748994B2 (en) * 2001-04-11 2004-06-15 Avery Dennison Corporation Label applicator, method and label therefor
US20050075847A1 (en) * 2001-07-11 2005-04-07 Tomonori Yamada Method for storing entity data in which shape and physical quantity are integrated and storing program
US6762092B2 (en) * 2001-08-08 2004-07-13 Sandisk Corporation Scalable self-aligned dual floating gate memory cell array and methods of forming the array
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6843421B2 (en) 2001-08-13 2005-01-18 Matrix Semiconductor, Inc. Molded memory module and method of making the module absent a substrate support
US7406361B2 (en) * 2001-08-16 2008-07-29 Riken Rapid prototyping method and apparatus using V-CAD data
GB0120113D0 (en) 2001-08-17 2001-10-10 Koninkl Philips Electronics Nv Memory circuit
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6624485B2 (en) 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
US7101770B2 (en) * 2002-01-30 2006-09-05 Micron Technology, Inc. Capacitive techniques to reduce noise in high speed interconnections
US6649505B2 (en) 2002-02-04 2003-11-18 Matrix Semiconductor, Inc. Method for fabricating and identifying integrated circuits and self-identifying integrated circuits
US6731011B2 (en) 2002-02-19 2004-05-04 Matrix Semiconductor, Inc. Memory module having interconnected and stacked integrated circuits
US6853049B2 (en) 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US7235457B2 (en) 2002-03-13 2007-06-26 Micron Technology, Inc. High permeability layered films to reduce noise in high speed interconnects
US6639309B2 (en) * 2002-03-28 2003-10-28 Sandisk Corporation Memory package with a controller on one side of a printed circuit board and memory on another side of the circuit board
US6906361B2 (en) * 2002-04-08 2005-06-14 Guobiao Zhang Peripheral circuits of electrically programmable three-dimensional memory
WO2004001852A1 (en) * 2002-06-19 2003-12-31 Sandisk Corporation Deep wordline trench to shield cross coupling between adjacent cells for scaled nand
US6894930B2 (en) 2002-06-19 2005-05-17 Sandisk Corporation Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND
US6768661B2 (en) * 2002-06-27 2004-07-27 Matrix Semiconductor, Inc. Multiple-mode memory and method for forming same
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US6908817B2 (en) * 2002-10-09 2005-06-21 Sandisk Corporation Flash memory array with increased coupling between floating and control gates
US7183120B2 (en) * 2002-10-31 2007-02-27 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
US6954394B2 (en) * 2002-11-27 2005-10-11 Matrix Semiconductor, Inc. Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US20070164388A1 (en) * 2002-12-19 2007-07-19 Sandisk 3D Llc Memory cell comprising a diode fabricated in a low resistivity, programmed state
US7767499B2 (en) * 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US7285464B2 (en) 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7660181B2 (en) * 2002-12-19 2010-02-09 Sandisk 3D Llc Method of making non-volatile memory cell with embedded antifuse
US7618850B2 (en) * 2002-12-19 2009-11-17 Sandisk 3D Llc Method of making a diode read/write memory cell in a programmed state
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
WO2004061851A2 (en) 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US8008700B2 (en) * 2002-12-19 2011-08-30 Sandisk 3D Llc Non-volatile memory cell with embedded antifuse
US7800932B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7800933B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US7799675B2 (en) * 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US6806535B2 (en) * 2003-01-22 2004-10-19 Macronix International Co., Ltd. Non-volatile memory and fabricating method thereof
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7383476B2 (en) * 2003-02-11 2008-06-03 Sandisk 3D Llc System architecture and method for three-dimensional memory
US7293286B2 (en) * 2003-02-20 2007-11-06 Bea Systems, Inc. Federated management of content repositories
JP4419049B2 (ja) * 2003-04-21 2010-02-24 エルピーダメモリ株式会社 メモリモジュール及びメモリシステム
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7511352B2 (en) * 2003-05-19 2009-03-31 Sandisk 3D Llc Rail Schottky device and method of making
US7105406B2 (en) * 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) * 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US8071438B2 (en) * 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7867822B2 (en) 2003-06-24 2011-01-11 Sang-Yun Lee Semiconductor memory device
US7632738B2 (en) * 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US7863748B2 (en) * 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7057958B2 (en) * 2003-09-30 2006-06-06 Sandisk Corporation Method and system for temperature compensation for memory cells with temperature-dependent behavior
US20050073875A1 (en) * 2003-10-03 2005-04-07 Matsushita Electric Industrial Co., Ltd. Redundancy repaired yield calculation method
US7221008B2 (en) * 2003-10-06 2007-05-22 Sandisk Corporation Bitline direction shielding to avoid cross coupling between adjacent cells for NAND flash memory
US8018024B2 (en) 2003-12-03 2011-09-13 Sandisk 3D Llc P-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7682920B2 (en) * 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7423304B2 (en) 2003-12-05 2008-09-09 Sandisck 3D Llc Optimization of critical dimensions and pitch of patterned features in and above a substrate
US6951780B1 (en) * 2003-12-18 2005-10-04 Matrix Semiconductor, Inc. Selective oxidation of silicon in diode, TFT, and monolithic three dimensional memory arrays
FR2864336B1 (fr) * 2003-12-23 2006-04-28 Commissariat Energie Atomique Procede de scellement de deux plaques avec formation d'un contact ohmique entre celles-ci
JP3896112B2 (ja) * 2003-12-25 2007-03-22 エルピーダメモリ株式会社 半導体集積回路装置
JP4850392B2 (ja) * 2004-02-17 2012-01-11 三洋電機株式会社 半導体装置の製造方法
US7183153B2 (en) * 2004-03-12 2007-02-27 Sandisk Corporation Method of manufacturing self aligned non-volatile memory cells
FR2872625B1 (fr) * 2004-06-30 2006-09-22 Commissariat Energie Atomique Assemblage par adhesion moleculaire de deux substrats, l'un au moins supportant un film conducteur electrique
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
US7315466B2 (en) 2004-08-04 2008-01-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method for arranging and manufacturing the same
US7312487B2 (en) * 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
JP4966487B2 (ja) * 2004-09-29 2012-07-04 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US20060067117A1 (en) * 2004-09-29 2006-03-30 Matrix Semiconductor, Inc. Fuse memory cell comprising a diode, the diode serving as the fuse element
US7405465B2 (en) 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
JP4443379B2 (ja) * 2004-10-26 2010-03-31 三洋電機株式会社 半導体装置の製造方法
JP4873517B2 (ja) * 2004-10-28 2012-02-08 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7381615B2 (en) 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US7402886B2 (en) * 2004-11-23 2008-07-22 Sandisk Corporation Memory with self-aligned trenches for narrow gap isolation regions
US7218570B2 (en) * 2004-12-17 2007-05-15 Sandisk 3D Llc Apparatus and method for memory operations using address-dependent conditions
US7482223B2 (en) * 2004-12-22 2009-01-27 Sandisk Corporation Multi-thickness dielectric for semiconductor memory
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7259038B2 (en) * 2005-01-19 2007-08-21 Sandisk Corporation Forming nonvolatile phase change memory cell having a reduced thermal contact area
US7517796B2 (en) * 2005-02-17 2009-04-14 Sandisk 3D Llc Method for patterning submicron pillars
US7485967B2 (en) * 2005-03-10 2009-02-03 Sanyo Electric Co., Ltd. Semiconductor device with via hole for electric connection
US7303959B2 (en) * 2005-03-11 2007-12-04 Sandisk 3D Llc Bottom-gate SONOS-type cell having a silicide gate
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US8367524B2 (en) * 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US7553611B2 (en) * 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US8110863B2 (en) * 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
US7317641B2 (en) * 2005-06-20 2008-01-08 Sandisk Corporation Volatile memory cell two-pass writing method
US7764549B2 (en) * 2005-06-20 2010-07-27 Sandisk 3D Llc Floating body memory cell system and method of manufacture
US8169233B2 (en) 2009-06-09 2012-05-01 Google Inc. Programming of DIMM termination resistance values
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
US9507739B2 (en) 2005-06-24 2016-11-29 Google Inc. Configurable memory circuit system and method
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US8041881B2 (en) 2006-07-31 2011-10-18 Google Inc. Memory device with emulated characteristics
US9542352B2 (en) 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US7515453B2 (en) * 2005-06-24 2009-04-07 Metaram, Inc. Integrated memory core and memory interface circuit
US8796830B1 (en) 2006-09-01 2014-08-05 Google Inc. Stackable low-profile lead frame package
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US9171585B2 (en) 2005-06-24 2015-10-27 Google Inc. Configurable memory circuit system and method
US7386656B2 (en) 2006-07-31 2008-06-10 Metaram, Inc. Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit
US8335894B1 (en) 2008-07-25 2012-12-18 Google Inc. Configurable memory system with interface circuit
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US7609567B2 (en) 2005-06-24 2009-10-27 Metaram, Inc. System and method for simulating an aspect of a memory circuit
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US8081474B1 (en) 2007-12-18 2011-12-20 Google Inc. Embossed heat spreader
US8359187B2 (en) 2005-06-24 2013-01-22 Google Inc. Simulating a different number of memory circuit devices
US8130560B1 (en) 2006-11-13 2012-03-06 Google Inc. Multi-rank partial width memory modules
US20080082763A1 (en) 2006-10-02 2008-04-03 Metaram, Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US8090897B2 (en) 2006-07-31 2012-01-03 Google Inc. System and method for simulating an aspect of a memory circuit
US20080028136A1 (en) 2006-07-31 2008-01-31 Schakel Keith R Method and apparatus for refresh management of memory modules
US8386722B1 (en) 2008-06-23 2013-02-26 Google Inc. Stacked DIMM memory interface
US8111566B1 (en) 2007-11-16 2012-02-07 Google, Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US20070069241A1 (en) * 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
US7453755B2 (en) * 2005-07-01 2008-11-18 Sandisk 3D Llc Memory cell with high-K antifuse for reverse bias programming
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US7345907B2 (en) 2005-07-11 2008-03-18 Sandisk 3D Llc Apparatus and method for reading an array of nonvolatile memory cells including switchable resistor memory elements
US7362604B2 (en) * 2005-07-11 2008-04-22 Sandisk 3D Llc Apparatus and method for programming an array of nonvolatile memory cells including switchable resistor memory elements
US7955515B2 (en) * 2005-07-11 2011-06-07 Sandisk 3D Llc Method of plasma etching transition metal oxides
US7978561B2 (en) 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
JP2009502962A (ja) * 2005-07-29 2009-01-29 ザ ジェネラル ホスピタル コーポレイション 皮膚損傷を軽減するための方法、及び組成物
US7827345B2 (en) * 2005-08-04 2010-11-02 Joel Henry Hinrichs Serially interfaced random access memory
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
DE112006002300B4 (de) 2005-09-02 2013-12-19 Google, Inc. Vorrichtung zum Stapeln von DRAMs
JP4783100B2 (ja) * 2005-09-12 2011-09-28 独立行政法人理化学研究所 境界データのセル内形状データへの変換方法とその変換プログラム
US7800934B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Programming methods to increase window for reverse write 3D cell
US7541240B2 (en) * 2005-10-18 2009-06-02 Sandisk Corporation Integration process flow for flash devices with low gap fill aspect ratio
US7834338B2 (en) * 2005-11-23 2010-11-16 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7816659B2 (en) * 2005-11-23 2010-10-19 Sandisk 3D Llc Devices having reversible resistivity-switching metal oxide or nitride layer with added metal
US7352602B2 (en) * 2005-12-30 2008-04-01 Micron Technology, Inc. Configurable inputs and outputs for memory stacking system and method
US9632929B2 (en) 2006-02-09 2017-04-25 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US7875871B2 (en) * 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7829875B2 (en) * 2006-03-31 2010-11-09 Sandisk 3D Llc Nonvolatile rewritable memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7808810B2 (en) * 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7283414B1 (en) 2006-05-24 2007-10-16 Sandisk 3D Llc Method for improving the precision of a temperature-sensor circuit
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7754605B2 (en) * 2006-06-30 2010-07-13 Sandisk 3D Llc Ultrashallow semiconductor contact by outdiffusion from a solid source
US20080012065A1 (en) * 2006-07-11 2008-01-17 Sandisk Corporation Bandgap engineered charge storage layer for 3D TFT
US20080023790A1 (en) * 2006-07-31 2008-01-31 Scheuerlein Roy E Mixed-use memory array
US8279704B2 (en) * 2006-07-31 2012-10-02 Sandisk 3D Llc Decoder circuitry providing forward and reverse modes of memory array operation and method for biasing same
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
US7542338B2 (en) * 2006-07-31 2009-06-02 Sandisk 3D Llc Method for reading a multi-level passive element memory cell array
US7450414B2 (en) * 2006-07-31 2008-11-11 Sandisk 3D Llc Method for using a mixed-use memory array
US7486537B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Method for using a mixed-use memory array with different data states
US7542337B2 (en) * 2006-07-31 2009-06-02 Sandisk 3D Llc Apparatus for reading a multi-level passive element memory cell array
US8513789B2 (en) 2006-10-10 2013-08-20 Tessera, Inc. Edge connect wafer level stacking with leads extending along edges
US7901989B2 (en) * 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US7829438B2 (en) 2006-10-10 2010-11-09 Tessera, Inc. Edge connect wafer level stacking
JP4245180B2 (ja) * 2006-10-30 2009-03-25 エルピーダメモリ株式会社 積層メモリ
MX2009005241A (es) * 2006-11-17 2009-05-28 Qualcomm Inc Memoria de contenido direccionable.
US7952901B2 (en) * 2007-08-09 2011-05-31 Qualcomm Incorporated Content addressable memory
US7811916B2 (en) * 2006-12-13 2010-10-12 Sandisk 3D Llc Method for isotropic doping of a non-planar surface exposed in a void
US20080157169A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Shield plates for reduced field coupling in nonvolatile memory
US7952195B2 (en) * 2006-12-28 2011-05-31 Tessera, Inc. Stacked packages with bridging traces
US20080160680A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Methods of fabricating shield plates for reduced field coupling in nonvolatile memory
US7890723B2 (en) * 2006-12-29 2011-02-15 Sandisk Corporation Method for code execution
US7890724B2 (en) * 2006-12-29 2011-02-15 Sandisk Corporation System for code execution
US7605458B1 (en) * 2007-02-01 2009-10-20 Xilinx, Inc. Method and apparatus for integrating capacitors in stacked integrated circuits
KR20080080882A (ko) * 2007-03-02 2008-09-05 삼성전자주식회사 Ecc용 레이어를 구비하는 다층 구조 반도체 메모리 장치및 이를 이용하는 에러 검출 및 정정 방법
US7586773B2 (en) 2007-03-27 2009-09-08 Sandisk 3D Llc Large array of upward pointing p-i-n diodes having large and uniform current
US7851851B2 (en) * 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7982209B2 (en) 2007-03-27 2011-07-19 Sandisk 3D Llc Memory cell comprising a carbon nanotube fabric element and a steering element
US7745265B2 (en) * 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7514321B2 (en) * 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7808038B2 (en) * 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
US7575973B2 (en) * 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7667999B2 (en) * 2007-03-27 2010-02-23 Sandisk 3D Llc Method to program a memory cell comprising a carbon nanotube fabric and a steering element
KR101437892B1 (ko) 2007-03-27 2014-09-04 쌘디스크 3디 엘엘씨 3차원 nand 메모리와 이를 제조하는 방법
US7745285B2 (en) * 2007-03-30 2010-06-29 Sandisk Corporation Methods of forming and operating NAND memory with side-tunneling
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7537968B2 (en) 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
US7830697B2 (en) * 2007-06-25 2010-11-09 Sandisk 3D Llc High forward current diodes for reverse write 3D cell
US7684226B2 (en) * 2007-06-25 2010-03-23 Sandisk 3D Llc Method of making high forward current diodes for reverse write 3D cell
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090003083A1 (en) * 2007-06-28 2009-01-01 Sandisk 3D Llc Memory cell with voltage modulated sidewall poly resistor
US7846785B2 (en) * 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7800939B2 (en) * 2007-06-29 2010-09-21 Sandisk 3D Llc Method of making 3D R/W cell with reduced reverse leakage
JP5624463B2 (ja) 2007-06-29 2014-11-12 サンディスクスリーディー,エルエルシー 選択付着による可逆的抵抗スイッチング素子を使用するメモリセルおよびその形成方法
US8233308B2 (en) 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7902537B2 (en) 2007-06-29 2011-03-08 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US7759666B2 (en) * 2007-06-29 2010-07-20 Sandisk 3D Llc 3D R/W cell with reduced reverse leakage
US20090104756A1 (en) * 2007-06-29 2009-04-23 Tanmay Kumar Method to form a rewriteable memory cell comprising a diode and a resistivity-switching grown oxide
US7824956B2 (en) 2007-06-29 2010-11-02 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
US8461672B2 (en) * 2007-07-27 2013-06-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
US8551815B2 (en) 2007-08-03 2013-10-08 Tessera, Inc. Stack packages using reconstituted wafers
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
EP2037461A3 (en) 2007-09-12 2009-10-28 Samsung Electronics Co., Ltd. Multi-layered memory devices
US8136071B2 (en) * 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US20090070550A1 (en) * 2007-09-12 2009-03-12 Solomon Research Llc Operational dynamics of three dimensional intelligent system on a chip
US8042082B2 (en) * 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US8080874B1 (en) 2007-09-14 2011-12-20 Google Inc. Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween
US20090087993A1 (en) * 2007-09-28 2009-04-02 Steven Maxwell Methods and apparatus for cost-effectively increasing feature density using a mask shrinking process with double patterning
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US8349663B2 (en) * 2007-09-28 2013-01-08 Sandisk 3D Llc Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US8059443B2 (en) * 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
US8679861B2 (en) 2007-11-29 2014-03-25 International Business Machines Corporation Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
US20090144678A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration
US7759201B2 (en) * 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7887999B2 (en) * 2007-12-27 2011-02-15 Sandisk 3D Llc Method of making a pillar pattern using triple or quadruple exposure
US7746680B2 (en) 2007-12-27 2010-06-29 Sandisk 3D, Llc Three dimensional hexagonal matrix memory array
US7706169B2 (en) * 2007-12-27 2010-04-27 Sandisk 3D Llc Large capacity one-time programmable memory cell using metal oxides
US7764534B2 (en) * 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
US8878235B2 (en) 2007-12-31 2014-11-04 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US20090166610A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US8236623B2 (en) * 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US7906392B2 (en) 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof
US7745312B2 (en) * 2008-01-15 2010-06-29 Sandisk 3D, Llc Selective germanium deposition for pillar devices
JP2009200308A (ja) * 2008-02-22 2009-09-03 Oki Semiconductor Co Ltd 半導体パッケージ
GB2459251A (en) * 2008-04-01 2009-10-21 Sharp Kk Semiconductor nanowire devices
GB2458907A (en) * 2008-04-01 2009-10-07 Sharp Kk Device interconnects
US8304284B2 (en) * 2008-04-11 2012-11-06 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element, and methods of forming the same
US7812335B2 (en) * 2008-04-11 2010-10-12 Sandisk 3D Llc Sidewall structured switchable resistor cell
US8530318B2 (en) * 2008-04-11 2013-09-10 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US7723180B2 (en) * 2008-04-11 2010-05-25 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US7830698B2 (en) * 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US8110476B2 (en) 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8467224B2 (en) * 2008-04-11 2013-06-18 Sandisk 3D Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
TW201007836A (en) * 2008-04-11 2010-02-16 Sandisk 3D Llc Methods for etching carbon nano-tube films for use in non-volatile memories
US8048474B2 (en) * 2008-04-11 2011-11-01 Sandisk 3D Llc Method of making nonvolatile memory cell containing carbon resistivity switching as a storage element by low temperature processing
US8084366B2 (en) * 2008-04-11 2011-12-27 Sandisk 3D Llc Modified DARC stack for resist patterning
US7981592B2 (en) * 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
US7859887B2 (en) * 2008-04-11 2010-12-28 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
JP5469159B2 (ja) * 2008-04-11 2014-04-09 サンディスク スリーディー,エルエルシー カーボンナノチューブ可逆抵抗スイッチング素子を含むメモリセルおよびその形成方法
US7713818B2 (en) * 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US8450835B2 (en) * 2008-04-29 2013-05-28 Sandisk 3D Llc Reverse leakage reduction and vertical height shrinking of diode with halo doping
US7973555B1 (en) * 2008-05-28 2011-07-05 Xilinx, Inc. Configuration interface to stacked FPGA
US20090307415A1 (en) * 2008-06-05 2009-12-10 Yong-Hoon Kang Memory device having multi-layer structure and driving method thereof
CN102067310B (zh) * 2008-06-16 2013-08-21 泰塞拉公司 带有边缘触头的晶片级芯片规模封装的堆叠及其制造方法
US7732235B2 (en) 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US7781269B2 (en) * 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
US7932180B2 (en) * 2008-07-07 2011-04-26 Infineon Technologies Ag Manufacturing a semiconductor device via etching a semiconductor chip to a first layer
JP2011527834A (ja) * 2008-07-08 2011-11-04 サンディスク スリーディー,エルエルシー 炭素系抵抗率スイッチング材料およびその形成方法
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US8014185B2 (en) * 2008-07-09 2011-09-06 Sandisk 3D Llc Multiple series passive element matrix cell for three-dimensional arrays
US7733685B2 (en) * 2008-07-09 2010-06-08 Sandisk 3D Llc Cross point memory cell with distributed diodes and method of making same
US7579232B1 (en) 2008-07-11 2009-08-25 Sandisk 3D Llc Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US8309407B2 (en) * 2008-07-15 2012-11-13 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
WO2010009364A1 (en) * 2008-07-18 2010-01-21 Sandisk 3D, Llc Carbon-based resistivity-switching materials and methods of forming the same
US8031505B2 (en) * 2008-07-25 2011-10-04 Samsung Electronics Co., Ltd. Stacked memory module and system
US8557685B2 (en) * 2008-08-07 2013-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8298914B2 (en) 2008-08-19 2012-10-30 International Business Machines Corporation 3D integrated circuit device fabrication using interface wafer as permanent carrier
US8129256B2 (en) 2008-08-19 2012-03-06 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
US8431417B2 (en) * 2008-08-19 2013-04-30 Sandisk 3D Llc Methods for increasing carbon nano-tube (CNT) yield in memory devices
US8399336B2 (en) * 2008-08-19 2013-03-19 International Business Machines Corporation Method for fabricating a 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US8130528B2 (en) 2008-08-25 2012-03-06 Sandisk 3D Llc Memory system with sectional data lines
US8130527B2 (en) * 2008-09-11 2012-03-06 Micron Technology, Inc. Stacked device identification assignment
US8008213B2 (en) * 2008-09-30 2011-08-30 Sandisk 3D Llc Self-assembly process for memory array
US8076056B2 (en) * 2008-10-06 2011-12-13 Sandisk 3D Llc Method of making sub-resolution pillar structures using undercutting technique
US8027209B2 (en) 2008-10-06 2011-09-27 Sandisk 3D, Llc Continuous programming of non-volatile memory
US7920407B2 (en) * 2008-10-06 2011-04-05 Sandisk 3D, Llc Set and reset detection circuits for reversible resistance switching memory material
US7835207B2 (en) 2008-10-07 2010-11-16 Micron Technology, Inc. Stacked device remapping and repair
US20100102291A1 (en) * 2008-10-23 2010-04-29 Sandisk 3D Llc Carbon-based memory elements exhibiting reduced delamination and methods of forming the same
US7996736B2 (en) * 2008-10-26 2011-08-09 Sandisk 3D Llc Bad page marking strategy for fast readout in memory
US8080443B2 (en) * 2008-10-27 2011-12-20 Sandisk 3D Llc Method of making pillars using photoresist spacer mask
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US20100108976A1 (en) * 2008-10-30 2010-05-06 Sandisk 3D Llc Electronic devices including carbon-based films, and methods of forming such devices
US7858468B2 (en) * 2008-10-30 2010-12-28 Micron Technology, Inc. Memory devices and formation methods
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US8193074B2 (en) * 2008-11-21 2012-06-05 Sandisk 3D Llc Integration of damascene type diodes and conductive wires for memory device
EP2633854B1 (en) 2008-12-05 2015-09-16 Yeda Research And Development Co. Ltd. miRNA-9 or miRNA-9* for use in treating ALS
US7978496B2 (en) 2008-12-18 2011-07-12 Sandisk 3D Llc Method of programming a nonvolatile memory device containing a carbon storage material
US7929368B2 (en) * 2008-12-30 2011-04-19 Micron Technology, Inc. Variable memory refresh devices and methods
US8114765B2 (en) 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
US7846756B2 (en) * 2008-12-31 2010-12-07 Sandisk 3D Llc Nanoimprint enhanced resist spacer patterning method
US8084347B2 (en) * 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8470646B2 (en) * 2008-12-31 2013-06-25 Sandisk 3D Llc Modulation of resistivity in carbon-based read-writeable materials
US8023310B2 (en) * 2009-01-14 2011-09-20 Sandisk 3D Llc Nonvolatile memory cell including carbon storage element formed on a silicide layer
US8456880B2 (en) * 2009-01-30 2013-06-04 Unity Semiconductor Corporation Multiple layers of memory implemented as different memory technology
US8683164B2 (en) 2009-02-04 2014-03-25 Micron Technology, Inc. Stacked-die memory systems and methods for training stacked-die memory systems
EP2406821A2 (en) * 2009-03-13 2012-01-18 Tessera, Inc. Stacked microelectronic assemblies having vias extending through bond pads
US8183121B2 (en) 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US8270199B2 (en) 2009-04-03 2012-09-18 Sandisk 3D Llc Cross point non-volatile memory cell
US7978498B2 (en) 2009-04-03 2011-07-12 Sandisk 3D, Llc Programming non-volatile storage element using current from other element
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8279650B2 (en) 2009-04-20 2012-10-02 Sandisk 3D Llc Memory system with data line switching scheme
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US8988130B2 (en) 2009-05-20 2015-03-24 Qualcomm Incorporated Method and apparatus for providing through silicon via (TSV) redundancy
US8154904B2 (en) 2009-06-19 2012-04-10 Sandisk 3D Llc Programming reversible resistance switching elements
US8148230B2 (en) 2009-07-15 2012-04-03 Sandisk 3D Llc Method of making damascene diodes using selective etching methods
US7927977B2 (en) 2009-07-15 2011-04-19 Sandisk 3D Llc Method of making damascene diodes using sacrificial material
US8320181B2 (en) * 2009-08-25 2012-11-27 Micron Technology, Inc. 3D memory devices decoding and routing systems and methods
US8207064B2 (en) 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8900239B2 (en) 2009-10-14 2014-12-02 Skeletal Dynamics, Llc. Internal joint stabilizer for a multi-axis joint, such as a carpo-metacarpal joint or the like, and method of use
US8274130B2 (en) 2009-10-20 2012-09-25 Sandisk 3D Llc Punch-through diode steering element
US8551855B2 (en) 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8481396B2 (en) 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
TW201131744A (en) 2009-10-26 2011-09-16 Sandisk 3D Llc Methods and apparatus for layout of three dimensional matrix array memory for reduced cost patterning
US8233309B2 (en) * 2009-10-26 2012-07-31 Sandisk 3D Llc Non-volatile memory array architecture incorporating 1T-1R near 4F2 memory cell
US8551850B2 (en) * 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8223525B2 (en) 2009-12-15 2012-07-17 Sandisk 3D Llc Page register outside array and sense amplifier interface
US8213243B2 (en) 2009-12-15 2012-07-03 Sandisk 3D Llc Program cycle skip
US7923305B1 (en) 2010-01-12 2011-04-12 Sandisk 3D Llc Patterning method for high density pillar structures
US8026178B2 (en) 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
US8431492B2 (en) 2010-02-02 2013-04-30 Sandisk 3D Llc Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
US8389375B2 (en) 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
CN102893338A (zh) 2010-02-18 2013-01-23 桑迪士克3D有限责任公司 可逆电阻率切换元件的分步软编程
US8686419B2 (en) 2010-02-23 2014-04-01 Sandisk 3D Llc Structure and fabrication method for resistance-change memory cell in 3-D memory
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US8481394B2 (en) * 2010-03-04 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
WO2011115926A1 (en) 2010-03-16 2011-09-22 Sandisk 3D, Llc Bottom electrodes for use with metal oxide resistivity switching layers
US20110244683A1 (en) 2010-04-01 2011-10-06 Michiaki Sano Fabricating Voids Using Slurry Protect Coat Before Chemical-Mechanical Polishing
TW201207852A (en) * 2010-04-05 2012-02-16 Mosaid Technologies Inc Semiconductor memory device having a three-dimensional structure
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US8436447B2 (en) 2010-04-23 2013-05-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8385102B2 (en) 2010-05-11 2013-02-26 Sandisk 3D Llc Alternating bipolar forming voltage for resistivity-switching elements
US20110278529A1 (en) 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US8395942B2 (en) 2010-05-17 2013-03-12 Sandisk Technologies Inc. Junctionless TFT NAND flash memory
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
KR20110132820A (ko) * 2010-06-03 2011-12-09 삼성전자주식회사 다수개의 반도체 레이어가 적층 된 반도체 메모리 장치 및 시스템
US8520425B2 (en) 2010-06-18 2013-08-27 Sandisk 3D Llc Resistive random access memory with low current operation
US8724369B2 (en) 2010-06-18 2014-05-13 Sandisk 3D Llc Composition of memory cell with resistance-switching layers
US8395926B2 (en) 2010-06-18 2013-03-12 Sandisk 3D Llc Memory cell with resistance-switching layers and lateral arrangement
US8564305B2 (en) * 2010-06-22 2013-10-22 National Tsing Hua University Discontinuous type layer-ID detector for 3D-IC and method of the same
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
KR20120019882A (ko) * 2010-08-27 2012-03-07 주식회사 하이닉스반도체 반도체 집적회로
US8883589B2 (en) 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8389971B2 (en) 2010-10-14 2013-03-05 Sandisk 3D Llc Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US8841648B2 (en) 2010-10-14 2014-09-23 Sandisk 3D Llc Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
WO2012060253A1 (en) * 2010-11-05 2012-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8462580B2 (en) 2010-11-17 2013-06-11 Sandisk 3D Llc Memory system with reversible resistivity-switching using pulses of alternatrie polarity
US8355271B2 (en) 2010-11-17 2013-01-15 Sandisk 3D Llc Memory system with reversible resistivity-switching using pulses of alternate polarity
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8854865B2 (en) * 2010-11-24 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device
US8618614B2 (en) 2010-12-14 2013-12-31 Sandisk 3D Llc Continuous mesh three dimensional non-volatile storage with vertical select devices
US8625322B2 (en) 2010-12-14 2014-01-07 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements with low current structures and methods thereof
TWI473105B (zh) * 2011-01-18 2015-02-11 Macronix Int Co Ltd 具有錯誤自動檢查與更正位元之三維記憶體結構
TWI614747B (zh) * 2011-01-26 2018-02-11 半導體能源研究所股份有限公司 記憶體裝置及半導體裝置
US8866124B2 (en) 2011-02-02 2014-10-21 Sandisk 3D Llc Diodes with native oxide regions for use in memory arrays and methods of forming the same
US20120223414A1 (en) 2011-03-02 2012-09-06 Schricker April D Methods for increasing bottom electrode performance in carbon-based memory devices
US8553476B2 (en) 2011-03-03 2013-10-08 Sandisk 3D Llc Three dimensional memory system with page of data across word lines
US8374051B2 (en) 2011-03-03 2013-02-12 Sandisk 3D Llc Three dimensional memory system with column pipeline
US9053766B2 (en) 2011-03-03 2015-06-09 Sandisk 3D, Llc Three dimensional memory system with intelligent select circuit
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9432298B1 (en) 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
US8699293B2 (en) 2011-04-27 2014-04-15 Sandisk 3D Llc Non-volatile storage system with dual block programming
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US20130075685A1 (en) 2011-09-22 2013-03-28 Yubao Li Methods and apparatus for including an air gap in carbon-based memory devices
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP2013114644A (ja) 2011-12-01 2013-06-10 Fujitsu Ltd メモリモジュールおよび半導体記憶装置
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
JP5970078B2 (ja) * 2011-12-02 2016-08-17 インテル・コーポレーション デバイス相互接続の変化を可能にする積層メモリ
US20130148404A1 (en) 2011-12-08 2013-06-13 Abhijit Bandyopadhyay Antifuse-based memory cells having multiple memory states and methods of forming the same
US9269425B2 (en) 2011-12-30 2016-02-23 Sandisk 3D Llc Low forming voltage non-volatile storage device
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8933715B2 (en) 2012-04-08 2015-01-13 Elm Technology Corporation Configurable vertical integration
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US20130292634A1 (en) 2012-05-07 2013-11-07 Yung-Tin Chen Resistance-switching memory cells having reduced metal migration and low current operation and methods of forming the same
US20130304450A1 (en) * 2012-05-08 2013-11-14 StarDFX Technologies, Inc. Method for Unified High-Level Hardware Description Language Simulation Based on Parallel Computing Platforms
US9171584B2 (en) 2012-05-15 2015-10-27 Sandisk 3D Llc Three dimensional non-volatile storage with interleaved vertical select devices above and below vertical bit lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
US9853053B2 (en) 2012-09-10 2017-12-26 3B Technologies, Inc. Three dimension integrated circuits employing thin film transistors
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9472284B2 (en) * 2012-11-19 2016-10-18 Silicon Storage Technology, Inc. Three-dimensional flash memory system
US9111597B2 (en) * 2012-12-20 2015-08-18 Macronix International Co., Ltd. Memory device structure with decoders in a device level separate from the array level
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9007810B2 (en) 2013-02-28 2015-04-14 Sandisk 3D Llc ReRAM forming with reset and iload compensation
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
US9411722B2 (en) 2013-03-04 2016-08-09 Sandisk Technologies Llc Asynchronous FIFO buffer for memory access
WO2014138124A1 (en) 2013-03-04 2014-09-12 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US20140252298A1 (en) 2013-03-10 2014-09-11 Sandisk 3D Llc Methods and apparatus for metal oxide reversible resistance-switching memory devices
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8947972B2 (en) 2013-03-15 2015-02-03 Sandisk 3D Llc Dynamic address grouping for parallel programming in non-volatile memory
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US8947944B2 (en) 2013-03-15 2015-02-03 Sandisk 3D Llc Program cycle skip evaluation before write operations in non-volatile memory
US9223665B2 (en) * 2013-03-15 2015-12-29 Micron Technology, Inc. Apparatuses and methods for memory testing and repair
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
TWI493568B (zh) * 2013-08-19 2015-07-21 Ind Tech Res Inst 記憶體裝置
US9105468B2 (en) 2013-09-06 2015-08-11 Sandisk 3D Llc Vertical bit line wide band gap TFT decoder
WO2015038246A2 (en) 2013-09-15 2015-03-19 SanDisk Technologies, Inc. Method of integrating select gate source and memory hole for three-dimensional non-volatile memory device
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
KR102136845B1 (ko) 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
US9023719B2 (en) 2013-09-17 2015-05-05 Sandisk Technologies Inc. High aspect ratio memory hole channel contact formation
US9496274B2 (en) 2013-09-17 2016-11-15 Sandisk Technologies Llc Three-dimensional non-volatile memory device
US9711225B2 (en) 2013-10-16 2017-07-18 Sandisk Technologies Llc Regrouping and skipping cycles in non-volatile memory
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
US9449924B2 (en) 2013-12-20 2016-09-20 Sandisk Technologies Llc Multilevel contact to a 3D memory array and method of making thereof
US9263379B2 (en) 2013-12-31 2016-02-16 Freescale Semiconductor, Inc. IC package with metal interconnect structure implemented between metal layers of die and interposer
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
KR102258739B1 (ko) 2014-03-26 2021-06-02 삼성전자주식회사 하이브리드 적층 구조를 갖는 반도체 소자 및 그 제조방법
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9443867B2 (en) 2014-04-30 2016-09-13 Sandisk Technologies Llc Method of making damascene select gate in memory device
US9552991B2 (en) 2014-04-30 2017-01-24 Sandisk Technologies Llc Trench vertical NAND and method of making thereof
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9553146B2 (en) 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
US9559117B2 (en) 2014-06-17 2017-01-31 Sandisk Technologies Llc Three-dimensional non-volatile memory device having a silicide source line and method of making thereof
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
US9627009B2 (en) 2014-07-25 2017-04-18 Sandisk Technologies Llc Interleaved grouped word lines for three dimensional non-volatile storage
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9437658B2 (en) 2014-08-05 2016-09-06 Sandisk Technologies Llc Fully isolated selector for memory device
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
KR102204391B1 (ko) 2014-08-18 2021-01-18 삼성전자주식회사 공유 가능한 ecc 셀 어레이를 갖는 메모리 장치
US9887207B2 (en) 2014-08-18 2018-02-06 Sandisk Technologies Llc Three dimensional NAND device having dummy memory holes and method of making thereof
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
EP3183748B1 (en) 2014-08-20 2021-03-10 SanDisk Technologies LLC Floating gate ultrahigh density vertical nand flash memory and method of making thereof
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9601502B2 (en) 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9691884B2 (en) 2014-08-26 2017-06-27 Sandisk Technologies Llc Monolithic three dimensional NAND strings and methods of fabrication thereof
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9620514B2 (en) 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9666594B2 (en) 2014-09-05 2017-05-30 Sandisk Technologies Llc Multi-charge region memory cells for a vertical NAND device
US9455267B2 (en) 2014-09-19 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device having nonlinear control gate electrodes and method of making thereof
US9412749B1 (en) 2014-09-19 2016-08-09 Sandisk Technologies Llc Three dimensional memory device having well contact pillar and method of making thereof
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US9230984B1 (en) 2014-09-30 2016-01-05 Sandisk Technologies Inc Three dimensional memory device having comb-shaped source electrode and methods of making thereof
US9331093B2 (en) 2014-10-03 2016-05-03 Sandisk Technologies Inc. Three dimensional NAND device with silicon germanium heterostructure channel
US9368509B2 (en) 2014-10-15 2016-06-14 Sandisk Technologies Inc. Three-dimensional memory structure having self-aligned drain regions and methods of making thereof
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9530787B2 (en) 2014-10-20 2016-12-27 Sandisk Technologies Llc Batch contacts for multiple electrically conductive layers
US9449981B2 (en) 2014-10-21 2016-09-20 Sandisk Technologies Llc Three dimensional NAND string memory devices and methods of fabrication thereof
US9305937B1 (en) 2014-10-21 2016-04-05 Sandisk Technologies Inc. Bottom recess process for an outer blocking dielectric layer inside a memory opening
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
US9379132B2 (en) 2014-10-24 2016-06-28 Sandisk Technologies Inc. NAND memory strings and methods of fabrication thereof
US9449980B2 (en) 2014-10-31 2016-09-20 Sandisk Technologies Llc Band gap tailoring for a tunneling dielectric for a three-dimensional memory structure
US9620712B2 (en) 2014-10-31 2017-04-11 Sandisk Technologies Llc Concave word line and convex interlayer dielectric for protecting a read/write layer
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9666799B2 (en) 2014-10-31 2017-05-30 Sandisk Technologies Llc Concave word line and convex interlayer dielectric for protecting a read/write layer
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9524981B2 (en) 2015-05-04 2016-12-20 Sandisk Technologies Llc Three dimensional memory device with hybrid source electrode for wafer warpage reduction
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9502429B2 (en) 2014-11-26 2016-11-22 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure
US9728499B2 (en) 2014-11-26 2017-08-08 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure
EP3224865B1 (en) 2014-11-26 2019-12-18 SanDisk Technologies LLC Set of stepped surfaces formation for a multilevel interconnect structure
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9355727B1 (en) 2014-12-09 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory structure having a back gate electrode
US9576971B2 (en) 2014-12-09 2017-02-21 Sandisk Technologies Llc Three-dimensional memory structure having a back gate electrode
US9515079B2 (en) 2014-12-16 2016-12-06 Sandisk Technologies Llc Three dimensional memory device with blocking dielectric having enhanced protection against fluorine attack
US9484357B2 (en) 2014-12-16 2016-11-01 Sandisk Technologies Llc Selective blocking dielectric formation in a three-dimensional memory structure
CN107112049A (zh) 2014-12-23 2017-08-29 3B技术公司 采用薄膜晶体管的三维集成电路
US9711524B2 (en) 2015-01-13 2017-07-18 Sandisk Technologies Llc Three-dimensional memory device containing plural select gate transistors having different characteristics and method of making thereof
US9478558B2 (en) 2015-01-20 2016-10-25 Sandisk Technologies Llc Semiconductor structure with concave blocking dielectric sidewall and method of making thereof by isotropically etching the blocking dielectric layer
US9437543B2 (en) 2015-01-22 2016-09-06 Sandisk Technologies Llc Composite contact via structure containing an upper portion which fills a cavity within a lower portion
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9627395B2 (en) 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9812461B2 (en) * 2015-03-17 2017-11-07 Sandisk Technologies Llc Honeycomb cell structure three-dimensional non-volatile memory device
US9443866B1 (en) 2015-03-24 2016-09-13 Sandisk Technologies Llc Mid-tunneling dielectric band gap modification for enhanced data retention in a three-dimensional semiconductor device
US9613975B2 (en) 2015-03-31 2017-04-04 Sandisk Technologies Llc Bridge line structure for bit line connection in a three-dimensional semiconductor device
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9524977B2 (en) 2015-04-15 2016-12-20 Sandisk Technologies Llc Metal-semiconductor alloy region for enhancing on current in a three-dimensional memory structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US10074661B2 (en) 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
WO2016200742A1 (en) 2015-06-08 2016-12-15 Sandisk Technologies Llc Three-dimensional memory device having a heterostructure quantum well channel
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
WO2016205078A2 (en) 2015-06-15 2016-12-22 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9679906B2 (en) 2015-08-11 2017-06-13 Sandisk Technologies Llc Three-dimensional memory devices containing memory block bridges
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US9780143B2 (en) * 2015-08-25 2017-10-03 Western Digital Technologies, Inc. Implementing magnetic memory integration with CMOS driving circuits
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10096654B2 (en) 2015-09-11 2018-10-09 Sandisk Technologies Llc Three-dimensional resistive random access memory containing self-aligned memory elements
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US9911748B2 (en) 2015-09-28 2018-03-06 Sandisk Technologies Llc Epitaxial source region for uniform threshold voltage of vertical transistors in 3D memory devices
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US9570463B1 (en) 2015-10-15 2017-02-14 Sandisk Technologies Llc Multilevel memory stack structure with joint electrode having a collar portion and methods for manufacturing the same
US9876025B2 (en) 2015-10-19 2018-01-23 Sandisk Technologies Llc Methods for manufacturing ultrathin semiconductor channel three-dimensional memory devices
US9780108B2 (en) 2015-10-19 2017-10-03 Sandisk Technologies Llc Ultrathin semiconductor channel three-dimensional memory devices
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US9704920B2 (en) 2015-10-27 2017-07-11 Sandisk Technologies Llc Resistive random access memory containing a steering element and a tunneling dielectric element
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9842851B2 (en) 2015-10-30 2017-12-12 Sandisk Technologies Llc Three-dimensional memory devices having a shaped epitaxial channel portion
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
US9754958B2 (en) 2015-10-30 2017-09-05 Sandisk Technologies Llc Three-dimensional memory devices having a shaped epitaxial channel portion and method of making thereof
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9812505B2 (en) 2015-11-16 2017-11-07 Sandisk Technologies Llc Non-volatile memory device containing oxygen-scavenging material portions and method of making thereof
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9837431B2 (en) 2015-11-20 2017-12-05 Sandisk Technologies Llc 3D semicircular vertical NAND string with recessed inactive semiconductor channel sections
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9935124B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Split memory cells with unsplit select gates in a three-dimensional memory device
US9818693B2 (en) 2015-12-22 2017-11-14 Sandisk Technologies Llc Through-memory-level via structures for a three-dimensional memory device
US9530790B1 (en) 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures
US9659956B1 (en) 2016-01-06 2017-05-23 Sandisk Technologies Llc Three-dimensional memory device containing source select gate electrodes with enhanced electrical isolation
US9754665B2 (en) 2016-01-29 2017-09-05 Sandisk Technologies Llc Vacancy-modulated conductive oxide resistive RAM device including an interfacial oxygen source layer
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
US9728551B1 (en) 2016-02-04 2017-08-08 Sandisk Technologies Llc Multi-tier replacement memory stack structure integration scheme
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
US9859363B2 (en) * 2016-02-16 2018-01-02 Sandisk Technologies Llc Self-aligned isolation dielectric structures for a three-dimensional memory device
US10269620B2 (en) 2016-02-16 2019-04-23 Sandisk Technologies Llc Multi-tier memory device with through-stack peripheral contact via structures and method of making thereof
US9991280B2 (en) 2016-02-17 2018-06-05 Sandisk Technologies Llc Multi-tier three-dimensional memory devices containing annular dielectric spacers within memory openings and methods of making the same
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US10115732B2 (en) 2016-02-22 2018-10-30 Sandisk Technologies Llc Three dimensional memory device containing discrete silicon nitride charge storage regions
US9679907B1 (en) 2016-02-29 2017-06-13 Sandisk Technologies Llc Three-dimensional memory device with charge-trapping-free gate dielectric for top select gate electrode and method of making thereof
CN107357828A (zh) * 2016-03-07 2017-11-17 杭州海存信息技术有限公司 兼具语音识别功能的存储器
US9768192B1 (en) 2016-03-16 2017-09-19 Sandisk Technologies Llc Three-dimensional memory device containing annular etch-stop spacer and method of making thereof
US9780034B1 (en) 2016-03-16 2017-10-03 Sandisk Technologies Llc Three-dimensional memory device containing annular etch-stop spacer and method of making thereof
US10242994B2 (en) 2016-03-16 2019-03-26 Sandisk Technologies Llc Three-dimensional memory device containing annular etch-stop spacer and method of making thereof
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9786681B1 (en) 2016-04-01 2017-10-10 Sandisk Technologies Llc Multilevel memory stack structure employing stacks of a support pedestal structure and a support pillar structure
CN105742277B (zh) * 2016-04-13 2018-06-22 中国航天科技集团公司第九研究院第七七一研究所 一种大容量立体集成sram存储器三维扩展方法
US9953697B2 (en) 2016-04-25 2018-04-24 Sandisk Technologies Llc Volatile memory device employing a resistive memory element
US10084015B2 (en) 2016-04-28 2018-09-25 Sandisk Technologies Llc Resistive memory element employing electron density modulation and structural relaxation
US9881956B2 (en) * 2016-05-06 2018-01-30 International Business Machines Corporation Heterogeneous integration using wafer-to-wafer stacking with die size adjustment
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US10256248B2 (en) 2016-06-07 2019-04-09 Sandisk Technologies Llc Through-memory-level via structures between staircase regions in a three-dimensional memory device and method of making thereof
US10249640B2 (en) 2016-06-08 2019-04-02 Sandisk Technologies Llc Within-array through-memory-level via structures and method of making thereof
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10103161B2 (en) 2016-06-28 2018-10-16 Sandisk Technologies Llc Offset backside contact via structures for a three-dimensional memory device
WO2018004751A1 (en) 2016-06-28 2018-01-04 Sandisk Technologies Llc Offset backside contact via structures for a three-dimensional memory device
US9917093B2 (en) 2016-06-28 2018-03-13 Sandisk Technologies Llc Inter-plane offset in backside contact via structures for a three-dimensional memory device
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9576967B1 (en) 2016-06-30 2017-02-21 Sandisk Technologies Llc Method of suppressing epitaxial growth in support openings and three-dimensional memory device containing non-epitaxial support pillars in the support openings
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US9613689B1 (en) 2016-07-08 2017-04-04 Sandisk Technologies Llc Self-selecting local bit line for a three-dimensional memory array
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
US9748174B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device having multi-layer diffusion barrier stack and method of making thereof
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9716105B1 (en) 2016-08-02 2017-07-25 Sandisk Technologies Llc Three-dimensional memory device with different thickness insulating layers and method of making thereof
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9754963B1 (en) 2016-08-22 2017-09-05 Sandisk Technologies Llc Multi-tier memory stack structure containing two types of support pillar structures
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
US9905573B1 (en) 2016-08-30 2018-02-27 Sandisk Technologies Llc Three-dimensional memory device with angled word lines and method of making thereof
EP3507806B1 (en) 2016-08-31 2022-01-19 Micron Technology, Inc. Apparatuses and methods including ferroelectric memory and for accessing ferroelectric memory
KR102369776B1 (ko) 2016-08-31 2022-03-03 마이크론 테크놀로지, 인크. 강유전 메모리 셀
KR102314663B1 (ko) * 2016-08-31 2021-10-21 마이크론 테크놀로지, 인크. 2 트랜지스터-1 커패시터 메모리를 포함하고 이를 액세스하기 위한 장치 및 방법
EP3507805A4 (en) 2016-08-31 2020-06-03 Micron Technology, Inc. DEVICES AND METHOD WITH FERROELECTRIC MEMORY AND FOR OPERATING FERROELECTRIC MEMORY
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US9818801B1 (en) 2016-10-14 2017-11-14 Sandisk Technologies Llc Resistive three-dimensional memory device with heterostructure semiconductor local bit line and method of making thereof
US10014316B2 (en) 2016-10-18 2018-07-03 Sandisk Technologies Llc Three-dimensional memory device with leakage reducing support pillar structures and method of making thereof
US9806256B1 (en) 2016-10-21 2017-10-31 Sandisk Technologies Llc Resistive memory device having sidewall spacer electrode and method of making thereof
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10008570B2 (en) 2016-11-03 2018-06-26 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US9978766B1 (en) 2016-11-09 2018-05-22 Sandisk Technologies Llc Three-dimensional memory device with electrically isolated support pillar structures and method of making thereof
US9972641B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device having a multilevel drain select gate electrode and method of making thereof
US10083982B2 (en) 2016-11-17 2018-09-25 Sandisk Technologies Llc Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof
US9972640B1 (en) 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10262945B2 (en) 2016-11-28 2019-04-16 Sandisk Technologies Llc Three-dimensional array device having a metal containing barrier and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10290803B2 (en) 2016-12-02 2019-05-14 Sandisk Technologies Llc Three-dimensional devices with wedge-shaped contact region and method of making thereof
US10853244B2 (en) 2016-12-07 2020-12-01 Sandisk Technologies Llc Randomly writable memory device and method of operating thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10074666B2 (en) 2017-01-09 2018-09-11 Sandisk Technologies Llc Three-dimensional memory device with enhanced mechanical stability semiconductor pedestal and method of making thereof
US9911790B1 (en) 2017-01-20 2018-03-06 Sandisk Technologies Llc Resistive RAM including air gaps between word lines and between vertical bit lines
US9853038B1 (en) 2017-01-20 2017-12-26 Sandisk Technologies Llc Three-dimensional memory device having integrated support and contact structures and method of making thereof
JP2018117102A (ja) * 2017-01-20 2018-07-26 ソニーセミコンダクタソリューションズ株式会社 半導体装置
US9875929B1 (en) 2017-01-23 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device with annular blocking dielectrics and discrete charge storage elements and method of making thereof
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
US10192877B2 (en) 2017-03-07 2019-01-29 Sandisk Technologies Llc Three-dimensional memory device with level-shifted staircase structures and method of making thereof
US10256245B2 (en) 2017-03-10 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with short-free source select gate contact via structure and method of making the same
US10032489B1 (en) 2017-03-15 2018-07-24 Sandisk Technologies Llc Sensing amplifier to detect the memory cell current transition
US9922987B1 (en) 2017-03-24 2018-03-20 Sandisk Technologies Llc Three-dimensional memory device containing separately formed drain select transistors and method of making thereof
US10192929B2 (en) 2017-03-24 2019-01-29 Sandisk Technologies Llc Three-dimensional memory devices having through-stack contact via structures and method of making thereof
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US10050194B1 (en) 2017-04-04 2018-08-14 Sandisk Technologies Llc Resistive memory device including a lateral air gap around a memory element and method of making thereof
US9960181B1 (en) 2017-04-17 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device having contact via structures in overlapped terrace region and method of making thereof
US10115632B1 (en) 2017-04-17 2018-10-30 Sandisk Technologies Llc Three-dimensional memory device having conductive support structures and method of making thereof
KR102241839B1 (ko) * 2017-05-08 2021-04-20 마이크론 테크놀로지, 인크 메모리 어레이
KR102400951B1 (ko) 2017-05-08 2022-05-23 마이크론 테크놀로지, 인크 메모리 어레이
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US20180331118A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multi-layer barrier for cmos under array type memory device and method of making thereof
US9941299B1 (en) 2017-05-24 2018-04-10 Sandisk Technologies Llc Three-dimensional ferroelectric memory device and method of making thereof
US10224372B2 (en) 2017-05-24 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device with vertical bit lines and replacement word lines and method of making thereof
US10319635B2 (en) 2017-05-25 2019-06-11 Sandisk Technologies Llc Interconnect structure containing a metal slilicide hydrogen diffusion barrier and method of making thereof
US10141331B1 (en) 2017-05-29 2018-11-27 Sandisk Technologies Llc Three-dimensional memory device containing support pillars underneath a retro-stepped dielectric material and method of making thereof
US10340449B2 (en) 2017-06-01 2019-07-02 Sandisk Technologies Llc Resistive memory device containing carbon barrier and method of making thereof
US9953992B1 (en) 2017-06-01 2018-04-24 Sandisk Technologies Llc Mid-plane word line switch connection for CMOS under three-dimensional memory device and method of making thereof
US10283566B2 (en) 2017-06-01 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device with through-stack contact via structures and method of making thereof
US10381373B2 (en) 2017-06-16 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device having a buried source line extending to scribe line and method of making thereof
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10115730B1 (en) 2017-06-19 2018-10-30 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and method of making thereof
US10381364B2 (en) 2017-06-20 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device including vertically offset drain select level layers and method of making thereof
WO2018236937A1 (en) 2017-06-20 2018-12-27 Sunrise Memory Corporation NON-THREE DIMENSIONAL MEMORY MATRIX ARCHITECTURE AND METHODS OF MAKING THE SAME
US11180861B2 (en) 2017-06-20 2021-11-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10355012B2 (en) 2017-06-26 2019-07-16 Sandisk Technologies Llc Multi-tier three-dimensional memory device with stress compensation structures and method of making thereof
US10079267B1 (en) 2017-06-26 2018-09-18 Sandisk Technologies Llc Memory device containing wrap gate vertical select transistors and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
US10256272B2 (en) 2017-06-26 2019-04-09 Sandisk Technologies Llc Resistive memory device containing etch stop structures for vertical bit line formation and method of making thereof
US10224240B1 (en) 2017-06-27 2019-03-05 Sandisk Technologies Llc Distortion reduction of memory openings in a multi-tier memory device through thermal cycle control
US10224373B2 (en) 2017-06-28 2019-03-05 Sandisk Technologies Llc Three-dimensional ReRAM memory device employing replacement word lines and methods of making the same
US10354728B2 (en) 2017-06-28 2019-07-16 Sandisk Technologies Llc Write verification and resistive state determination based on cell turn-on characteristics for resistive random access memory
US10290645B2 (en) 2017-06-30 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion barrier layer for CMOS under array architecture and method of making thereof
US10867675B2 (en) 2017-07-13 2020-12-15 Micron Technology, Inc. Apparatuses and methods for memory including ferroelectric memory cells and dielectric memory cells
US11552094B2 (en) 2017-07-18 2023-01-10 Sandisk Technologies Llc Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same
US11037943B2 (en) 2017-07-18 2021-06-15 Sandisk Technologies Llc Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same
WO2019018050A1 (en) 2017-07-18 2019-01-24 Sandisk Technologies Llc THREE-DIMENSIONAL MEMORY DEVICE WITH SELF-ALIGNED DRAIN SELECTION GRID ELECTRODES AND METHOD FOR MANUFACTURING THE SAME
US10297610B2 (en) 2017-07-18 2019-05-21 Sandisk Technologies Llc Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same
US10236300B2 (en) 2017-07-25 2019-03-19 Sandisk Technologies Llc On-pitch drain select level isolation structure for three-dimensional memory device and method of making the same
US11043499B2 (en) 2017-07-27 2021-06-22 Micron Technology, Inc. Memory arrays comprising memory cells
US10199359B1 (en) 2017-08-04 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device employing direct source contact and hole current detection and method of making the same
US20190051703A1 (en) 2017-08-09 2019-02-14 Sandisk Technologies Llc Two-dimensional array of surround gate vertical field effect transistors and method of making thereof
US10103169B1 (en) 2017-08-21 2018-10-16 Sandisk Technologies Llc Method of making a three-dimensional memory device using a multi-step hot phosphoric acid wet etch process
US10381229B2 (en) 2017-08-24 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device with straddling drain select electrode lines and method of making thereof
US10283710B2 (en) * 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10192878B1 (en) 2017-09-14 2019-01-29 Sandisk Technologies Llc Three-dimensional memory device with self-aligned multi-level drain select gate electrodes
WO2019055073A1 (en) 2017-09-14 2019-03-21 Sandisk Technologies Llc THREE-DIMENSIONAL MEMORY DEVICE CONTAINING ANNULAR ENGRAVING STOP SPACER AND METHOD FOR MANUFACTURING THE SAME
US10290681B2 (en) 2017-09-21 2019-05-14 Sandisk Technologies Llc Array of hole-type surround gate vertical field effect transistors and method of making thereof
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10256402B1 (en) 2017-09-25 2019-04-09 Sandisk Technologies Llc ReRAM read state verification based on cell turn-on characteristics
US10290647B2 (en) 2017-09-26 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and method of making the same
US10115895B1 (en) 2017-09-26 2018-10-30 Sandisk Technologies Llc Vertical field effect transisitors having a rectangular surround gate and method of making the same
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10707314B2 (en) 2017-09-29 2020-07-07 Sandisk Technologies Llc Surround gate vertical field effect transistors including tubular and strip electrodes and method of making the same
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
US10199326B1 (en) 2017-10-05 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device with driver circuitry on the backside of a substrate and method of making thereof
US10083877B1 (en) 2017-10-25 2018-09-25 Sandisk Technologies Llc Vertical field effect transistors including two-tier select gates and method of making the same
US10283513B1 (en) 2017-11-06 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device with annular blocking dielectrics and method of making thereof
US10629606B2 (en) 2017-11-07 2020-04-21 Sandisk Technologies Llc Three-dimensional memory device having level-shifted staircases and method of making thereof
US10453854B2 (en) 2017-11-15 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with thickened word lines in terrace region
US10461163B2 (en) 2017-11-15 2019-10-29 Sandisk Technologies Llc Three-dimensional memory device with thickened word lines in terrace region and method of making thereof
EP3711092A4 (en) 2017-11-15 2020-12-02 SanDisk Technologies LLC THREE-DIMENSIONAL MEMORY DEVICE WITH THICKER WORD LINES IN A TERRACE AREA AND ITS MANUFACTURING PROCESS
US10217746B1 (en) 2017-11-30 2019-02-26 Sandisk Technologies Llc Three-dimensional memory device having L-shaped word lines and a support structure and methods of making the same
US10181442B1 (en) 2017-11-30 2019-01-15 Sandisk Technologies Llc Three-dimensional memory device having L-shaped word lines and methods of making the same
US10211215B1 (en) 2017-11-30 2019-02-19 Sandisk Technologies Llc Three-dimensional memory device containing word lines having vertical protrusion regions and methods of making the same
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US10297312B1 (en) 2017-12-06 2019-05-21 Sandisk Technologies Llc Resistive memory cell programmed by metal alloy formation and method of operating thereof
US10290648B1 (en) 2017-12-07 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing air gap rails and method of making thereof
US10916556B1 (en) 2017-12-12 2021-02-09 Sandisk Technologies Llc Three-dimensional memory device using a buried source line with a thin semiconductor oxide tunneling layer
US10256252B1 (en) 2017-12-13 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and methods of making the same
US10347647B1 (en) 2017-12-21 2019-07-09 Sandisk Technologies Llc Three-dimensional memory device containing multi-threshold-voltage drain select gates and method of making the same
US10354956B1 (en) 2018-01-05 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion barrier structures for CMOS under array architecture and method of making the same
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10559588B2 (en) 2018-01-12 2020-02-11 Sandisk Technologies Llc Three-dimensional flat inverse NAND memory device and method of making the same
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10546870B2 (en) 2018-01-18 2020-01-28 Sandisk Technologies Llc Three-dimensional memory device containing offset column stairs and method of making the same
US10622369B2 (en) 2018-01-22 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device including contact via structures that extend through word lines and method of making the same
US10290643B1 (en) 2018-01-22 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing floating gate select transistor
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US10290650B1 (en) 2018-02-05 2019-05-14 Sandisk Technologies Llc Self-aligned tubular electrode portions inside memory openings for drain select gate electrodes in a three-dimensional memory device
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
US10720445B1 (en) 2018-02-08 2020-07-21 Sandisk Technologies Llc Three-dimensional memory device having nitrided direct source strap contacts and method of making thereof
US10700028B2 (en) 2018-02-09 2020-06-30 Sandisk Technologies Llc Vertical chip interposer and method of making a chip assembly containing the vertical chip interposer
US10903232B2 (en) 2018-02-14 2021-01-26 Sandisk Technologies Llc Three-dimensional memory devices containing memory stack structures with laterally separated charge storage elements and method of making thereof
US10971507B2 (en) 2018-02-15 2021-04-06 Sandisk Technologies Llc Three-dimensional memory device containing through-memory-level contact via structures
US10727248B2 (en) 2018-02-15 2020-07-28 Sandisk Technologies Llc Three-dimensional memory device containing through-memory-level contact via structures
US10903230B2 (en) 2018-02-15 2021-01-26 Sandisk Technologies Llc Three-dimensional memory device containing through-memory-level contact via structures and method of making the same
US10304852B1 (en) 2018-02-15 2019-05-28 Sandisk Technologies Llc Three-dimensional memory device containing through-memory-level contact via structures
US10553537B2 (en) 2018-02-17 2020-02-04 Sandisk Technologies Llc Interconnects containing serpentine line structures for three-dimensional memory devices and methods of making the same
US10192784B1 (en) 2018-02-22 2019-01-29 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned contact via structures and methods of manufacturing the same
US10381450B1 (en) 2018-02-27 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain select level isolation structures and method of making thereof
US10319680B1 (en) 2018-03-01 2019-06-11 Sandisk Technologies Llc Metal contact via structure surrounded by an air gap and method of making thereof
US10600802B2 (en) 2018-03-07 2020-03-24 Sandisk Technologies Llc Multi-tier memory device with rounded top part of joint structure and methods of making the same
US10209636B1 (en) 2018-03-07 2019-02-19 Sandisk Technologies Llc Exposure focus leveling method using region-differentiated focus scan patterns
US10490569B2 (en) 2018-03-08 2019-11-26 Sandisk Technologies Llc Three-dimensional memory device and method of making the same using concurrent formation of memory openings and contact openings
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10115681B1 (en) 2018-03-22 2018-10-30 Sandisk Technologies Llc Compact three-dimensional memory device having a seal ring and methods of manufacturing the same
US10354987B1 (en) 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10354980B1 (en) 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10256167B1 (en) 2018-03-23 2019-04-09 Sandisk Technologies Llc Hydrogen diffusion barrier structures for CMOS devices and method of making the same
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
US10269820B1 (en) 2018-04-03 2019-04-23 Sandisk Technologies Llc Three-dimensional memory device containing different pedestal width support pillar structures and method of making the same
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10468413B2 (en) 2018-04-06 2019-11-05 Sandisk Technologies Llc Method for forming hydrogen-passivated semiconductor channels in a three-dimensional memory device
US10804284B2 (en) 2018-04-11 2020-10-13 Sandisk Technologies Llc Three-dimensional memory device containing bidirectional taper staircases and methods of making the same
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10566059B2 (en) 2018-04-30 2020-02-18 Sandisk Technologies Llc Three dimensional NAND memory device with drain select gate electrode shared between multiple strings
US10615172B2 (en) 2018-05-11 2020-04-07 Sandisk Technologies Llc Three-dimensional memory device having double-width staircase regions and methods of manufacturing the same
US10347654B1 (en) 2018-05-11 2019-07-09 Sandisk Technologies Llc Three-dimensional memory device employing discrete backside openings and methods of making the same
US10381362B1 (en) 2018-05-15 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device including inverted memory stack structures and methods of making the same
US10515907B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
US10515897B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
US10559582B2 (en) 2018-06-04 2020-02-11 Sandisk Technologies Llc Three-dimensional memory device containing source contact to bottom of vertical channels and method of making the same
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
US20190043868A1 (en) * 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers
WO2020005335A1 (en) 2018-06-27 2020-01-02 Sandisk Technologies Llc Three-dimensional memory device containing multilevel drain select gate isolation and methods of making the same
US11164883B2 (en) 2018-06-27 2021-11-02 Sandisk Technologies Llc Three-dimensional memory device containing aluminum-silicon word lines and methods of manufacturing the same
US10600800B2 (en) 2018-06-27 2020-03-24 Sandisk Technologies Llc Three-dimensional memory device containing multilevel drain select gate isolation and methods of making the same
US10658377B2 (en) 2018-06-27 2020-05-19 Sandisk Technologies Llc Three-dimensional memory device with reduced etch damage to memory films and methods of making the same
US10998331B2 (en) 2018-06-27 2021-05-04 Sandisk Technologies Llc Three-dimensional inverse flat NAND memory device containing partially discrete charge storage elements and methods of making the same
US10475804B1 (en) 2018-06-27 2019-11-12 Sandisk Technologies Llc Three-dimensional memory device containing multilevel drain select gate isolation and methods of making the same
US10763271B2 (en) 2018-06-27 2020-09-01 Sandisk Technologies Llc Three-dimensional memory device containing aluminum-silicon word lines and methods of manufacturing the same
US10700086B2 (en) 2018-06-28 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having high mobility channels and methods of making the same
US10475879B1 (en) 2018-06-28 2019-11-12 Sandisk Technologies Llc Support pillar structures for leakage reduction in a three-dimensional memory device and methods of making the same
US10381434B1 (en) 2018-06-28 2019-08-13 Sandisk Technologies Llc Support pillar structures for leakage reduction in a three-dimensional memory device
US10854627B1 (en) 2018-06-29 2020-12-01 Sandisk Technologies Llc Three-dimensional memory device containing a capped insulating source line core and method of making the same
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
CN112567516A (zh) 2018-07-12 2021-03-26 日升存储公司 三维nor存储器阵列的制造方法
US10861869B2 (en) 2018-07-16 2020-12-08 Sandisk Technologies Llc Three-dimensional memory device having a slimmed aluminum oxide blocking dielectric and method of making same
US11450669B2 (en) 2018-07-24 2022-09-20 Intel Corporation Stacked thin-film transistor based embedded dynamic random-access memory
US11121149B2 (en) 2018-08-08 2021-09-14 Sandisk Technologies Llc Three-dimensional memory device containing direct contact drain-select-level semiconductor channel portions and methods of making the same
US10840259B2 (en) 2018-08-13 2020-11-17 Sandisk Technologies Llc Three-dimensional memory device including liner free molybdenum word lines and methods of making the same
US10741576B2 (en) 2018-08-20 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device containing drain-select-level air gap and methods of making the same
US10707228B2 (en) 2018-08-21 2020-07-07 Sandisk Technologies Llc Three-dimensional memory device having bonding structures connected to bit lines and methods of making the same
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
TWI708371B (zh) * 2018-09-20 2020-10-21 美商美光科技公司 堆疊記憶體佈線技術
US10692884B2 (en) 2018-09-21 2020-06-23 Sandisk Technologies Llc Three-dimensional memory device including bottle-shaped memory stack structures and drain-select gate electrodes having cylindrical portions
TWI713195B (zh) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 三維nor記憶電路製程中之晶圓接合及其形成之積體電路
US10553599B1 (en) 2018-09-26 2020-02-04 Sandisk Technologies Llc Three-dimensional memory device containing drain select isolation structures and on-pitch channels and methods of making the same without an etch stop layer
US10985171B2 (en) 2018-09-26 2021-04-20 Sandisk Technologies Llc Three-dimensional flat NAND memory device including wavy word lines and method of making the same
US10622367B1 (en) 2018-09-26 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device including three-dimensional bit line discharge transistors and method of making the same
US11018151B2 (en) 2018-09-26 2021-05-25 Sandisk Technologies Llc Three-dimensional flat NAND memory device including wavy word lines and method of making the same
US10957706B2 (en) 2018-10-17 2021-03-23 Sandisk Technologies Llc Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US10607938B1 (en) 2018-10-26 2020-03-31 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
US10651196B1 (en) 2018-11-08 2020-05-12 Sandisk Technologies Llc Three-dimensional multilevel device containing seamless unidirectional metal layer fill and method of making same
US10629613B1 (en) 2018-11-20 2020-04-21 Sandisk Technologies Llc Three-dimensional memory device having vertical semiconductor channels including source-side boron-doped pockets and methods of making the same
US10868025B2 (en) 2018-11-26 2020-12-15 Sandisk Technologies Llc Three-dimensional memory device including replacement crystalline channels and methods of making the same
US10756106B2 (en) 2018-11-28 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device with locally modulated threshold voltages at drain select levels and methods of making the same
US10950618B2 (en) 2018-11-29 2021-03-16 Micron Technology, Inc. Memory arrays
US10629675B1 (en) 2018-12-05 2020-04-21 Sandisk Technologies Llc Three-dimensional memory device containing capacitor pillars and methods of making the same
US10854619B2 (en) 2018-12-07 2020-12-01 Sandisk Technologies Llc Three-dimensional memory device containing bit line switches
WO2020118301A1 (en) 2018-12-07 2020-06-11 Sunrise Memory Corporation Methods for forming multi-layer vertical nor-type memory string arrays
US10734080B2 (en) 2018-12-07 2020-08-04 Sandisk Technologies Llc Three-dimensional memory device containing bit line switches
US10741579B2 (en) 2018-12-11 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device including different height memory stack structures and methods of making the same
US11721727B2 (en) 2018-12-17 2023-08-08 Sandisk Technologies Llc Three-dimensional memory device including a silicon-germanium source contact layer and method of making the same
US10797060B2 (en) 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
EP3711091A4 (en) 2018-12-17 2021-11-24 SanDisk Technologies LLC THREE-DIMENSIONAL STORAGE DEVICE WITH TENSIONED VERTICAL SEMICONDUCTOR CHANNELS AND PROCESS FOR THEIR PRODUCTION
US10797061B2 (en) 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
US11101284B2 (en) 2018-12-18 2021-08-24 Sandisk Technologies Llc Three-dimensional memory device containing etch stop structures and methods of making the same
US10957705B2 (en) 2018-12-24 2021-03-23 Sandisk Technologies Llc Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same
US10665580B1 (en) * 2019-01-08 2020-05-26 Sandisk Technologies Llc Bonded structure including a performance-optimized support chip and a stress-optimized three-dimensional memory chip and method for making the same
CN111430303A (zh) 2019-01-09 2020-07-17 桑迪士克科技有限责任公司 单一化半导体裸芯的方法以及由其形成的单一化的裸芯
WO2020142989A1 (en) 2019-01-10 2020-07-16 Yangtze Memory Technologies Co., Ltd. Structures and methods for reducing stress in three-dimensional memory device
US10957680B2 (en) 2019-01-16 2021-03-23 Sandisk Technologies Llc Semiconductor die stacking using vertical interconnection by through-dielectric via structures and methods for making the same
US10854513B2 (en) 2019-01-16 2020-12-01 Sandisk Technologies Llc Cavity-disrupting backside trench fill structures for a three-dimensional memory device and method of making the same
US10923502B2 (en) 2019-01-16 2021-02-16 Sandisk Technologies Llc Three-dimensional ferroelectric memory devices including a backside gate electrode and methods of making same
US10748894B2 (en) 2019-01-18 2020-08-18 Sandisk Technologies Llc Three-dimensional memory device containing bond pad-based power supply network for a source line and methods of making the same
US10840260B2 (en) 2019-01-18 2020-11-17 Sandisk Technologies Llc Through-array conductive via structures for a three-dimensional memory device and methods of making the same
US10985172B2 (en) 2019-01-18 2021-04-20 Sandisk Technologies Llc Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same
US10665607B1 (en) 2019-01-18 2020-05-26 Sandisk Technologies Llc Three-dimensional memory device including a deformation-resistant edge seal structure and methods for making the same
US10665581B1 (en) 2019-01-23 2020-05-26 Sandisk Technologies Llc Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same
US10886366B2 (en) 2019-01-25 2021-01-05 Sandisk Technologies Llc Semiconductor structures for peripheral circuitry having hydrogen diffusion barriers and method of making the same
CN113383415A (zh) * 2019-01-30 2021-09-10 日升存储公司 使用晶片键合的具有嵌入式高带宽、高容量存储器的设备
US10727215B1 (en) 2019-01-30 2020-07-28 Sandisk Technologies Llc Three-dimensional memory device with logic signal routing through a memory die and methods of making the same
US11114406B2 (en) 2019-01-31 2021-09-07 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US10847408B2 (en) 2019-01-31 2020-11-24 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US10903222B2 (en) 2019-02-05 2021-01-26 Sandisk Technologies Llc Three-dimensional memory device containing a carbon-doped source contact layer and methods for making the same
US10685979B1 (en) 2019-02-05 2020-06-16 Sandisk Technologies Llc Three-dimensional memory device with drain-select-level isolation structures and method of making the same
US10685978B1 (en) 2019-02-05 2020-06-16 Sandisk Technologies Llc Three-dimensional memory device with drain-select-level isolation structures and method of making the same
US10748925B1 (en) 2019-02-05 2020-08-18 Sandisk Technologies Llc Three-dimensional memory device containing channels with laterally pegged dielectric cores
US10748927B1 (en) 2019-02-05 2020-08-18 Sandisk Technologies Llc Three-dimensional memory device with drain-select-level isolation structures and method of making the same
US10964715B2 (en) 2019-02-05 2021-03-30 Sandisk Technologies Llc Three-dimensional memory device containing channels with laterally pegged dielectric cores
US10943917B2 (en) 2019-02-05 2021-03-09 Sandisk Technologies Llc Three-dimensional memory device with drain-select-level isolation structures and method of making the same
US10811058B2 (en) 2019-02-06 2020-10-20 Sandisk Technologies Llc Bonded assembly containing memory die bonded to integrated peripheral and system die and methods for making the same
EP3925004A4 (en) 2019-02-11 2023-03-08 Sunrise Memory Corporation VERTICAL THIN FILM TRANSISTOR AND USE AS BITLINE CONNECTOR FOR THREE DIMENSIONAL MEMORY ARRANGEMENTS
US10804282B2 (en) 2019-02-11 2020-10-13 Sandisk Technologies Llc Three-dimensional memory devices using carbon-doped aluminum oxide backside blocking dielectric layer for etch resistivity enhancement and methods of making the same
US10700089B1 (en) 2019-02-12 2020-06-30 Sandisk Technologies Llc Three-dimensional memory device including locally thickened electrically conductive layers and methods of manufacturing the same
US11195781B2 (en) 2019-02-13 2021-12-07 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11355486B2 (en) 2019-02-13 2022-06-07 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US10629616B1 (en) 2019-02-13 2020-04-21 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11508711B2 (en) 2019-02-13 2022-11-22 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US11201107B2 (en) 2019-02-13 2021-12-14 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US10741535B1 (en) 2019-02-14 2020-08-11 Sandisk Technologies Llc Bonded assembly containing multiple memory dies sharing peripheral circuitry on a support die and methods for making the same
US10734400B1 (en) 2019-02-18 2020-08-04 Sandisk Technologies Llc Three-dimensional memory device including bit lines between memory elements and an underlying peripheral circuit and methods of making the same
US10700078B1 (en) 2019-02-18 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having curved memory elements and methods of making the same
US10700090B1 (en) 2019-02-18 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having curved memory elements and methods of making the same
US10804202B2 (en) 2019-02-18 2020-10-13 Sandisk Technologies Llc Bonded assembly including a semiconductor-on-insulator die and methods for making the same
US10672780B1 (en) 2019-02-25 2020-06-02 Sandisk Technologies Llc Three-dimensional memory device having dual configuration support pillar structures and methods for making the same
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
US11239253B2 (en) 2019-03-01 2022-02-01 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11398451B2 (en) * 2019-03-01 2022-07-26 Sandisk Technologies Llc Methods for reusing substrates during manufacture of a bonded assembly including a logic die and a memory die
US11424231B2 (en) 2019-03-01 2022-08-23 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11069703B2 (en) 2019-03-04 2021-07-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same
US11088252B2 (en) 2019-03-04 2021-08-10 Sandisk Technologies Llc Three-dimensional memory device with a silicon carbon nitride interfacial layer in a charge storage layer and methods of making the same
US10714497B1 (en) 2019-03-04 2020-07-14 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same
US10833101B2 (en) 2019-03-04 2020-11-10 Sandisk Technologies Llc Three-dimensional memory device with horizontal silicon channels and method of making the same
US10985169B2 (en) 2019-03-04 2021-04-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same
US11171150B2 (en) 2019-03-07 2021-11-09 Sandisk Technologies Llc Three-dimensional memory device containing a channel connection strap and method for making the same
US11127655B2 (en) 2019-03-07 2021-09-21 Sandisk Technologies Llc Memory die containing through-memory-level via structure and methods for making the same
US10937800B2 (en) 2019-03-13 2021-03-02 Sandisk Technologies Llc Three-dimensional memory device with on-axis self-aligned drain-select-level isolation structure and methods of manufacturing the same
US10937801B2 (en) 2019-03-22 2021-03-02 Sandisk Technologies Llc Three-dimensional memory device containing a polygonal lattice of support pillar structures and contact via structures and methods of manufacturing the same
US10777575B1 (en) 2019-03-22 2020-09-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned vertical conductive strips having a gate-all-around configuration and method of making the same
US10847524B2 (en) 2019-03-25 2020-11-24 Sandisk Technologies Llc Three-dimensional memory device having double-width staircase regions and methods of manufacturing the same
US10879262B2 (en) 2019-03-27 2020-12-29 Sandisk Technologies Llc Three-dimensional memory device containing eye-shaped contact via structures located in laterally-undulating trenches and method of making the same
US10985176B2 (en) 2019-03-27 2021-04-20 Sandisk Technologies Llc Three-dimensional memory device containing eye-shaped contact via structures located in laterally-undulating trenches and method of making the same
US10854629B2 (en) 2019-03-28 2020-12-01 Sandisk Technologies Llc Three-dimensional memory device containing asymmetric, different size support pillars and method for making the same
US10658381B1 (en) 2019-03-28 2020-05-19 Sandisk Technologies Llc Memory die having wafer warpage reduction through stress balancing employing rotated three-dimensional memory arrays and method of making the same
US10804197B1 (en) 2019-03-28 2020-10-13 Sandisk Technologies Llc Memory die containing stress reducing backside contact via structures and method of making the same
US11107901B2 (en) 2019-04-03 2021-08-31 Sandisk Technologies Llc Charge storage memory device including ferroelectric layer between control gate electrode layers and methods of making the same
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10756110B1 (en) 2019-04-10 2020-08-25 Sandisk Technologies Llc Method of forming seamless drain-select-level electrodes for a three-dimensional memory device and structures formed by the same
CN110192269A (zh) * 2019-04-15 2019-08-30 长江存储科技有限责任公司 三维nand存储器件与多个功能芯片的集成
US10797062B1 (en) 2019-04-16 2020-10-06 Sandisk Technologies Llc Bonded die assembly using a face-to-back oxide bonding and methods for making the same
US11004773B2 (en) 2019-04-23 2021-05-11 Sandisk Technologies Llc Porous barrier layer for improving reliability of through-substrate via structures and methods of forming the same
US10923498B2 (en) 2019-04-25 2021-02-16 Sandisk Technologies Llc Three-dimensional memory device containing direct source contact structure and methods for making the same
JP7303318B2 (ja) * 2019-04-30 2023-07-04 長江存儲科技有限責任公司 接合された統合半導体チップならびにその製造および操作方法
KR102661281B1 (ko) * 2019-04-30 2024-04-30 양쯔 메모리 테크놀로지스 씨오., 엘티디. 플래시 메모리 컨트롤러를 갖는 본딩된 메모리 장치 및 이의 제조 및 작동 방법
US10872899B2 (en) 2019-05-07 2020-12-22 Sandisk Technologies Llc Three-dimensional memory device including signal and power connection lines extending through dielectric regions and methods of making the same
US10861873B2 (en) 2019-05-07 2020-12-08 Sandisk Technologies Llc Three-dimensional memory device including signal and power connection lines extending through dielectric regions and methods of making the same
US10804291B1 (en) 2019-05-09 2020-10-13 Sandisk Technologies Llc Three-dimensional memory device using epitaxial semiconductor channels and a buried source line and method of making the same
US10727216B1 (en) 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
US11469251B2 (en) 2019-05-15 2022-10-11 Sandisk Technologies Llc Memory device using a multilayer ferroelectric stack and method of forming the same
US10727276B1 (en) 2019-05-24 2020-07-28 Sandisk Technologies Llc Three-dimensional NAND memory device containing two terminal selector and methods of using and making thereof
US11244983B2 (en) 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM memory cell layout for minimizing bitcell area
US11037908B2 (en) 2019-07-25 2021-06-15 Sandisk Technologies Llc Bonded die assembly containing partially filled through-substrate via structures and methods for making the same
US10991705B2 (en) 2019-07-30 2021-04-27 Sandisk Technologies Llc Three-dimensional memory device having enhanced contact between polycrystalline channel and epitaxial pedestal structure and method of making the same
US10991718B2 (en) 2019-07-30 2021-04-27 Sandisk Technologies Llc Three-dimensional memory device containing a vertical semiconductor channel containing a connection strap and method of making the same
US11024645B2 (en) 2019-07-30 2021-06-01 Sandisk Technologies Llc Three-dimensional memory device containing a silicon nitride ring in an opening in a memory film and method of making the same
US10991706B2 (en) 2019-07-30 2021-04-27 Sandisk Technologies Llc Three-dimensional memory device having enhanced contact between polycrystalline channel and epitaxial pedestal structure and method of making the same
US11049880B2 (en) 2019-08-02 2021-06-29 Sandisk Technologies Llc Three-dimensional memory device containing epitaxial ferroelectric memory elements and methods for forming the same
US11239254B2 (en) 2019-08-02 2022-02-01 Sandisk Technologies Llc Three-dimensional memory device containing epitaxial ferroelectric memory elements and methods for forming the same
US10937809B1 (en) 2019-08-15 2021-03-02 Sandisk Technologies Llc Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal nitride materials and method of making thereof
US11024648B2 (en) 2019-08-15 2021-06-01 Sandisk Technologies Llc Ferroelectric memory devices including a stack of ferroelectric and antiferroelectric layers and method of making the same
US11430813B2 (en) 2019-08-15 2022-08-30 Sandisk Technologies Llc Antiferroelectric memory devices and methods of making the same
US11502104B2 (en) 2019-08-15 2022-11-15 Sandisk Technologies Llc Antiferroelectric memory devices and methods of making the same
US11573834B2 (en) * 2019-08-22 2023-02-07 Micron Technology, Inc. Computational partition for a multi-threaded, self-scheduling reconfigurable computing fabric
US11139237B2 (en) 2019-08-22 2021-10-05 Sandisk Technologies Llc Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same
US11309332B2 (en) 2019-09-12 2022-04-19 Sandisk Technologies Llc Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal-containing conductive elements and method of making thereof
US10910020B1 (en) 2019-09-24 2021-02-02 Sandisk Technologies Llc Three-dimensional memory device containing compact bit line switch circuit and method of making the same
US11049807B2 (en) 2019-09-25 2021-06-29 Sandisk Technologies Llc Three-dimensional memory device containing tubular blocking dielectric spacers
US11011209B2 (en) 2019-10-01 2021-05-18 Sandisk Technologies Llc Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same
US11069631B2 (en) 2019-10-07 2021-07-20 Sandisk Technologies Llc Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same
US11289429B2 (en) 2019-10-07 2022-03-29 Sandisk Technologies Llc Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same
CN112635476B (zh) 2019-10-12 2023-08-08 长江存储科技有限责任公司 具有氢阻挡层的三维存储设备及其制造方法
JP2021064762A (ja) * 2019-10-17 2021-04-22 本田技研工業株式会社 半導体装置
US10903237B1 (en) 2019-11-01 2021-01-26 Sandisk Technologies Llc Three-dimensional memory device including stepped connection plates and methods of forming the same
US11114459B2 (en) 2019-11-06 2021-09-07 Sandisk Technologies Llc Three-dimensional memory device containing width-modulated connection strips and methods of forming the same
US11094653B2 (en) 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
US11257835B2 (en) 2019-11-22 2022-02-22 Sandisk Technologies Llc Three-dimensional memory device containing a dummy memory film isolation structure and method of making thereof
US11088116B2 (en) * 2019-11-25 2021-08-10 Sandisk Technologies Llc Bonded assembly containing horizontal and vertical bonding interfaces and methods of forming the same
US11289416B2 (en) 2019-11-26 2022-03-29 Sandisk Technologies Llc Three-dimensional memory device containing amorphous and crystalline blocking dielectric layers
US11201111B2 (en) 2019-11-27 2021-12-14 Sandisk Technologies Llc Three-dimensional memory device containing structures for enhancing gate-induced drain leakage current and methods of forming the same
US10950627B1 (en) 2019-12-09 2021-03-16 Sandisk Technologies Llc Three-dimensional memory device including split memory cells and methods of forming the same
US11063063B2 (en) 2019-12-11 2021-07-13 Sandisk Technologies Llc Three-dimensional memory device containing plural work function word lines and methods of forming the same
US11101288B2 (en) 2019-12-11 2021-08-24 Sandisk Technologies Llc Three-dimensional memory device containing plural work function word lines and methods of forming the same
WO2021127218A1 (en) 2019-12-19 2021-06-24 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor
US11387250B2 (en) 2019-12-20 2022-07-12 Sandisk Technologies Llc Three-dimensional memory device containing metal-organic framework inter-word line insulating layers
US11296028B2 (en) 2019-12-20 2022-04-05 Sandisk Technologies Llc Semiconductor device containing metal-organic framework inter-line insulator structures and methods of manufacturing the same
US11114534B2 (en) 2019-12-27 2021-09-07 Sandisk Technologies Llc Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same
US11088076B2 (en) 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
US11282783B2 (en) 2020-01-07 2022-03-22 Sandisk Technologies Llc Three-dimensional memory device with via structures surrounded by perforated dielectric moat structure and methods of making the same
US11756877B2 (en) 2020-01-07 2023-09-12 Sandisk Technologies Llc Three-dimensional memory device with via structures surrounded by perforated dielectric moat structure and methods of making the same
US11121140B2 (en) 2020-01-08 2021-09-14 Sandisk Technologies Llc Ferroelectric tunnel junction memory device with integrated ovonic threshold switches
US11270963B2 (en) 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
US11342244B2 (en) 2020-01-21 2022-05-24 Sandisk Technologies Llc Bonded assembly of semiconductor dies containing pad level across-die metal wiring and method of forming the same
US11646283B2 (en) 2020-01-28 2023-05-09 Sandisk Technologies Llc Bonded assembly containing low dielectric constant bonding dielectric material
US11211370B2 (en) 2020-01-28 2021-12-28 Sandisk Technologies Llc Bonded assembly with vertical power and control signal connection adjacent to sense amplifier regions and methods of forming the same
US11171097B2 (en) 2020-01-28 2021-11-09 Sandisk Technologies Llc Bonded assembly containing metal-organic framework bonding dielectric and methods of forming the same
US11133252B2 (en) 2020-02-05 2021-09-28 Sandisk Technologies Llc Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same
WO2021158994A1 (en) 2020-02-07 2021-08-12 Sunrise Memory Corporation Quasi-volatile system-level memory
CN115413367A (zh) 2020-02-07 2022-11-29 日升存储公司 具有低有效延迟的高容量存储器电路
US11114462B1 (en) 2020-02-19 2021-09-07 Sandisk Technologies Llc Three-dimensional memory device with composite charge storage structures and methods for forming the same
US11101289B1 (en) 2020-02-19 2021-08-24 Sandisk Technologies Llc Three-dimensional memory device with composite charge storage structures and methods for forming the same
US11508693B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation High capacity memory module including wafer-section memory circuit
US11507301B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation Memory module implementing memory centric architecture
US11561911B2 (en) 2020-02-24 2023-01-24 Sunrise Memory Corporation Channel controller for shared memory access
US11244953B2 (en) 2020-02-26 2022-02-08 Sandisk Technologies Llc Three-dimensional memory device including molybdenum word lines and metal oxide spacers and method of making the same
US11569260B2 (en) 2020-02-26 2023-01-31 Sandisk Technologies Llc Three-dimensional memory device including discrete memory elements and method of making the same
US11430745B2 (en) 2020-03-02 2022-08-30 Sandisk Technologies Llc Semiconductor die containing silicon nitride stress compensating regions and method for making the same
US11527500B2 (en) 2020-03-20 2022-12-13 Sandisk Technologies Llc Semiconductor structure containing multilayer bonding pads and methods of forming the same
US11145628B1 (en) 2020-03-20 2021-10-12 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
US11201139B2 (en) 2020-03-20 2021-12-14 Sandisk Technologies Llc Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same
US11222954B2 (en) 2020-03-24 2022-01-11 Sandisk Technologies Llc Three-dimensional memory device containing inter-select-gate electrodes and methods of making the same
US11049568B1 (en) 2020-03-27 2021-06-29 Sandisk Technologies Llc Three-dimensional memory device with depletion region position control and method of erasing same using gate induced leakage
US11515227B2 (en) 2020-04-02 2022-11-29 Sandisk Technologies Llc Semiconductor die including edge ring structures and methods for making the same
US11289388B2 (en) 2020-04-02 2022-03-29 Sandisk Technologies Llc Semiconductor die including edge ring structures and methods for making the same
US11342286B2 (en) 2020-04-02 2022-05-24 Sandisk Technologies Llc Semiconductor die including edge ring structures and methods for making the same
WO2021207050A1 (en) 2020-04-08 2021-10-14 Sunrise Memory Corporation Charge-trapping layer with optimized number of charge-trapping sites for fast program and erase of a memory cell in a 3-dimensional nor memory string array
US11659711B2 (en) 2020-04-15 2023-05-23 Sandisk Technologies Llc Three-dimensional memory device including discrete charge storage elements and methods of forming the same
US11489043B2 (en) 2020-04-27 2022-11-01 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11398496B2 (en) 2020-04-27 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11152284B1 (en) 2020-05-07 2021-10-19 Sandisk Technologies Llc Three-dimensional memory device with a dielectric isolation spacer and methods of forming the same
US11637119B2 (en) 2020-05-18 2023-04-25 Sandisk Technologies Llc Three-dimensional memory device containing auxiliary support pillar structures and method of making the same
US11398497B2 (en) 2020-05-18 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device containing auxiliary support pillar structures and method of making the same
US11637118B2 (en) 2020-05-18 2023-04-25 Sandisk Technologies Llc Three-dimensional memory device containing auxiliary support pillar structures and method of making the same
US11177280B1 (en) 2020-05-18 2021-11-16 Sandisk Technologies Llc Three-dimensional memory device including wrap around word lines and methods of forming the same
US11355506B2 (en) 2020-05-22 2022-06-07 Sandisk Technologies Llc Through-stack contact via structures for a three-dimensional memory device and methods of forming the same
US11342245B2 (en) 2020-05-22 2022-05-24 Sandisk Technologies Llc Through-stack contact via structures for a three-dimensional memory device and methods of forming the same
US11367736B2 (en) 2020-05-22 2022-06-21 Sandisk Technologies Llc Through-stack contact via structures for a three-dimensional memory device and methods of forming the same
US11508748B2 (en) 2020-05-29 2022-11-22 Sandisk Technologies Llc Three-dimensional memory device containing III-V compound semiconductor channel and contacts and method of making the same
US11282857B2 (en) 2020-05-29 2022-03-22 Sandisk Technologies Llc Three-dimensional memory device containing III-V compound semiconductor channel and contacts and method of making the same
US11374020B2 (en) 2020-05-29 2022-06-28 Sandisk Technologies Llc Three-dimensional memory device containing III-V compound semiconductor channel and contacts and method of making the same
US11398488B2 (en) 2020-06-05 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device including through-memory-level via structures and methods of making the same
US11515317B2 (en) 2020-06-05 2022-11-29 Sandisk Technologies Llc Three-dimensional memory device including through-memory-level via structures and methods of making the same
US11404427B2 (en) 2020-06-12 2022-08-02 Sandisk Technologies Llc Three-dimensional memory device including multi-tier moat isolation structures and methods of making the same
US11508749B2 (en) 2020-06-15 2022-11-22 Sandisk Technologies Llc Cutoff gate electrodes for switches for a three-dimensional memory device and method of making the same
US11521984B2 (en) 2020-06-24 2022-12-06 Sandisk Technologies Llc Three-dimensional memory device containing low resistance source-level contact and method of making thereof
US11778817B2 (en) 2020-06-25 2023-10-03 Sandisk Technologies Llc Three-dimensional memory device including III-V compound semiconductor channel layer and method of making the same
US11302713B2 (en) 2020-06-25 2022-04-12 Sandisk Technologies Llc Three-dimensional memory device including III-V compound semiconductor channel layer and method of making the same
US11903218B2 (en) 2020-06-26 2024-02-13 Sandisk Technologies Llc Bonded memory devices and methods of making the same
US11538817B2 (en) 2020-06-26 2022-12-27 Sandisk Technologies Llc Bonded memory devices and methods of making the same
US11444101B2 (en) 2020-06-30 2022-09-13 Sandisk Technologies Llc Spacerless source contact layer replacement process and three-dimensional memory device formed by the process
US11342347B2 (en) 2020-06-30 2022-05-24 Sandisk Technologies Llc Spacerless source contact layer replacement process and three-dimensional memory device formed by the process
US11973026B2 (en) 2020-07-01 2024-04-30 Sandisk Technologies Llc Three-dimensional memory device including stairless word line contact structures and method of making the same
US11495612B2 (en) 2020-07-01 2022-11-08 Sandisk Technologies Llc Three-dimensional memory device including stairless word line contact structures for and method of making the same
US11450679B2 (en) 2020-07-01 2022-09-20 Sandisk Technologies Llc Three-dimensional memory device including stairless word line contact structures for and method of making the same
US11778818B2 (en) 2020-07-21 2023-10-03 Sandisk Technologies Llc Three-dimensional memory device with punch-through-resistant word lines and methods for forming the same
US11587920B2 (en) 2020-07-22 2023-02-21 Sandisk Technologies Llc Bonded semiconductor die assembly containing through-stack via structures and methods for making the same
US11355437B2 (en) 2020-08-04 2022-06-07 Sandisk Technologies Llc Three-dimensional memory device including bump-containing bit lines and methods for manufacturing the same
US11410924B2 (en) 2020-08-21 2022-08-09 Sandisk Technologies Llc Three-dimensional memory device including contact via structures for multi-level stepped surfaces and methods for forming the same
US11430736B2 (en) 2020-08-24 2022-08-30 Sandisk Technologies Llc Semiconductor device including having metal organic framework interlayer dielectric layer between metal lines and methods of forming the same
US11569215B2 (en) 2020-08-31 2023-01-31 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11963352B2 (en) 2020-08-31 2024-04-16 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11937424B2 (en) 2020-08-31 2024-03-19 Sunrise Memory Corporation Thin-film storage transistors in a 3-dimensional array of nor memory strings and process for fabricating the same
US11296113B2 (en) 2020-08-31 2022-04-05 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11355163B2 (en) 2020-09-29 2022-06-07 Alibaba Group Holding Limited Memory interconnection architecture systems and methods
US11322440B2 (en) 2020-09-30 2022-05-03 Sandisk Technologies Llc Three-dimensional memory device with dielectric wall support structures and method of forming the same
US11963354B2 (en) 2020-09-30 2024-04-16 Sandisk Technologies Llc Three-dimensional memory device with dielectric or semiconductor wall support structures and method of forming the same
US11600635B2 (en) 2020-10-13 2023-03-07 Sandisk Technologies Llc Three-dimensional memory device containing bump stack structures and method of deformation measurement thereof
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11488975B2 (en) 2020-10-27 2022-11-01 Sandisk Technologies Llc Multi-tier three-dimensional memory device with nested contact via structures and methods for forming the same
US11631695B2 (en) 2020-10-30 2023-04-18 Sandisk Technologies Llc Three-dimensional memory device containing composite word lines containing metal and silicide and method of making thereof
US11842777B2 (en) 2020-11-17 2023-12-12 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11393757B2 (en) 2020-11-19 2022-07-19 Sandisk Technologies Llc Three-dimensional memory device containing oxidation-resistant contact structures and methods of making the same
US11848056B2 (en) 2020-12-08 2023-12-19 Sunrise Memory Corporation Quasi-volatile memory with enhanced sense amplifier operation
US11380707B2 (en) 2020-12-09 2022-07-05 Sandisk Technologies Llc Three-dimensional memory device including backside trench support structures and methods of forming the same
US11631690B2 (en) 2020-12-15 2023-04-18 Sandisk Technologies Llc Three-dimensional memory device including trench-isolated memory planes and method of making the same
US11676954B2 (en) 2020-12-28 2023-06-13 Sandisk Technologies Llc Bonded three-dimensional memory devices with backside source power supply mesh and methods of making the same
US11844222B2 (en) 2021-01-12 2023-12-12 Sandisk Technologies Llc Three-dimensional memory device with backside support pillar structures and methods of forming the same
US11515250B2 (en) 2021-02-03 2022-11-29 Sandisk Technologies Llc Three dimensional semiconductor device containing composite contact via structures and methods of making the same
US11532570B2 (en) 2021-02-11 2022-12-20 Sandisk Technologies Llc Three-dimensional memory device containing bridges for enhanced structural support and methods of forming the same
US11450685B2 (en) 2021-02-11 2022-09-20 Sandisk Technologies Llc Three-dimensional memory device containing bridges for enhanced structural support and methods of forming the same
US11569139B2 (en) 2021-03-02 2023-01-31 Western Digital Technologies, Inc. Electrical overlay measurement methods and structures for wafer-to-wafer bonding
US11621202B2 (en) 2021-03-02 2023-04-04 Western Digital Technologies, Inc. Electrical overlay measurement methods and structures for wafer-to-wafer bonding
US11749600B2 (en) 2021-04-07 2023-09-05 Sandisk Technologies Llc Three-dimensional memory device with hybrid staircase structure and methods of forming the same
US11991881B2 (en) 2021-04-09 2024-05-21 Sandisk Technologies Llc Three-dimensional memory device with off-center or reverse slope staircase regions and methods for forming the same
US11758730B2 (en) 2021-05-10 2023-09-12 Sandisk Technologies Llc Bonded assembly of a memory die and a logic die including laterally shifted bit-line bonding pads and methods of forming the same
US11877446B2 (en) * 2021-06-11 2024-01-16 Sandisk Technologies Llc Three-dimensional memory device with electrically conductive layers containing vertical tubular liners and methods for forming the same
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
US11996153B2 (en) 2021-08-09 2024-05-28 Sandisk Technologies Llc Three-dimensional memory device with separated contact regions and methods for forming the same
US11792988B2 (en) 2021-08-09 2023-10-17 Sandisk Technologies Llc Three-dimensional memory device with separated contact regions and methods for forming the same
US11889694B2 (en) 2021-08-09 2024-01-30 Sandisk Technologies Llc Three-dimensional memory device with separated contact regions and methods for forming the same
US11997850B2 (en) 2021-08-25 2024-05-28 Sandisk Technologies Llc Three-dimensional memory device with staircase etch stop structures and methods for forming the same
US11925027B2 (en) 2021-12-27 2024-03-05 Sandisk Technologies Llc Three-dimensional memory device including sense amplifiers having a common width and separation

Family Cites Families (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US555212A (en) * 1896-02-25 dickersos
US2915722A (en) 1957-05-13 1959-12-01 Inductosyn Corp Pattern for slider of position measuring transformer
GB914656A (ko) 1959-11-10
US3430835A (en) * 1966-06-07 1969-03-04 Westinghouse Electric Corp Wire bonding apparatus for microelectronic components
US3445925A (en) * 1967-04-25 1969-05-27 Motorola Inc Method for making thin semiconductor dice
NL6706735A (ko) 1967-05-13 1968-11-14
US3560364A (en) * 1968-10-10 1971-02-02 Ibm Method for preparing thin unsupported films of silicon nitride
DE1812199C3 (de) * 1968-12-02 1980-07-03 Siemens Ag, 1000 Berlin Und 8000 Muenchen Integrierte, optisch-elektronische Festkörper-Schaltungsanordnung
US3615901A (en) 1969-12-01 1971-10-26 Gustav K Medicus Method of making a plastically shapeable cathode material
US3716429A (en) * 1970-06-18 1973-02-13 Rca Corp Method of making semiconductor devices
US3777227A (en) 1972-08-21 1973-12-04 Westinghouse Electric Corp Double diffused high voltage, high current npn transistor
US3922705A (en) 1973-06-04 1975-11-25 Gen Electric Dielectrically isolated integral silicon diaphram or other semiconductor product
US3868565A (en) * 1973-07-30 1975-02-25 Jack Kuipers Object tracking and orientation determination means, system and process
US4070230A (en) * 1974-07-04 1978-01-24 Siemens Aktiengesellschaft Semiconductor component with dielectric carrier and its manufacture
US3932932A (en) * 1974-09-16 1976-01-20 International Telephone And Telegraph Corporation Method of making multilayer printed circuit board
US3997381A (en) 1975-01-10 1976-12-14 Intel Corporation Method of manufacture of an epitaxial semiconductor layer on an insulating substrate
US4028547A (en) 1975-06-30 1977-06-07 Bell Telephone Laboratories, Incorporated X-ray photolithography
US4196232A (en) * 1975-12-18 1980-04-01 Rca Corporation Method of chemically vapor-depositing a low-stress glass layer
US4142004A (en) * 1976-01-22 1979-02-27 Bell Telephone Laboratories, Incorporated Method of coating semiconductor substrates
NL7607095A (nl) * 1976-06-29 1978-01-02 Philips Nv Trefplaatmontage voor een opneembuis, en werkwijze voor de vervaardiging daarvan.
GB1542084A (en) * 1976-08-31 1979-03-14 Standard Telephones Cables Ltd Thin silicon semiconductor devices
US4249302A (en) * 1978-12-28 1981-02-10 Ncr Corporation Multilayer printed circuit board
JPS55156395A (en) 1979-05-24 1980-12-05 Fujitsu Ltd Method of fabricating hollow multilayer printed board
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4401986A (en) 1979-12-26 1983-08-30 Texas Instruments Incorporated Position sensor and system
US4416054A (en) * 1980-07-01 1983-11-22 Westinghouse Electric Corp. Method of batch-fabricating flip-chip bonded dual integrated circuit arrays
JPS5747711A (en) 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
DE3070833D1 (en) 1980-09-19 1985-08-08 Ibm Deutschland Structure with a silicon body that presents an aperture and method of making this structure
JPS5837948A (ja) * 1981-08-31 1983-03-05 Toshiba Corp 積層半導体記憶装置
US4500905A (en) * 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
JPS58111491A (ja) * 1981-12-25 1983-07-02 Nippon Kogaku Kk <Nikon> 固体撮像装置
JPS58139449A (ja) * 1982-02-15 1983-08-18 Fujitsu Ltd 垂直信号線を有する多層集積回路
US4585991A (en) * 1982-06-03 1986-04-29 Texas Instruments Incorporated Solid state multiprobe testing apparatus
US4416064A (en) 1982-06-30 1983-11-22 Hurst Roger W Ladder bar dial
US4622632A (en) 1982-08-18 1986-11-11 Board Of Regents, University Of Washington Data processing system having a pyramidal array of processors
US4761681A (en) * 1982-09-08 1988-08-02 Texas Instruments Incorporated Method for fabricating a semiconductor contact and interconnect structure using orientation dependent etching and thermomigration
US4663559A (en) * 1982-09-17 1987-05-05 Christensen Alton O Field emission device
JPS59117271A (ja) * 1982-12-24 1984-07-06 Hitachi Ltd 圧力感知素子を有する半導体装置とその製造法
US4604162A (en) 1983-06-13 1986-08-05 Ncr Corporation Formation and planarization of silicon-on-insulator structures
JPH0743959B2 (ja) * 1984-02-06 1995-05-15 株式会社日立製作所 誤り訂正機能付半導体メモリ
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4617160A (en) 1984-11-23 1986-10-14 Irvine Sensors Corporation Method for fabricating modules comprising uniformly stacked, aligned circuit-carrying layers
DE3504714A1 (de) * 1985-02-12 1986-08-14 Siemens AG, 1000 Berlin und 8000 München Lithografiegeraet zur erzeugung von mikrostrukturen
US4618763A (en) 1985-04-12 1986-10-21 Grumman Aerospace Corporation Infrared focal plane module with stacked IC module body
NL8501773A (nl) * 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
JPS629642A (ja) 1985-07-05 1987-01-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
DE3527532A1 (de) 1985-08-01 1987-02-12 Teves Gmbh Alfred Verfahren und bremsanlage zur vortriebsregelung
JPS62155517A (ja) * 1985-12-27 1987-07-10 Canon Inc パターン描画装置及び方法
NL8600087A (nl) * 1986-01-17 1987-08-17 Philips Nv Inrichting voor vol-duplex datatransmissie over tweedraadsverbindingen.
US4952446A (en) 1986-02-10 1990-08-28 Cornell Research Foundation, Inc. Ultra-thin semiconductor membranes
JPS62190744A (ja) * 1986-02-18 1987-08-20 Agency Of Ind Science & Technol 垂直配線構造
JPS62208665A (ja) * 1986-03-07 1987-09-12 Mitsubishi Electric Corp 積層形半導体記憶装置
JPS62272556A (ja) * 1986-05-20 1987-11-26 Fujitsu Ltd 三次元半導体集積回路装置及びその製造方法
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPS62239400A (ja) * 1986-04-08 1987-10-20 Nec Corp ランダムアクセスメモリ
US4706166A (en) * 1986-04-25 1987-11-10 Irvine Sensors Corporation High-density electronic modules--process and product
JPS62259285A (ja) * 1986-05-06 1987-11-11 Mitsubishi Electric Corp 記憶装置のアドレス指定方式
US4954875A (en) * 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4684436A (en) 1986-10-29 1987-08-04 International Business Machines Corp. Method of simultaneously etching personality and select
US4939694A (en) * 1986-11-03 1990-07-03 Hewlett-Packard Company Defect tolerant self-testing self-repairing memory system
JPS63149900A (ja) * 1986-12-15 1988-06-22 Toshiba Corp 半導体メモリ
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4721938A (en) * 1986-12-22 1988-01-26 Delco Electronics Corporation Process for forming a silicon pressure transducer
JPS63186457A (ja) * 1987-01-29 1988-08-02 Hitachi Ltd 半導体装置及びその製造方法
JPH063837B2 (ja) * 1987-03-03 1994-01-12 シャープ株式会社 三次元半導体集積回路の製造方法
US5010024A (en) * 1987-03-04 1991-04-23 Advanced Micro Devices, Inc. Passivation for integrated circuit structures
US5110712A (en) * 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
EP0304263A3 (en) * 1987-08-17 1990-09-12 Lsi Logic Corporation Semiconductor chip assembly
US4849857A (en) 1987-10-05 1989-07-18 Olin Corporation Heat dissipating interconnect tape for use in tape automated bonding
EP0385979B1 (en) * 1987-10-20 1993-08-11 Irvine Sensors Corporation High-density electronic modules, process and product
US4892842A (en) * 1987-10-29 1990-01-09 Tektronix, Inc. Method of treating an integrated circuit
FR2623013A1 (fr) 1987-11-06 1989-05-12 Commissariat Energie Atomique Source d'electrons a cathodes emissives a micropointes et dispositif de visualisation par cathodoluminescence excitee par emission de champ,utilisant cette source
US4825277A (en) * 1987-11-17 1989-04-25 Motorola Inc. Trench isolation process and structure
KR900002716B1 (ko) 1987-11-26 1990-04-23 재단법인한국전자 통신연구소 레이져묘화기의 집광광학장치
US4784721A (en) * 1988-02-22 1988-11-15 Honeywell Inc. Integrated thin-film diaphragm; backside etch
GB2215914B (en) 1988-03-17 1991-07-03 Emi Plc Thorn A microengineered diaphragm pressure switch and a method of manufacture thereof
JPH01268151A (ja) * 1988-04-20 1989-10-25 Sharp Corp 半導体装置
GB8810973D0 (en) 1988-05-10 1988-06-15 Stc Plc Improvements in integrated circuits
US5512397A (en) * 1988-05-16 1996-04-30 Leedy; Glenn J. Stepper scanner discretionary lithography and common mask discretionary lithography for integrated circuits
US4924589A (en) * 1988-05-16 1990-05-15 Leedy Glenn J Method of making and testing an integrated circuit
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5323035A (en) 1992-10-13 1994-06-21 Glenn Leedy Interconnection structure for integrated circuits and method for making same
US5225771A (en) 1988-05-16 1993-07-06 Dri Technology Corp. Making and testing an integrated circuit using high density probe points
US5034685A (en) 1988-05-16 1991-07-23 Leedy Glenn J Test device for testing integrated circuits
US5020219A (en) 1988-05-16 1991-06-04 Leedy Glenn J Method of making a flexible tester surface for testing integrated circuits
US4994735A (en) * 1988-05-16 1991-02-19 Leedy Glenn J Flexible tester surface for testing integrated circuits
US6288561B1 (en) * 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US5103557A (en) * 1988-05-16 1992-04-14 Leedy Glenn J Making and testing an integrated circuit using high density probe points
US4994336A (en) * 1988-05-31 1991-02-19 Siemens Aktiengesellschaft Method for manufacturing a control plate for a lithographic device
USRE34893E (en) * 1988-06-08 1995-04-04 Nippondenso Co., Ltd. Semiconductor pressure sensor and method of manufacturing same
US4966663A (en) 1988-09-13 1990-10-30 Nanostructures, Inc. Method for forming a silicon membrane with controlled stress
US5110373A (en) * 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US5008619A (en) * 1988-11-18 1991-04-16 Amp-Akzo Corporation Multilevel circuit board precision positioning
JPH02143466A (ja) 1988-11-25 1990-06-01 Mitsubishi Electric Corp 半導体装置の製造方法
DE68925879T2 (de) 1988-12-21 1996-10-02 At & T Corp Thermisches Oxydierungsverfahren mit verändertem Wachstum für dünne Oxide
JPH0744253B2 (ja) * 1988-12-23 1995-05-15 松下電器産業株式会社 積層集積回路
JPH02178960A (ja) * 1988-12-29 1990-07-11 Sharp Corp 神経回路装置
JPH02195598A (ja) * 1989-01-25 1990-08-02 Hitachi Ltd 半導体記憶装置
US4950987A (en) 1989-03-03 1990-08-21 University Of North Carolina At Charlotte Magneto-inductive sensor for performing tactile and proximity sensing
JP2569789B2 (ja) * 1989-03-13 1997-01-08 富士電機株式会社 半導体チップの電極形成方法
JP2778977B2 (ja) * 1989-03-14 1998-07-23 株式会社東芝 半導体装置及びその製造方法
US4857481A (en) 1989-03-14 1989-08-15 Motorola, Inc. Method of fabricating airbridge metal interconnects
JP2823276B2 (ja) * 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4990462A (en) * 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
JP2517410B2 (ja) * 1989-05-15 1996-07-24 三菱電機株式会社 学習機能付集積回路装置
EP0404608B1 (en) 1989-05-19 1995-02-22 Fujitsu Limited Blanking aperture array, method of producing blanking aperture array, charged particle beam exposure apparatus and charged particle beam exposure method
US5262341A (en) * 1989-05-19 1993-11-16 Fujitsu Limited Blanking aperture array and charged particle beam exposure method
US4928058A (en) * 1989-05-23 1990-05-22 The University Of Rochester Electro-optic signal measurement
US4919749A (en) * 1989-05-26 1990-04-24 Nanostructures, Inc. Method for making high resolution silicon shadow masks
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US5471427A (en) * 1989-06-05 1995-11-28 Mitsubishi Denki Kabushiki Kaisha Circuit for repairing defective bit in semiconductor memory device and repairing method
US5070026A (en) * 1989-06-26 1991-12-03 Spire Corporation Process of making a ferroelectric electronic component and product
JPH0344067A (ja) * 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5119164A (en) 1989-07-25 1992-06-02 Advanced Micro Devices, Inc. Avoiding spin-on-glass cracking in high aspect ratio cavities
JP2815184B2 (ja) * 1989-08-04 1998-10-27 オリンパス光学工業株式会社 三次元集積メモリ
US5071510A (en) * 1989-09-22 1991-12-10 Robert Bosch Gmbh Process for anisotropic etching of silicon plates
US5098865A (en) * 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
US5156909A (en) 1989-11-28 1992-10-20 Battelle Memorial Institute Thick, low-stress films, and coated substrates formed therefrom, and methods for making same
JPH03175664A (ja) * 1989-12-04 1991-07-30 Sharp Corp 半導体装置
US5045921A (en) * 1989-12-26 1991-09-03 Motorola, Inc. Pad array carrier IC device using flexible tape
US5169805A (en) 1990-01-29 1992-12-08 International Business Machines Corporation Method of resiliently mounting an integrated circuit chip to enable conformal heat dissipation
JPH03225696A (ja) * 1990-01-30 1991-10-04 Sharp Corp 半導体記憶装置
KR920005798A (ko) * 1990-04-18 1992-04-03 미타 가쓰시게 반도체 집적회로
JPH04228196A (ja) * 1990-04-18 1992-08-18 Hitachi Ltd 半導体集積回路
JP3293135B2 (ja) * 1990-04-24 2002-06-17 セイコーエプソン株式会社 回路セル・アレイを備えた半導体装置
JP2847890B2 (ja) * 1990-04-27 1999-01-20 株式会社島津製作所 3次元実装用半導体基板の製造方法
US5116777A (en) * 1990-04-30 1992-05-26 Sgs-Thomson Microelectronics, Inc. Method for fabricating semiconductor devices by use of an N+ buried layer for complete isolation
ES2044735B1 (es) * 1990-05-04 1994-08-01 Chevron Research And Tecnology Elementos de tanque de lastre para un buque de doble casco.
JPH0442957A (ja) * 1990-06-06 1992-02-13 Matsushita Electron Corp 半導体集積回路装置の製造方法
US5203731A (en) * 1990-07-18 1993-04-20 International Business Machines Corporation Process and structure of an integrated vacuum microelectronic device
JPH0498342A (ja) * 1990-08-09 1992-03-31 Mitsubishi Electric Corp 半導体記憶装置
US5062689A (en) 1990-08-21 1991-11-05 Koehler Dale R Electrostatically actuatable light modulating device
FR2666173A1 (fr) * 1990-08-21 1992-02-28 Thomson Csf Structure hybride d'interconnexion de circuits integres et procede de fabrication.
JP2600018B2 (ja) * 1990-09-29 1997-04-16 三菱電機株式会社 半導体記憶装置
JP2876773B2 (ja) * 1990-10-22 1999-03-31 セイコーエプソン株式会社 プログラム命令語長可変型計算装置及びデータ処理装置
US5117282A (en) * 1990-10-29 1992-05-26 Harris Corporation Stacked configuration for integrated circuit devices
US5245227A (en) 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
US5130894A (en) * 1990-11-26 1992-07-14 At&T Bell Laboratories Three-dimensional circuit modules
JP2573416B2 (ja) * 1990-11-28 1997-01-22 株式会社東芝 半導体記憶装置
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
JP3071876B2 (ja) 1991-01-08 2000-07-31 株式会社東芝 X線マスク、その製造方法、及びこれを用いた露光方法
NL9100327A (nl) * 1991-02-25 1992-09-16 Philips Nv Kathode.
DE4106288C2 (de) 1991-02-28 2001-05-31 Bosch Gmbh Robert Sensor zur Messung von Drücken oder Beschleunigungen
US5111278A (en) * 1991-03-27 1992-05-05 Eichelberger Charles W Three-dimensional multichip module systems
FR2674593B1 (fr) 1991-03-29 1993-05-07 Valeo Amortisseur de torsion comportant un preamortisseur a boitier avec pattes a crochets, notamment pour vehicule automobile.
JPH04326757A (ja) * 1991-04-26 1992-11-16 Hitachi Ltd 情報処理装置及びそれを用いた並列計算機システム
EP0516866A1 (en) * 1991-05-03 1992-12-09 International Business Machines Corporation Modular multilayer interwiring structure
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2622038B2 (ja) * 1991-06-03 1997-06-18 シャープ株式会社 半導体装置及びその製造方法
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JP2555811B2 (ja) * 1991-09-10 1996-11-20 富士通株式会社 半導体チップのフリップチップ接合方法
US6230233B1 (en) * 1991-09-13 2001-05-08 Sandisk Corporation Wear leveling techniques for flash EEPROM systems
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
US5270261A (en) 1991-09-13 1993-12-14 International Business Machines Corporation Three dimensional multichip package methods of fabrication
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5151775A (en) 1991-10-07 1992-09-29 Tektronix, Inc. Integrated circuit device having improved substrate capacitance isolation
JPH05109977A (ja) * 1991-10-18 1993-04-30 Mitsubishi Electric Corp 半導体装置
JPH05129423A (ja) * 1991-10-30 1993-05-25 Rohm Co Ltd 半導体装置及びその製造方法
US5856695A (en) * 1991-10-30 1999-01-05 Harris Corporation BiCMOS devices
JP3178052B2 (ja) * 1991-12-13 2001-06-18 ソニー株式会社 半導体装置とその製造方法
KR950001159B1 (ko) * 1991-12-27 1995-02-11 삼성전자 주식회사 반도체 메모리장치의 박막트랜지스터 및 그 제조방법
US5284804A (en) * 1991-12-31 1994-02-08 Texas Instruments Incorporated Global planarization process
JPH05283607A (ja) * 1992-02-03 1993-10-29 Hitachi Ltd 半導体集積回路装置及びそれを利用した計算機システム
JPH05250900A (ja) * 1992-03-09 1993-09-28 Mitsubishi Electric Corp テスト機能付き半導体集積回路
US5985693A (en) * 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US5236118A (en) * 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US6017658A (en) * 1992-05-13 2000-01-25 The United States Of America As Represented By The Secretary Of The Navy Lithographic mask and method for fabrication thereof
US6355976B1 (en) * 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
WO1993023873A1 (en) 1992-05-15 1993-11-25 Irvine Sensors Corporation Non-conductive end layer for integrated stack of ic chips
US5273940A (en) * 1992-06-15 1993-12-28 Motorola, Inc. Multiple chip package with thinned semiconductor chips
US5489554A (en) 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
US5432999A (en) * 1992-08-20 1995-07-18 Capps; David F. Integrated circuit lamination process
US5422508A (en) * 1992-09-21 1995-06-06 Siliconix Incorporated BiCDMOS structure
US5324687A (en) * 1992-10-16 1994-06-28 General Electric Company Method for thinning of integrated circuit chips for lightweight packaged electronic systems
US5347428A (en) * 1992-12-03 1994-09-13 Irvine Sensors Corporation Module comprising IC memory stack dedicated to and structurally combined with an IC microprocessor chip
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
JPH06251172A (ja) * 1993-02-26 1994-09-09 Hitachi Ltd 半導体集積回路システム装置
IT1261411B (it) * 1993-03-12 1996-05-23 Texas Instruments Italia Spa Metodo e circuiteria per l'uso di memorie aventi locazioni difettose erelativa apparecchiatura di produzione.
JP2605968B2 (ja) * 1993-04-06 1997-04-30 日本電気株式会社 半導体集積回路およびその形成方法
DE69426695T2 (de) * 1993-04-23 2001-08-09 Irvine Sensors Corp Elektronisches modul mit einem stapel von ic-chips
US5694588A (en) 1993-05-07 1997-12-02 Texas Instruments Incorporated Apparatus and method for synchronizing data transfers in a single instruction multiple data processor
US5385632A (en) * 1993-06-25 1995-01-31 At&T Laboratories Method for manufacturing integrated semiconductor devices
US5399505A (en) * 1993-07-23 1995-03-21 Motorola, Inc. Method and apparatus for performing wafer level testing of integrated circuit dice
FR2709020B1 (fr) * 1993-08-13 1995-09-08 Thomson Csf Procédé d'interconnexion de pastilles semi-conductrices en trois dimensions, et composant en résultant.
WO1995005676A1 (en) * 1993-08-13 1995-02-23 Irvine Sensors Corporation Stack of ic chips as substitute for single ic chip
US5502667A (en) * 1993-09-13 1996-03-26 International Business Machines Corporation Integrated multichip memory module structure
US5793115A (en) 1993-09-30 1998-08-11 Kopin Corporation Three dimensional processor using transferred thin film circuits
US5385909A (en) * 1993-11-22 1995-01-31 American Home Products Corporation Heterocyclic esters of rapamycin
JPH07176688A (ja) * 1993-12-20 1995-07-14 Mitsubishi Electric Corp 半導体集積回路
JPH07230696A (ja) * 1993-12-21 1995-08-29 Toshiba Corp 半導体記憶装置
US5457879A (en) * 1994-01-04 1995-10-17 Motorola, Inc. Method of shaping inter-substrate plug and receptacles interconnects
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5480842A (en) * 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
US5543791A (en) * 1994-06-16 1996-08-06 International Business Machines Non-volatile parallel-to-serial converter system utilizing thin-film floating-gate, amorphous transistors
JPH10502493A (ja) 1994-07-05 1998-03-03 シーメンス アクチエンゲゼルシヤフト 三次元回路装置の製造方法
US5880010A (en) 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
IT1274925B (it) * 1994-09-21 1997-07-29 Texas Instruments Italia Spa Architettura di memoria per dischi a stato solido
DE4433845A1 (de) * 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
DE4433833A1 (de) * 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung unter Erreichung hoher Systemausbeuten
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
JPH08134212A (ja) * 1994-11-14 1996-05-28 Hitachi Ltd 配線構造体とその製造法
US5532955A (en) * 1994-12-30 1996-07-02 Mosaid Technologies Incorporated Method of multilevel dram sense and restore
US5715144A (en) * 1994-12-30 1998-02-03 International Business Machines Corporation Multi-layer, multi-chip pyramid and circuit board structure
US5534465A (en) * 1995-01-10 1996-07-09 At&T Corp. Method for making multichip circuits using active semiconductor substrates
US6107213A (en) * 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
US5703747A (en) * 1995-02-22 1997-12-30 Voldman; Steven Howard Multichip semiconductor structures with interchip electrostatic discharge protection, and fabrication methods therefore
JPH08264712A (ja) * 1995-03-27 1996-10-11 Matsushita Electron Corp 半導体装置
US5733814A (en) * 1995-04-03 1998-03-31 Aptek Industries, Inc. Flexible electronic card and method
US5514628A (en) * 1995-05-26 1996-05-07 Texas Instruments Incorporated Two-step sinter method utilized in conjunction with memory cell replacement by redundancies
US5534466A (en) 1995-06-01 1996-07-09 International Business Machines Corporation Method of making area direct transfer multilayer thin film structure
WO1996041264A1 (en) * 1995-06-07 1996-12-19 International Business Machines Corporation Static wordline redundancy memory device
US6020257A (en) * 1995-06-07 2000-02-01 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5620915A (en) * 1995-07-12 1997-04-15 United Microelectronics Corporation Method for bypassing null-code sections for read-only memory by access line control
JP3614522B2 (ja) * 1995-08-03 2005-01-26 シャープ株式会社 半導体記憶装置
JPH0992781A (ja) * 1995-09-22 1997-04-04 Internatl Business Mach Corp <Ibm> 統合した回路を有するマルチチップ半導体構造およびその製造方法
JPH09152979A (ja) * 1995-09-28 1997-06-10 Matsushita Electric Ind Co Ltd 半導体装置
US5627112A (en) * 1995-11-13 1997-05-06 Rockwell International Corporation Method of making suspended microstructures
US5918794A (en) * 1995-12-28 1999-07-06 Lucent Technologies Inc. Solder bonding of dense arrays of microminiature contact pads
US6094733A (en) * 1996-01-25 2000-07-25 Kabushiki Kaisha Toshiba Method for testing semiconductor memory devices, and apparatus and system for testing semiconductor memory devices
KR0155081B1 (ko) * 1996-04-08 1998-12-01 김광호 강제 멈춤 기능을 갖는 프린터 및 강제 멈춤 방법
US5719437A (en) * 1996-04-19 1998-02-17 Lucent Technologies Inc. Smart cards having thin die
US5882532A (en) * 1996-05-31 1999-03-16 Hewlett-Packard Company Fabrication of single-crystal silicon structures using sacrificial-layer wafer bonding
US5870176A (en) * 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
US5656552A (en) * 1996-06-24 1997-08-12 Hudak; John James Method of making a thin conformal high-yielding multi-chip module
US6027958A (en) * 1996-07-11 2000-02-22 Kopin Corporation Transferred flexible integrated circuit
US5760478A (en) * 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
KR100377033B1 (ko) 1996-10-29 2003-03-26 트러시 테크날러지스 엘엘시 Ic 및 그 제조방법
US5729896A (en) * 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US6045625A (en) * 1996-12-06 2000-04-04 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
JPH10209371A (ja) * 1997-01-17 1998-08-07 Mitsubishi Electric Corp Icメモリ
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
JP4032454B2 (ja) * 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
NO308149B1 (no) * 1998-06-02 2000-07-31 Thin Film Electronics Asa Skalerbar, integrert databehandlingsinnretning
JP4063944B2 (ja) * 1998-03-13 2008-03-19 独立行政法人科学技術振興機構 3次元半導体集積回路装置の製造方法
JP4006081B2 (ja) * 1998-03-19 2007-11-14 株式会社ルネサステクノロジ 半導体装置の製造方法
US6197456B1 (en) * 1999-01-19 2001-03-06 Lsi Logic Corporation Mask having an arbitrary complex transmission function
JP4413306B2 (ja) * 1999-03-23 2010-02-10 株式会社東芝 半導体記憶装置
US6335491B1 (en) * 2000-02-08 2002-01-01 Lsi Logic Corporation Interposer for semiconductor package assembly
CN1207785C (zh) * 2000-03-21 2005-06-22 三菱电机株式会社 半导体器件、电子装置的制造方法、电子装置和携带式信息终端
US6236602B1 (en) * 2000-05-25 2001-05-22 Robert Patti Dynamic configuration of storage arrays
JP4635333B2 (ja) * 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
KR20030018642A (ko) * 2001-08-30 2003-03-06 주식회사 하이닉스반도체 스택 칩 모듈
US6914324B2 (en) * 2001-10-26 2005-07-05 Staktek Group L.P. Memory expansion and chip scale stacking system and method
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
US8785289B2 (en) 2009-11-09 2014-07-22 International Business Machines Corporation Integrated decoupling capacitor employing conductive through-substrate vias
US8558345B2 (en) 2009-11-09 2013-10-15 International Business Machines Corporation Integrated decoupling capacitor employing conductive through-substrate vias
US8237278B2 (en) 2009-11-16 2012-08-07 International Business Machines Corporation Configurable interposer
US9524930B2 (en) 2009-11-16 2016-12-20 International Business Machines Corporation Configurable interposer
US8759152B2 (en) 2009-11-16 2014-06-24 International Business Machines Corporation Configurable interposer
US8569154B2 (en) 2010-01-14 2013-10-29 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8492252B2 (en) 2010-01-14 2013-07-23 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8415238B2 (en) 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8232636B2 (en) 2010-01-26 2012-07-31 International Business Machines Corporation Reliability enhancement of metal thermal interface
US8304863B2 (en) 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
US9153558B2 (en) 2010-02-09 2015-10-06 International Business Machines Corporation Electromigration immune through-substrate vias
US8114707B2 (en) 2010-03-25 2012-02-14 International Business Machines Corporation Method of forming a multi-chip stacked structure including a thin interposer chip having a face-to-back bonding with another chip
US8232648B2 (en) 2010-06-01 2012-07-31 International Business Machines Corporation Semiconductor article having a through silicon via and guard ring
KR101079301B1 (ko) * 2010-07-08 2011-11-04 윤재만 반도체 메모리 장치
CN103003881A (zh) * 2010-07-08 2013-03-27 尹在万 半导体存储器装置
WO2012005543A3 (ko) * 2010-07-08 2012-04-12 Yoon Jae Man 반도체 메모리 장치
WO2012005426A1 (ko) * 2010-07-08 2012-01-12 Yoon Jae Man 반도체 메모리 장치
WO2012005543A2 (ko) * 2010-07-08 2012-01-12 Yoon Jae Man 반도체 메모리 장치
KR101079300B1 (ko) * 2010-07-08 2011-11-04 윤재만 반도체 메모리 장치
US8958257B2 (en) 2010-07-08 2015-02-17 Jae Man Yoon Semiconductor memory device
CN103003881B (zh) * 2010-07-08 2015-04-22 尹在万 半导体存储器装置、存储器卡及电子系统
US8492878B2 (en) 2010-07-21 2013-07-23 International Business Machines Corporation Metal-contamination-free through-substrate via structure
US9748114B2 (en) 2010-09-30 2017-08-29 International Business Machines Corporation Method for forming through silicon via in N+ epitaxy wafers with reduced parasitic capacitance
US9029988B2 (en) 2010-09-30 2015-05-12 International Business Machines Corporation Through silicon via in n+ epitaxy wafers with reduced parasitic capacitance
US8409989B2 (en) 2010-11-11 2013-04-02 International Business Machines Corporation Structure and method to fabricate a body contact
US8836050B2 (en) 2010-11-11 2014-09-16 International Business Machines Corporation Structure and method to fabricate a body contact
US8546961B2 (en) 2011-01-10 2013-10-01 International Business Machines Corporation Alignment marks to enable 3D integration
KR101531843B1 (ko) * 2013-05-02 2015-06-26 하나 마이크론(주) 집적회로 소자 패키지의 제조 방법

Also Published As

Publication number Publication date
EP1986233A3 (en) 2010-08-04
KR100785821B1 (ko) 2007-12-13
KR100711820B1 (ko) 2007-05-02
WO1998045130A1 (en) 1998-10-15
JP2008028407A (ja) 2008-02-07
CN101188235B (zh) 2010-10-06
JP5468739B2 (ja) 2014-04-09
CN1268925A (zh) 2000-10-04
JP2008172254A (ja) 2008-07-24
US5915167A (en) 1999-06-22
US20040097008A1 (en) 2004-05-20
CN102005453A (zh) 2011-04-06
EP2276035A3 (en) 2014-03-12
EP0975472A1 (en) 2000-02-02
US7193239B2 (en) 2007-03-20
JP2008166832A (ja) 2008-07-17
JP2002516033A (ja) 2002-05-28
EP1986233A2 (en) 2008-10-29
JP2008166831A (ja) 2008-07-17
CN1525549A (zh) 2004-09-01
KR20060088907A (ko) 2006-08-07
JP2011181176A (ja) 2011-09-15
US6133640A (en) 2000-10-17
JP5207747B2 (ja) 2013-06-12
US20090067210A1 (en) 2009-03-12
KR20010005983A (ko) 2001-01-15
US6208545B1 (en) 2001-03-27
US6632706B1 (en) 2003-10-14
CN1525485A (zh) 2004-09-01
EP2276035A2 (en) 2011-01-19
EP0975472A4 (en) 2007-07-04
CN1155050C (zh) 2004-06-23
CN101188235A (zh) 2008-05-28
KR20050107819A (ko) 2005-11-15
CN100409425C (zh) 2008-08-06
JP5690215B2 (ja) 2015-03-25
JP5207684B2 (ja) 2013-06-12
CN1525485B (zh) 2012-12-05
TW412854B (en) 2000-11-21
CN102005453B (zh) 2014-05-07

Similar Documents

Publication Publication Date Title
KR100639752B1 (ko) 3차원구조 메모리
US7474004B2 (en) Three dimensional structure memory

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E801 Decision on dismissal of amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121009

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131010

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141008

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151007

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee