KR20010012507A - 제어된 분할 방법 - Google Patents
제어된 분할 방법 Download PDFInfo
- Publication number
- KR20010012507A KR20010012507A KR1019997010462A KR19997010462A KR20010012507A KR 20010012507 A KR20010012507 A KR 20010012507A KR 1019997010462 A KR1019997010462 A KR 1019997010462A KR 19997010462 A KR19997010462 A KR 19997010462A KR 20010012507 A KR20010012507 A KR 20010012507A
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- energy
- particles
- controlled
- action
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 125
- 230000008569 process Effects 0.000 title description 38
- 238000003776 cleavage reaction Methods 0.000 title 1
- 230000007017 scission Effects 0.000 title 1
- 239000000758 substrate Substances 0.000 claims abstract description 203
- 239000000463 material Substances 0.000 claims abstract description 130
- 239000012530 fluid Substances 0.000 claims abstract description 59
- 239000002245 particle Substances 0.000 claims abstract description 59
- 230000009471 action Effects 0.000 claims abstract description 57
- 239000010409 thin film Substances 0.000 claims abstract description 55
- 230000011218 segmentation Effects 0.000 claims abstract description 23
- 229910052710 silicon Inorganic materials 0.000 claims description 40
- 239000010703 silicon Substances 0.000 claims description 40
- 239000007789 gas Substances 0.000 claims description 24
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 20
- 239000011521 glass Substances 0.000 claims description 16
- 238000002347 injection Methods 0.000 claims description 13
- 239000007924 injection Substances 0.000 claims description 13
- 238000005468 ion implantation Methods 0.000 claims description 12
- 238000000638 solvent extraction Methods 0.000 claims description 12
- 239000010432 diamond Substances 0.000 claims description 8
- 229910003460 diamond Inorganic materials 0.000 claims description 7
- 238000007654 immersion Methods 0.000 claims description 6
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 6
- 230000007935 neutral effect Effects 0.000 claims description 6
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 5
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 5
- 239000004033 plastic Substances 0.000 claims description 4
- 229920003023 plastic Polymers 0.000 claims description 4
- 239000010453 quartz Substances 0.000 claims description 4
- 229910052594 sapphire Inorganic materials 0.000 claims description 4
- 239000010980 sapphire Substances 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 3
- 229910052734 helium Inorganic materials 0.000 claims description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 3
- 150000002483 hydrogen compounds Chemical class 0.000 claims description 3
- 230000001902 propagating effect Effects 0.000 claims description 3
- 230000003068 static effect Effects 0.000 claims description 3
- 229910010293 ceramic material Inorganic materials 0.000 claims 1
- 238000006467 substitution reaction Methods 0.000 claims 1
- 230000003313 weakening effect Effects 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 87
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 39
- 150000002500 ions Chemical class 0.000 description 26
- 239000007788 liquid Substances 0.000 description 23
- 239000000126 substance Substances 0.000 description 16
- 239000012212 insulator Substances 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 10
- 235000012239 silicon dioxide Nutrition 0.000 description 10
- 238000002513 implantation Methods 0.000 description 9
- 238000005498 polishing Methods 0.000 description 9
- 238000012545 processing Methods 0.000 description 9
- 230000007547 defect Effects 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 7
- 239000001257 hydrogen Substances 0.000 description 7
- 229910052739 hydrogen Inorganic materials 0.000 description 7
- -1 hydrogen ions Chemical class 0.000 description 7
- 230000000977 initiatory effect Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 239000000377 silicon dioxide Substances 0.000 description 7
- 230000005672 electromagnetic field Effects 0.000 description 6
- 239000010408 film Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 230000006835 compression Effects 0.000 description 5
- 238000007906 compression Methods 0.000 description 5
- 238000005520 cutting process Methods 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 239000002210 silicon-based material Substances 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 239000004642 Polyimide Substances 0.000 description 4
- 239000000853 adhesive Substances 0.000 description 4
- 230000001070 adhesive effect Effects 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 229920001721 polyimide Polymers 0.000 description 4
- 239000002002 slurry Substances 0.000 description 4
- 239000000243 solution Substances 0.000 description 4
- 238000000137 annealing Methods 0.000 description 3
- 239000012298 atmosphere Substances 0.000 description 3
- 239000013590 bulk material Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000010894 electron beam technology Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 229910052754 neon Inorganic materials 0.000 description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 230000003746 surface roughness Effects 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 239000003082 abrasive agent Substances 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000012809 cooling fluid Substances 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000000227 grinding Methods 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- VCJMYUPGQJHHFU-UHFFFAOYSA-N iron(3+);trinitrate Chemical compound [Fe+3].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O VCJMYUPGQJHHFU-UHFFFAOYSA-N 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 230000008685 targeting Effects 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 241001050985 Disco Species 0.000 description 1
- 239000004593 Epoxy Substances 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000003929 acidic solution Substances 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 239000005456 alcohol based solvent Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000008119 colloidal silica Substances 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 230000004941 influx Effects 0.000 description 1
- MVFCKEFYUDZOCX-UHFFFAOYSA-N iron(2+);dinitrate Chemical compound [Fe+2].[O-][N+]([O-])=O.[O-][N+]([O-])=O MVFCKEFYUDZOCX-UHFFFAOYSA-N 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 239000000155 melt Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000003892 spreading Methods 0.000 description 1
- 230000007480 spreading Effects 0.000 description 1
- 239000003351 stiffener Substances 0.000 description 1
- 239000004408 titanium dioxide Substances 0.000 description 1
- 230000009278 visceral effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/185—Joining of semiconductor bodies for junction formation
- H01L21/187—Joining of semiconductor bodies for junction formation by direct bonding
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00349—Creating layers of material on a substrate
- B81C1/0038—Processes for creating layers of materials not provided for in groups B81C1/00357 - B81C1/00373
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02376—Carbon, e.g. diamond-like carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02387—Group 13/15 materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
- H01L21/2003—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
- H01L21/2007—Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/223—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
- H01L21/2236—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/2658—Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/304—Mechanical treatment, e.g. grinding, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/7624—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/7624—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
- H01L21/76251—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
- H01L21/76254—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/7806—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
- H01L21/7813—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate leaving a reusable substrate, e.g. epitaxial lift off
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0174—Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
- B81C2201/0191—Transfer of a layer from a carrier wafer to a device wafer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0174—Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
- B81C2201/0191—Transfer of a layer from a carrier wafer to a device wafer
- B81C2201/0192—Transfer of a layer from a carrier wafer to a device wafer by cleaving the carrier wafer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S117/00—Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
- Y10S117/915—Separating from substrate
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/918—Delaminating processes adapted for specified product, e.g. delaminating medical specimen slide
- Y10S156/93—Semiconductive product delaminating, e.g. delaminating emiconductive wafer from underlayer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/974—Substrate surface preparation
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/977—Thinning or removal of substrate
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10T156/11—Methods of delaminating, per se; i.e., separating at bonding face
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10T156/11—Methods of delaminating, per se; i.e., separating at bonding face
- Y10T156/1153—Temperature change for delamination [e.g., heating during delaminating, etc.]
- Y10T156/1158—Electromagnetic radiation applied to work for delamination [e.g., microwave, uv, ir, etc.]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10T156/19—Delaminating means
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/21—Circular sheet or circular blank
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24802—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
- Y10T428/24893—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including particulate material
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/249921—Web or sheet containing structurally defined element or component
- Y10T428/249953—Composite having voids in a component [e.g., porous, cellular, etc.]
- Y10T428/249955—Void-containing component partially impregnated with adjacent component
- Y10T428/249956—Void-containing component is inorganic
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- High Energy & Nuclear Physics (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Processing Of Stones Or Stones Resemblance Materials (AREA)
- Element Separation (AREA)
- Dicing (AREA)
- Recrystallisation Techniques (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)
Abstract
본 발명은 도너 기판(10)으로부터 재료(12) 박막을 형성하기 위한 기술에 관한 것이다. 상기 기술은 도너 기판(10)의 표면을 통해 상기 표면 하부의 선택된 깊이(20)까지 선택된 방식으로 에너지 입자를 유입하는 단계를 포함하는데, 상기 입자는 선택된 깊이 및 선택된 깊이에서의 패턴을 위한 입자 상에 도너 기판 재료(12)를 한정하기 위해 상대적으로 고농도를 가진다. 압력화된 유체와 같은 에너지 소스가 선택된 깊이(20)에서의 기판(10)의 제어된 분할 작용을 시작하도록 도너 기판의 선택된 영역으로 지향되며, 상기 분할 작용은 도너 기판의 나머지 부분으로부터 도너 재료를 제거하기 위해 연장하는 분할 프런트를 제공한다.
Description
장인들은 수년동안 덜 유용한 재료들을 사용하여 유용한 물품, 공구 장치들을 만들어 왔다. 어떤 경우에, 물품은 소형 부재 또는 빌딩 블록에 의해 조립된다. 대안적으로, 덜 유용한 물품은 이들의 실용성을 개선하기 위해 소형 피스로 분리된다. 분리될 이들 물건의 공통 표본은 유리판, 다이아몬드, 반도체 기판 등과 같은 기판 구조물을 포함한다.
상기 기판 구조물은 종종 다양한 기술을 사용하여 분할 또는 분리된다. 어떤 경우에, 상기 기판은 톱질을 통해 분할될 수 있다. 톱질은 일반적으로 2개 피스로 기판 재료를 분리하기 위해 기판 재료를 절단하는, 회전 블래이드 또는 공구위에 의지한다. 그러나, 이런 기술은 종종 극단적으로 거칠고 일반적으로 미세한 공구 및 어셈블리의 제조를 위한 기판의 정밀 분리를 제공하는데 사용될 수 없다. 부가적으로, 톱질은 종종 다이아몬드 또는 유리와 같은 매우 딱딱하고 깨지기 쉬운 재료의 분리 또는 절단시 어려움을 가진다.
따라서, 분할 접근법을 사용하여 딱딱하고 깨지기 쉬운 재료를 분리하기 위한 기술이 발전되어 왔다. 예를 들어, 다이아몬드 절단에서, 강한 방향성 열적/기계적 임펄스는 우선적으로 다이아몬드 재료의 결정학적인 평면을 따라 지향된다. 이런 열적/기계적 임펄스는 일반적으로 분할 프런트가 주결정학적 평면을 따라 퍼지도록 하며, 여기에서 분할은 열적/기계적 임펄스가 선택된 결정학적 평면을 따라서 파쇄성 에너지 레벨을 초과할 때 발생한다.
유리 절단에서, 공구를 사용한 스크라이브 라인은 종종 일반적으로 비정질 특성을 갖는 유리 재료상의 바람직한 방향으로 새겨진다. 이런 스크라이브 라인은 비정질 유리 재료를 둘러싸는 더 높은 스트레스 영역을 초래한다. 기계적 힘이 스크라이브 라인의 각 측면에 가해져서, 유리 재료가 파열될 때까지 스크라이브 라인을 따라 스트레스를 증가시킨다. 이런 파열은 다양한 응용 분야에 사용될 수 있는 유리의 분할 공정을 완료한다.
이미 개시된 기술들이 대부분 만족스럽더라도, 다이아몬드 또는 유리 절단에 적용될 때, 이들은 작고 복잡한 구조물 또는 정밀 제품의 제조에서 심각한 제한성을 가진다. 예를 들면, 상기 기술들은 종종 거칠고, 상당한 정밀성으로 작고 복잡한 기계 공구, 전자 소자 등의 제조에 사용될 수 없다. 부가적으로, 상기 기술들은 다른 것에서 하나의 큰 유리 평면을 분리하는데 유용할 수 있지만, 종종 더 큰 기판에서 박막 재료를 분할, 깎음, 또는 제거하는데 효과적이지 않다. 더욱이, 상기 기술들은 종종 약간 다른 평면을 따라 결합하고, 정밀 절단 응용에 상당히 바람직하지않은 하나 이상의 분할 프런트를 초래할 수 있다.
이상에서, 비용 효율적이고 효과적으로 기판에서 박막 재료를 분리하기 위한 기술이 종종 요구된다는 것을 알 수 있었다.
본 발명은 기판 제조에 관한 것이다. 특히, 본 발명은 예를 들어 압력화된 유체를 사용하여 반도체 집적회로용 실리콘-온-절연체(SOI) 기판의 제조에서 기판을 분할하기 위한 방법 및 장치를 포함하는 기술을 제공한다. 그러나, 본 발명이 광범한 적응성을 가진다: 즉 다층화된 집적 회로 소자를 위한 다른 기판, 집적 반도체 소자, 광소자, 압전 소자, 마이크로일렉트로매커니컬 시스템("MEMS)", 센서, 액츄에이터, 태양 전지, 플랫 패널 디스플레이(예를 들어, LCD, AMLCD), 생물학 및 생물 의학적 소자 등의 3차원 패키징에도 적용될 수 있다고 이해될 것이다.
도 1 내지 도 11은 본 발명의 일실시예에 따른 제어된 분할 기술을 설명하는 개략도.
도 12 내지 도 18은 본 발명에 따른 실리콘-온-절연체 기판을 형성하는 방법을 설명하는 개략적 단면도.
본 발명에 따르면, 압력화된 유체 또는 유체 분사를 사용한 제어된 분할 작용을 사용하여 기판으로부터 박막 재료를 제거하기 위한 개선된 기술이 제공된다. 상기 기술은 제어된 에너지(예를 들어 공간적 분포) 및 선택된 조건의 사용을 통한 단일 또는 다중 분할 영역을 사용하여 기판에서의 분할 처리의 시작을 허용하고 기판에서 박막 재료를 제거하기 위해 기판을 통해 퍼질 수 있도록 한다.
특정 실시예에서, 본 발명은 압력화된 유체로 제어된 분할 처리를 사용하여 도너 기판에서 박막 재료를 형성하기 위한 방법을 제공한다. 상기 방법은 도너 기판의 표면을 통해 상기 표면 하부의 선택된 깊이까지 에너지 입자(예를 들어, 충분한 운동 에너지를 가지는 대전 또는 중립 분자, 원자, 또는 전자)를 유입하는 단계를 포함하는데, 상기 입자는 상기 선택된 깊이상에 소정 두께의 도너 기판 재료(예를 들어, 분리할 수 있는 재료 박막)를 한정하기 위해 상대적으로 고농도를 가진다. 도너 기판 재료를 분할하기 위해, 상기 방법은 도너 기판에서의 제어된 분할 작용을 시작하도록 도너 기판의 선택된 영역에 에너지를 제공하고, 이때 분할 작용은 도너 기판의 나머지 부분으로부터 도너 재료를 제거하는 전파하는 분할 프런트를 사용하여 수행된다.
대부분의 실시예에서, 분할은 상기 재료가 어떤 영역의 재료를 파열시키는 충분한 에너지를 받게 함으로써 시작되고, 제어되지않은 파손 또는 균열없이 분할 프런트를 형성한다. 상기 분할 분할 프런트 형성 에너지(Ec)는 종종 재료의 파손 또는 균열을 방지하기 위해 각 영역에서의 벌크 재료 파열 에너지(Emat)보다 더 낮아야 한다. 다이아몬드 절단 또는 유리 절단에서의 스크라이브 라인에서의 방향성 에너지 임펄스 벡터는, 분할 에너지가 분할 프런트의 제어된 형성 및 전파를 허용하도록 감소된다는 것을 의미한다. 상기 분할 프런트는 자체가 더 높은 스트레스 영역에 있고, 형성될 때 그것의 전파는 이런 초기 파열 영역에서 재료를 추가로 분할하기 위해 더 낮은 에너지를 요구한다. 상기 분할 프런트를 전파시키는데 요구되는 에너지는 분할 프런트 전파 에너지(Ep)로 칭해진다. 관계식은 다음과 같이 표현될 수 있다:
제어된 분할 처리는 모든 것에 걸쳐 원하는 방향에 따라 Ep를 감소시키고 다른 바람직하지 않은 방향의 Ep 이하가 되도록 유용한 에너지를 제한시킴으로써 실현된다. 어떤 분할 처리에서, 다중 분할 프런트가 작용을 미치더라도, 양호한 분할 프런트 마무리는 분할 처리가 하나의 확장하는 분할 프런트만을 통해 발생할 때 얻어진다.
본 발명의 사용은 종래 기술보다 뛰어난 여러 이익을 제공한다. 특히, 본 발명은 다중 재료 샌드위치된 막을 포함하는, 도너 기판에서 재료 박막을 우선적으로 분할하기 위해 제어된 에너지 및 선택된 조건을 사용한다. 이런 분할 처리는 박막 또는 기판의 나머지 부분에 대한 손상 가능성을 방지하면서 기판에서 재료 박막을 선택적으로 제거한다. 따라서, 나머지 기판부는 다른 응용을 위해 반복적으로 재사용될 수 있다.
부가적으로, 본 발명은 분리된 막, 도너 기판, 또는 다른 실시예의 다중 재료 막의 온도 편위를 감소시키기 위해 박막의 제어된 분할 처리동안 상대적으로 낮은 온도를 사용한다. 대부분의 경우에, 제어된 분할 처리는 예를 들어 실온에서 뿐만 아니라 다른 온도에서 발생한다. 이런 더 낮은 온도 접근법은 실질적으로 다른 열팽창 계수를 가지는 재료의 분할 및 본딩과 같은 더 많은 재료 및 처리 범위를 허용한다. 다른 실시예에서, 본 발명은 분할 시작 에너지 이하의 값으로 기판의 에너지 또는 스트레스를 제한하여, 일반적으로 임의 분할 시작 자리 또는 표면를 형성할 가능성을 제거한다. 이것은 종종 종래 기술에서 초래되는 분할 손상(예를 들어, 피트, 결정 결함, 파손, 균열, 단차, 보이드, 과잉 거칠기)을 감소시킨다. 더욱이, 본 발명은 종래 기술과 비교할 때 에너지 입자에 의해 초래되는 필요한 것 이상의 스트레스 또는 압력 효과 및 핵형성 자리에 의해 초래되는 손상을 감소시킨다.
본 발명은 공지된 처리 기술과 관련한 이익 등을 제공한다. 그러나, 본 발명의 특징과 장점의 추가 이해는 상세한 설명과 첨부된 도면을 참조함으로써 이루어질 것이다.
본 발명은 재료 박막 및/또는 나머지 기판에 대한 손상 가능성을 방지하면서 기판에서 재료 박막을 제거하기 위한 기술을 제공한다. 상기 재료 박막은 예를 들어 실리콘-온-절연체 웨이퍼를 형성하기 위해 타깃 기판에 부착될 수 있다. 또한 상기 재료 박막은 다양한 다른 응용을 위해 사용될 수 있다. 본 발명은 아래의 도면들과 상세한 설명을 참조함으로써 보다 더 많이 이해될 것이다.
1. 제어된 분할 기술
도 1은 본 발명에 따른 기판(10)의 개략적 단면도이다. 상기 도면은 단순히 예시적인 것이고 본 발명의 범위를 제한하는 것은 아니다. 단순히 보기로서, 기판(10)은 기판 재료에서 유된 얇고 상대적으로 균일한 박막인, 제거될 재료 영역(12)을 포함하는 실리콘 웨이퍼이다. 상기 실리콘 웨이퍼(10)는 상부면(14), 하부면916), 및 두께(18)를 포함한다. 또한 기판(10)은 제1 측면(측면 1)과 제2 측면(측면 2)(또한 도면에서 하부로 참조된다)을 포함한다. 또한 재료 영역(12)은 실리콘 웨이퍼의 두께(18) 범위내의 두께(20)를 포함한다. 본 발명은 다음 단계들의 시퀀스를 사용하여 재료 영역(12)을 제거하는 새로운 기술을 제공한다.
선택된 에너지 입자가 재료 박막으로 칭해지는 재료 영역(12)의 두께(20)를 한정하는, 선택된 깊이(24)로 실리콘 웨이퍼의 상부면(14)를 통해 주입된다(22). 다양한 기술이 실리콘 웨이퍼내로 에너지 입자를 주입하는데 사용될 수 있다. 이런 기술들은 예를 들어 어플라이드 머티어리얼스, 이튼사, 배리언 등과 같은 회사에서 제조되는 빔 라인 이온 주입 장비를 사용한 이온 주입을 포함한다. 대안적으로, 이온 주입은 플라즈마 이머전 이온 주입("PIII") 기술을 사용하여 수행된다. 플라즈마 이머전 주입 기술의 보기는 "최신 플라즈마 이머전 이온 주입의 응용", Paul. K. Chu, chung Chan, 및 Nathan W. Cheung, SEMICONDUCTOR INTERNATIONAL, pp. 165-172, 1996년 6월; 및 "플라즈마 이머전 이온 주입 - 반도체 처리를 위한 Fledgling 기술", P.K. Chu, S. Qin, C. Chan, N.W. Cheung, 및 L.A. Larson, MATERIAL SCIENCE AND ENGINEERING REPROTS, A Review Journal, pp. 207-280, R17권, 6-7호(1996. 11. 30)이다. 더욱이, 주입은 이온 샤워를 사용하여 수행될 수 있다. 물론, 사용된 기술은 상기 응용에 의존한다.
상기 응용에 의존하여, 더 작은 질량 입자가 일반적으로 재료 영역(12)에 대한 손상 가능성을 감소시키기 위해 선택된다. 즉, 더 작은 질량 입자는 실질적으로 입자가 횡단하는 재료 영역을 손상시키지않고 선택된 깊이까지 기판 재료를 통해 쉽게 이동한다. 예를 들면, 더 작은 입자(또는 에너지 입자)는 대부분 어떤 대전된(예를 들어, 양 또는 음) 및/또는 중성 원자 또는 분자, 또는 전자 등이 될 수 있다. 특정 실시예에서, 입자는 수소 이온과 그것의 동위 원소와 같은 이온, 헬륨 및 그것의 동위 원소와 같은 희가스, 및 네온을 포함한 중성 및/또는 대전된 입자가 될 수 있다. 또한 상기 입자는 가스, 예를 들어 수소 가스, 수증기, 메탄, 수소 화합물과 같은 화합물, 및 다른 광 원자 질량 입자로부터 유도될 수 있다. 대안적으로, 상기 입자는 상기 입자들, 및/또는 이온 및/또는 분자 반응종 및/또는 원자 반응종의 어떤 조합이 될 수 있다. 상기 입자들은 일반적으로 기판 표면 아래의 선택된 깊이까지 표면을 통해 투과하는 충분한 운동 에너지를 가진다.
보기로서 실리콘 웨이퍼내로의 주입 종으로서 수소를 사용하여, 주입 프로세스가 특정 세트의 조건을 사용하여 수행된다. 주입량 범위는 1015내지 1018원자/㎠, 바람직하게 약 1016원자/㎠ 이상이다. 주입 에너지 범위는 1 KeV 내지 1 MeV, 바람직하게 약 50 KeV이다. 주입 온도 범위는 있음직한 실질량의 수소 이온이 주입된 실리콘 웨이퍼의 외부로 확산하고 주입 손상과 스트레스를 어닐링하지 못하도록 200 내지 600 ℃, 바람직하게 약 400 ℃ 이하가 된다. 상기 수소 이온은 약 ±0.03 내지 ±0.05 미크론의 정확도로 선택된 깊이까지 실리콘 웨이퍼내로 선택적으로 유입될 수 있다. 물론, 사용된 이온 타입과 처리 조건은 상기 응용에 의존한다.
효과적으로, 상기 주입된 입자는 스트레스를 부가하거나 또는 선택된 깊이에서 기판의 상부면과 평행한 평면에 따른 파열 에너지를 감소시킨다. 상기 에너지는 부분적으로 주입 종과 조건에 의존한다. 이런 입자는 선택된 깊이에서 기판의 파열 에너지를 감소시킨다. 이것은 선택된 깊이에서 주입된 평면에 따른 제어된 분할을 허용한다. 주입은 모든 내부 위치에서의 기판의 에너지 상태가 기판 재료의 역으로 할 수 없는 파열(예를 들어, 분리 또는 분할)을 시작하기에 불충분하도록 하는 조건하에서 발생할 수 있다. 그러나, 상기 주입은 일반적으로 다음 열처리(예를 들어 열적 어닐링 또는 급속 열적 어닐링)에 의해 리페어될 수 있는 기판에서의 특정 양의 결함(예를 들어, 미세 결함)을 초래할 수 있다고 이해하여야 한다.
도 2는 본 발명에 따른 이온 주입된 기판(10)의 단면에 따른 개략적 에너지도(200)이다. 상기 도면은 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 상기 개략도는 기판에서의 분할을 초래하는 에너지 레벨(E)(또는 부가 에너지)를 표현하는 수직 축(201)을 포함한다. 수평축(203)은 웨이퍼의 하부에서 웨이퍼의 상부까지의 깊이 또는 거리를 나타낸다. 웨이퍼로의 이온 주입후, 상기 기판은 웨이퍼 깊이에 따라 여러 단면 영역에 따라 웨이퍼를 분할하는데 필요한 에너지 양이 되는, E 205로서 나타낸 평균 분할 에너지를 가진다. 상기 분할 에너지(Ec)는 비주입된 영역에서의 벌크 재료 파열 에너지(Emat)와 동일하다. 선택된 깊이(20)에서, 에너지(Ec2)(207)는 주입 입자가 선택된 깊이에서 기판을 분할하는데 필요한 에너지 양을 더 낮추기 위해 본질적으로 결정 구조에서 약화되거나 또는 약하게 본딩되기 때문에 (또는 기판의 에너지(Ec2)(207)를 더 낮추는데 기여하는 입자의 존재에 의해 초래되는 스트레스를 증가시키기 때문에) 더 낮아진다. 본 발명은 제어된 방식으로 박막을 분할하기 위해 선택된 깊이에서 최저 에너지(또는 증가된 스트레스)의 장점을 취한다.
그러나, 기판은 주입 프로세스 이후에 일반적으로 가능한 분할 프런트 또는 선택된 깊이(Zo)에 걸친 결함 또는 "약한" 영역으로부터 자유롭지않다. 이런 경우에, 상기 분할은 일반적으로 제어될 수 없는데, 이들이 벌크 재료 불균일성, 내장 스트레스 등과 같은 임의 변화를 겪게 되기 때문이다. 도 3은 상기 결함을 가지는 이온 주입된 기판(10)에 대한 분할 프런트에 걸친 개략적 에너지도(300)이다. 상기 도(300)는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 상기 도는 부가 에너지(E)를 나타내는 수직축(301) 및 기판의 측면 1에서 측면 2까지의 거리를 나타내는, 즉 기판의 분할 프런트에 따른 영역을 나타내는 수평축(303)을 가진다. 도시된 바와 같이, 상기 분할 프런트는 평균 분할 에너지(Ec2)(207)보다 작은 분할 에너지를 가지는(아마 더 높은 결함 등의 집중때문에), 영역 1과 영역 2로서 나타난 2개 영역(305와 307)을 가진다. 따라서, 분할 프로세스는 각각의 영역이 주위 영역보다 더 낮은 분할 에너지를 가지기 때문에 상기 영역중 하나 또는 둘다에서 시작될 것으로 추측된다.
상기 도면에 의해 설명된 기판에 대한 분할 프로세스의 보기는 도 4를 참조하여 다음과 같이 제시된다. 도 4는 이오 주입된 기판를 통해 전파하는 다중 분할 프런트(401, 403)의 개략적 평면도(400)이다. 상기 분할 프런트는 특히 영역 1과 2를 포함하는 분할 평면의 "더 약한" 영역에서 시작한다. 상기 분할 프런트는 화살표에 의해 도시된 바와 같이 임의로 시작하고 전파한다. 다중 분할 프런트중에서 임의 전파의 사용과 관련한 제약은 이후에 더욱 상세히 설명되는 약간 다른 평면에 따르는 다른 분할 프런트 결합 가능성 또는 결함 형성 가능성이다.
도 5는 예를 들어 영역 1(305)과 영역 2(307)에서 다중 분할 프런트를 가지는 웨어퍼로부터 분할되는 박막의 개략적 단면도(500)이다. 상기 도는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 도시된 바와 같이, 약간 다른 평면을 따라 한정되는 영역 3(309)에서, 영역 2로부터의 분할부와 결합되는 영역 1로부터의 분할부는 박막에 따른 2차 분할 또는 균열부(311)를 형성할 수 있다. 차이 크기(313)에 의존하여, 상기 박막은 집적 회로 또는 다른 응용을 위한 기판의 제조에 사용하기에 불충분한 양이 될 수 있다. 균열부(311)를 가지는 기판은 일반적으로 프로세싱을 위해 사용될 수 없다. 따라서, 일반적으로 임의 방식으로 다중 표면을 사용하여 웨이퍼를 분할하는 것은 바람직하지 못하다. 임의 방식으로 다중 분할 프런트를 형성할 수 있는 기술의 보기는 출원인이 Michel Bruel이고 프랑스의 Comminssariat a l'Energie Atomique에게 양도된 미국 특허 제5,374,564호에 개시되어 있다(이하 "Bruel" 특허라고 함). "Bruel" 특허는 열적으로 활성화된 확산을 사용하는 글로벌한 열처리(예를 들면, 이온 주입된 전체 평면을 열적으로 처리함)에 의해 이온 주입된 웨이퍼를 분할하기 위한 기술을 개시한다. 기판의 글로벌한 열처리는 일반적으로 개별적으로 전파하는 다중 분할 프런트의 시작을 초래한다. 일반적으로, "Bruel" 특허는 바람직하지않은 결과를 생성할 수 있는, 글로벌한 열소스에 의해 분할 작용을 시작하고 유지하는 식으로 "제어할 수 없는" 분할 작용을 위한 기술을 개시하고 있다. 이런 바람직하지 않은 결과는 분할을 유지하기 위한 에너지 레벨이 요구된 양을 초과하기 때문에 분할 프런트의 불완전한 결합, 분할된 재료의 표면에서의 지나치게 거친 표면 마무리 등과 같은 잠재적인 문제점을 포함한다. 본 발명은 이온 주입된 기판에서의 에너지의 제어된 분포 또는 선택적 포지셔닝에 의해 임의 분할 프런트의 형성 문제를 극복한다.
도 6은 본 발명에 따른 분할 에너지의 선택적 포지셔닝을 사용하여 이온 주입된 기판(10)의 개략적 단면도이다. 상기 도는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 상기 이온 주입된 웨이퍼는 선택된 깊이(603)에서 재료 영역(12)의 제어된 분할 작용을 제공하는 선택적 에너지 배치(601) 또는 포지셔닝 또는 표적화 단계를 겪게 된다. 바람직한 실시예에서, 선택된 에너지 배치(607)는 기판(10)의 선택된 깊이(603)의 에지 또는 코너 영역 근처에서 발생한다. 임펄스가 에너지 소스를 사용하여 제공된다. 상기 소스의 보기는 그중에도 화학적 소스. 기계적 소스, 전기적 소스 및 열적 싱크 또는 소스를 포함한다. 상기 화학적 소스는 입자, 유체, 가스 또는 액체와 같은 종류를 포함한다. 또한 이런 화학적 소스는 상기 재료 영역에서의 스트레스를 증가시키기 위해 화학적 반응을 포함할 수 있다. 상기 화학적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입된다. 다른 실시예에서, 기계적 소스가 회전, 변화, 압축, 확장, 또는 초음파 에너지로부터 유도된다. 상기 기계적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입될 수 있다. 또다른 실시예에서, 전기적 소스가 인가된 전압 또는 인가된 저자기장으로부터 선택되고, 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입된다. 또다른 실시예에서, 상기 열적 소스 도는 싱크가 방사, 대류, 또는 전도로부터 선택된다. 이런 열적 소스는 그중에도 광자 빔, 유체 분사, 액체 분사, 가스 분사, 전자기장, 전자 빔, 열전기 가열, 퍼니스 등으로부터 선택될 수 있다. 상기 열적 싱크는 유체 분사, 액체 분사, 가스 분사, 저온성 유체, 과냉 액체, 열전기 냉각 수단, 전자기장 등으로부터 선택될 수 있다. 이전의 실시예와 유사하게, 열적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 공급된다. 또한, 상기 실시예중 어떤 것은 상기 응용에 의존하여 결합 또는 심지어 분리될 수 있다. 물론, 상기 사용된 소스 타입은 상기 응용에 의존한다.
특정 실시예에서, 상기 에너지 소스는 본 발명의 실시예에 따라 압력화되는(예를 들어, 압축되는) 유체 분사될 수 있다. 도 6a는 본 발명의 일실시예에 따른 제어된 분할 프로세스를 실행하는데 사용되는 유체 노즐(608)로부터의 유체 분사의 개략적 단면도를 도시한다. 상기 유체 분사(607)(또는 액체 분사 또는 가스 분사)는 제어된 분할 프로세스를 시작하기 위해 기판(10)의 에지 영역에 충돌한다. 상기 압축 또는 압력화된 유체 소스로부터의 유체 분사는 예를 들어 기계적, 화학적, 열적 힘을 사용하여 기판(10)으로부터 어떤 두께의 재료 영역(12)을 분할하기 위해 선택된 깊이(603)에 있는 어떤 영역으로 지향된다. 도시된 바와 같이, 상기 유체 분사는 기판(10)을 선택된 깊이(603)에서 서로로부터 분리되는 영역(609)와 영역(611)을 포함한 2개 영역으로 분리한다. 또한 상기 유체 분사는 기판(10)으로부터 재료(12)를 분리하기 위해 제어된 분할 프로세스를 시작하고 유지하도록 조절될 수 있다. 상기 응용에 의존하여, 상기 유체 분사는 요구된 제어된 분할 프로세스를 달성하기 위해 방향, 위치, 및 크기에서 조절될 수 있다. 상기 유체 분사는 액체 분사 또는 가스 분사 또는 액체와 가스의 조합이 될 수 있다.
바람직한 실시예에서, 상기 에너지 소스는 예를 들어 정적이 되는 압축 유체와 같은 압축 소스가 될 수 있다. 도 6b는 본 발명의 일실시예에 따른 압축 유체 소스(607)의 개략적 단면도를 도시한다. 상기 압축 유체 소스(607)(예를 들어, 압력화된 액체, 압력화된 가스)가 기판(10)의 주변 또는 에지를 둘러싸는, 밀봉된 챔버(621)에 인가된다. 도시된 바와 같이, 상기 챔버는 예를 들어 O-링(625) 등에 의해 밀봉되고 기판의 외부 에지를 둘러싸는, 장치(623)에 의해 밀폐된다. 상기 챔버는 이온 주입된 재료의 선택된 깊이에서 제어된 분할 프로세스를 시작하기 위해 기판(10)의 에지 영역에 인가되는, PC로 유지되는 압력을 가진다. 상기 기판의 외부 표면은 예를 들어 1 대기압 이하의 압력이 될 수 있는 압력(PA)으로 유지된다. 차동 압력이 더 높은 챔버의 압력과 대기압 사이에 존재한다. 상기 압력차는 선택된 깊이(603)에서의 이온 주입된 영역에 힘을 인가한다. 상기 선택된 깊이에서의 이온 주입된 영역은 구조적으로 어떤 본딩 영역을 포함하는 주위 영역보다 더 약하다. 상기 힘은 제어된 분할 프로세스가 시작될 때까지 차동 압력을 통해 인가된다. 상기 제어된 분할 프로세스는 선택된 깊이에서 상기 기판에서 상기 두께의 재료를 분할하기 위해 기판 재료(611)로부터 상기 두께의 재료(609)를 분리한다. 부가적으로, 압력(PC)은 재료 영역(12)이 기판 재료(611)로부터 "파고들기(prying) 작용"에 의해 분리되게 한다. 분할 프로세스 동안, 또한 챔버내의 압력이 기판(10)으로부터 재료를 분리하는 제어된 분할 프로세스를 시작하고 유지하도록 조절될 수 있다. 상기 응용에 의존하여, 상기 압력은 요구된 제어된 분할 프로세스를 달성하기 위해 크기가 조절될 수 있다. 상기 유체 압력은 액체 또는 가스 또는 액체와 가스의 조합으로부터 유도될 수 있다.
특정 실시예에서, 본 발명은 제어된 전파 분할을 제공한다. 상기 제어된 전파 분할은 도 7에 의해 설명된 바와 같이 분할 프로세스(700)를 시작하고 전파시키기 위해 다중 연속적 임펄스를 사용한다. 상기 도는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 도시된 바와 같이, 상기 임펄스는 기판의 에지로 향하고, 기판에서 재료층을 제거하기 위해 기파의 중심을 향해 분할 프런트를 전파시킨다. 이런 실시예에서, 소스는 기판에 연속적으로 다중 펄스(예를 들어, 펄스 1, 2 및 3)를 인가한다. 펄스 1(701)은 분할 작용을 시작하기 위해 기판의 에지(703)로 향한다. 또한 펄스 2(705)는 분할 프런트를 확장하기 위해 펄스 1의 일측상의 에지(707)로 향한다. 펄스 3(709)은 기판으로부터 재료층을 추가로 제거하기 위해 확장하는 분할 프런트를 따라 펄스 1의 반대쪽 에지(711)로 향한다. 이런 임펄스 또는 펄스의 조합은 기판으로부터의 재료층의 제어된 분할 작용(713)을 제공한다.
도 8은 제어된 전파 분할에 대한 이전 실시예에서의 펄스로부터 선택된 에너지도(800)이다. 상기 도는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지않는다. 도시된 바와 같이, 상기 펄스 1은 분할 작용을 시작하기 위해 필요한 에너지가 되는 평균 분할 에너지(E)를 초과하는 에너지 레벨을 가진다. 펄스 2 및 3은 분할 작용을 유지 또는 억제하기 위해 분할 프런트에 따라가는 더 낮은 에너지 레벨을 사용하여 만들어진다. 특정 실시예에서, 상기 펄스는 충돌 빔이 펄스를 통해 기판의 선택된 영역을 가열하고 열적 펄스 기울기가 분할 형성 또는 전파 에너지를 함께 초과하고 단일 분할 프런트를 형성하는 추가 스트레스를 초래하는 레이저 펄스이다. 바람직한 실시예에서, 상기 충돌 빔은 가열되고 동시에 분할 에너지 형성 또는 전파 에너지를 초과하는 열적 펄스 기울기를 초래한다. 더욱 바람직하게, 상기 충돌 빔은 냉각되고 동시에 분할 에너지 형성 또는 전파 에너지를 초과하는, 열적 펄스 기울기를 초래한다.
선택적으로, 기판의 내장 에너지 상태 또는 스트레스는 분할 작용을 시작하는데 필요한 에너지 레벨을 향해 전체적으로 상승될 수 있지만, 본 발명에 따라 다중 연속적 임펄스가 기판으로 향하기 이전에 분할 작용을 시작할 정도로 충분하지는 않다. 상기 기판의 전체 에너지 상태는 화학적, 기계적, 열적(싱크 또는 소스), 또는 전기적, 단독 또는 조합의 다양한 소스를 사용하여 상승 또는 하강될 수 있다. 상기 화학적 소스는 입자, 유체, 가스 또는 액체와 같은 종류를 포함한다. 또한 이런 화학적 소스는 상기 재료 영역에서의 스트레스를 증가시키기 위해 화학적 반응을 포함할 수 있다. 상기 화학적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입된다. 다른 실시예에서, 기계적 소스가 회전, 변화, 압축, 확장, 또는 초음파 에너지로부터 유도된다. 상기 기계적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입될 수 있다. 또다른 실시예에서, 전기적 소스가 인가된 전압 또는 인가된 저자기장으로부터 선택되고, 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입된다. 또다른 실시예에서, 상기 열적 소스 도는 싱크가 방사, 대류, 또는 전도로부터 선택된다. 이런 열적 소스는 그중에도 광자 빔, 유체 분사, 액체 분사, 가스 분사, 전자기장, 전자 빔, 열전기 가열, 퍼니스 등으로부터 선택될 수 있다. 상기 열적 싱크는 유체 분사, 액체 분사, 가스 분사, 저온성 유체, 과냉 액체, 열전기 냉각 수단, 전자기장 등으로부터 선택될 수 있다. 이전의 실시예와 유사하게, 열적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 공급된다. 또한, 상기 실시예중 어떤 것은 상기 응용에 의존하여 결합 또는 심지어 분리될 수 있다. 물론, 상기 사용된 소스 타입은 상기 응용에 의존한다. 언급된 바와 같이, 상기 전체 소스는 제어된 분할 작용을 시작하는 에너지를 제공하기 이전에 재료 영역에서의 에너지 또는 스트레스 레벨을 증가시킨다.
특정 실시예에서, 에너지 소스는 분할 프런트 전파 에너지 이상으로 기판 분할 평면의 에너지 레벨을 상승시키지만 분할 프런트의 자기-개시를 일으키기에는 불충분하다. 특히, 열의 형태 또는 열이 없는(예를 들어, 냉각 소스) 열적 에너지 소스 또는 싱크가 분할 프런트의 개시없이 기판의 에너지 상태 또는 스트레스 레벨을 증가시키기 위해 기판에 전체적으로 인가될 수 있다. 대안적으로, 상기 에너지 소스는 전기적, 화학적 또는 기계적일 수 있다. 지향된 에너지 소스는 재료 박막이 제거될 때까지 기판의 이온 주입된 영역을 통해 자기-전파하는 분할 프런트를 시작하도록 선택된 기판 재료 영역에 대한 에너지 적용을 제공한다. 다양한 기술이 분할 작용을 개시하는데 사용될 수 있다. 이런 기술들은 아래의 도면에 의해 설명될 것이다.
도 9는 본 발명의 특징에 따른 단일 제어된 소스를 사용한 제어된 분할 작용에 대한 에너지 상태도(900)이다. 상기 도는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 상기 실시예에서, 상기 기판의 에너지 레벨 또는 상태는 분할 프런트 전파 에너지 상태 이상으로 전체 에너지 소스를 사용하여 상승되지만, 분할 프런트를 개시하는데 필요한 에너지 상태보다 더 낮다. 분할 프런트를 개시하기 위해, 레이저와 같은 에너지 소스가 분할 작용을 개시하도록 기판의 에지로 펄스 형태의 빔을 지향시킨다. 대안적으로, 상기 에너지 소스는 분할 작용을 개시하기 위해 기판의 에지로 펄스 형태의 냉각 매체를 지향시키는 냉각 유체(예를 들어, 액체, 가스)가 될 수 있다. 상기 전체 에너지 소스는 일반적으로 개시 에너지보다 더 낮은 에너지 레벨을 요구하는 분할 작용을 유지한다.
본 발명의 다른 특징은 도 10과 도 11를 참조하여 설명된다. 도 10은 회전력(1001, 1003)을 겪게 되는 이온 주입된 기판(1000)의 개략도이다. 상기 도는 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 도시된 바와 같이, 상기 기판은 상부면(1005), 하부면(1007) 및 선택된 깊이에 이온 주입된 영역(1009)을 포함한다. 에너지 소스는 광빔 또는 가열 소스를 상용하여 분할 프런트 전파 에너지 상태 이상의 레벨로 기판의 전체 에너지 레벨을 증가시키지만, 분할 프런트를 개시하는데 필요한 에너지 상태보다 낮다. 상기 기판은 상부면에서 시계방향(1001)으로 회전하는 회전력 및 분할 프런트를 개시하기 위해 이온 주입된 영역(1009)에 스트레스를 형성하는 하부면에서의 반시계 방향(1003)으로 회전하는 회전력을 받는다. 대안적으로, 상기 상부면이 반시계 방향 회전력을 받고 하부면이 시계 방향 회전력을 받을 수 있다. 물론, 힘의 방향은 일반적으로 상기 실시예에서 중요하지않다.
도 11은 본 발명에 따라 회전력을 사용한 제어된 분할 작용에 대한 에너지 상태도(100)이다. 상기 도는 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 이전에 언급한 바와 같이, 상기 기판의 에너지 레벨 또는 상태는 글로벌 에너지 소스(예를 들어, 열적, 빔)를 사용하여 분할 프런트 전파 에너지 상태 이상으로 상승되지만, 분할 프런트를 개시하는데 필요한 에너지 상태보다 낮다. 분할 프런트를 개시하기 위하여, 기판의 이온 주입된 영역에 인가된 회전력과 같은 기계적 에너지 수단이 분할 프런트를 개시한다. 특히, 기판의 이온 주입된 영역에 인가된 회전력은 기판의 중심에서 스트레스가 없고 주변에서 가장 크고 본질적으로 반경에 비례한다. 상기 보기에서, 중심에서 개시되는 펄스는 방사상으로 확장하는 분할 프런트가 기판을 분할하도록 한다.
상기 제거된 재료 영역은 처리를 위한 실리콘 재료 박막을 제공한다. 상기 실리콘 재료는 실리콘-온-절연체 기판에 사용하기 위한 제한된 표면 거칠기 및 요구된 평탄도 특성을 지니고 있다. 특정 실시예에서, 분리된 박막의 표면 거칠기는 약 60 ㎚ 이하, 또는 약 40 ㎚ 이하, 또는 약 20 ㎚ 이하가 되는 특성을 가진다. 따라서, 본 발명은 종래 기술보다 더 평탄하고 더 균일할 수 있는 박막 실리콘을 제공한다.
바람직한 실시예에서, 본 발명은 종래 기술에 의해 사용된 것보다 더 낮은 온도에서 수행된다. 특히, 본 발명은 종래 기술과 같이 분할 작용을 시작하고 유지하기 위해 전체 기판 온도를 증가시킬 필요가 없다. 실리콘 웨이퍼와 수소 주입을 위한 일부 실시예에서, 기판 온도는 분할 프로세스동안 약 400℃를 초과하지않는다. 선택적으로, 기판 온도는 분할 프로세스동안 약 300℃를 초과하지않는다. 선택적으로, 기판 온도는 열적 싱크, 예를 들어 냉각 유체, 극저온 유체를 통해 주입 온도 이하로 유지된다. 따라서, 본 발명은 임의 분할 프런트로부터의 에너지의 과잉 방출로부터의 불필요한 손상 가능성을 감소시켜, 일반적으로 분리된 박막 및/또는 기판의 표면 품질을 개선시킨다. 따라서, 본 발명은 더 높은 전체 수율 및 양으로 기판상에 박막을 제공한다.
상기 실시예는 기판으로부터 재료 박막을 분할하는 것과 관련하여 개시되었다. 그러나, 상기 기판은 제어된 분할 프로세스 이전에 보강재 등과 같은 제품상에 배치될 수 있다. 상기 제품은 제어된 분할 프로세스 동안 재료 박막에 구조적 보조를 제공하기 위해 기판의 상부면 또는 이온 주입된 표면에 결합한다. 상기 제품은 다양한 본딩 또는 결합 기술, 예를 들어 정전기, 접착제, 원자 상호 결합을 사용하여 기판에 결합될 수 있다. 이런 본딩 기술의 일부는 여기에 개시되어 있다. 상기 제품은 유전체 재료(예를 들어, 석영, 유리, 사파이어, 실리콘 질화물, 실리콘 이산화물), 도전성 재료(예를 들어, 실리콘, 실리콘 탄소화물, 폴리실리콘, Ⅲ/Ⅴ족 재료, 금속), 및 플라스틱(예를 들어, 폴리이미드에 기초한 재료)으로 제조될 수 있다. 물론, 상기 사용된 제품의 타입은 상기 적용에 의존한다.
선택적으로, 분리될 박막을 가지는 상기 기판은 임시로 제어된 분할 프로세스 이전에 보강재 등과 같은 이송 기판상에 배치될 수 있다. 상기 이송 기판은 제어된 분할 프로세스 동안 재료 박막에 구조적 보조를 제공하기 위해 박막을 가지는 기판의 상부면 또는 이온 주입된 표면에 결합된다. 상기 이송 기판은 다양한 본딩 또는 결합 기술, 예를 들어 정전기, 접착제, 원자 상호 결합을 사용하여 박막을 기판에 임시로 결합될 수 있다. 이런 본딩 기술의 일부는 여기에 개시되어 있다. 상기 이송 기판은 유전체 재료(예를 들어, 석영, 유리, 사파이어, 실리콘 질화물, 실리콘 이산화물), 도전성 재료(예를 들어, 실리콘, 실리콘 탄소화물, 폴리실리콘, Ⅲ/Ⅴ족 재료, 금속), 및 플라스틱(예를 들어, 폴리이미드에 기초한 재료)으로 제조될 수 있다. 물론, 상기 사용된 이송 기판의 타입은 상기 적용에 의존한다. 부가적으로, 상기 이송 기파은 제어된 분할 프로세스후에 분할된 기판으로부터 재료 박막을 제거하는데 사용될 수 있다.
2. 실리콘-온-절연체 기판 제조 방법
본 발명에 따라 실리콘-온-절연체 기판을 제조하기 위한 방법은 간략히 다음과 같이 요약된다.
(1) 도너 실리콘 웨이퍼(유전체 재료로 코팅될 수 있는)를 제공하고;
(2) 소정 두께의 실리콘 박막을 한정하기 위해 선택된 깊이로 상기 실리콘 웨이퍼내로 입자를 유입하고;
(3) 타깃 기판 재료(유전체 재료로 코팅될 수 있는)를 제공하고;
(4) 타깃 기판 재료에 이온 주입된 표면을 결합함으로써 타깃 기판 재료에 도너 실리콘 웨이퍼를 본딩하고;
(5) 분할 작용의 개시없이 선택된 깊이로 이온 주입된 영역의 글로벌 스트레스(또는 에너지)를 증가시키고(선택적);
(6) 선택된 깊이에서 제어된 분할 작용을 개시하기 위해 본딩된 기판의 선택된 영역에 유체 분사를 사용하여 스트레스(또는 에너지)를 제공하고;
(7) 실리콘 웨이퍼로부터 상기 두께의 실리콘 박막을 제거하는 제어된 분할 작용을 유지하기 위해 본딩된 기판에 부가적 에너지를 제공하고(선택적);
(8) 상기 타깃 표면에 대한 도너 실리콘 웨이퍼의 본딩을 완료하며;
(9) 상기 두께의 실리콘 박막의 표면을 연마한다.
상기 단계들의 시퀀스는 본 발명에 따라 분할 프런트를 형성하기 위해 다층화된 기판 구조의 선택된 영역에 인가되는 에너지를 사용하여 제어된 분할 작용을 개시하는 단계를 제공한다. 이런 개시 단계는 기판에 인가된 에너지의 양을 제어함으로써 제어된 방식으로 분할 프로세스를 시작한다. 분할 작용의 추가 전파는 분할 작용을 유지하기 위해 기판의 선택된 영역에 부가적 에너지를 제공하거나, 또는 분할 작용의 추가 전파를 제공하는 개시 단계에서의 에너지를 사용함으로써 발생할 수 있다. 이런 단계의 시퀀스는 단순히 예시를 위한 것이고 본 발명의 범위를 제한하지는 않는다. 상기 단계들의 시퀀스와 관련한 추가 상세는 도면을 참조하여 아래에 개시되어 있다.
도 12-18은 본 발명의 실리콘-온-절연체 웨이퍼를 위한 제조 방법에 따른 기판의 개략적 단면도이다. 상기 방법은 도 12에 의해 도시된 바와 같이 실리콘 웨이퍼(2100)에 유사한 반도체 기판을 제공함으로써 시작된다. 기판 또는 도너는 기판 재료로부터 분리되는 상대적으로 균일한 박막인, 제거될 재료 영역(2101)를 포함한다. 상기 실리콘 웨이퍼는 상부면(2103), 하부면(2105), 및 두께(2107)를 포함한다. 또한 재료 영역은 실리콘 웨이퍼의 두께(2107)내의 두께(Z0)를 포함한다. 선택적으로, 유전체 층(2102)(예를 들어, 실리콘 질화물, 실리콘 산화물, 실리콘 옥시질화물)이 상기 기판의 상부면에 부가된다. 본 발명은 실리콘-온-절연체 웨이퍼의 제조를 위한 다음의 단계 시퀀스를 사용하여 재료 영역(2101)를 제거하기 위한 새로운 기술을 제공한다.
선택된 에너지 입자(2109)가 재료 박막으로 칭해지는, 상기 두께의 재료 영역을 한정하는, 실리콘 웨이퍼의 상부면을 통해 선택된 깊이까지 주입된다. 도시된 바와 같이, 상기 입자는 선택된 깊이(Z0)에서 요구된 농도(2111)를 가진다. 다양한 기술이 실리콘 웨이퍼내로 에너지 입자를 주입하는데 사용될 수 있다. 이런 기술들은 예를 들어 어플라이드 머티어리얼스, 이튼사, 배리언 등과 같은 회사에서 제조되는 빔 라인 이온 주입 장비를 사용한 이온 주입을 포함한다. 대안적으로, 이온 주입은 플라즈마 이머전 이온 주입("PIII") 기술을 사용하여 수행된다. 더욱이, 주입은 이온 샤워를 사용하여 수행될 수 있다. 물론, 사용된 기술은 상기 응용에 의존한다.
상기 응용에 의존하여, 더 작은 질량 입자가 일반적으로 재료 영역에 대한 손상 가능성을 감소시키기 위해 선택된다. 즉, 더 작은 질량 입자는 실질적으로 입자가 횡단하는 재료 영역을 손상시키지않고 선택된 깊이까지 기판 재료를 통해 쉽게 이동한다. 예를 들면, 더 작은 입자(또는 에너지 입자)는 대부분 어떤 대전된(예를 들어, 양 또는 음) 및/또는 중성 원자 또는 분자, 또는 전자 등이 될 수 있다. 특정 실시예에서, 입자는 수소 이온과 그것의 동위 원소와 같은 이온, 헬륨 및 그것의 동위 원소와 같은 희가스, 및 네온을 포함한 중성 및/또는 대전된 입자가 될 수 있다. 또한 상기 입자는 가스, 예를 들어 수소 가스, 수증기, 메탄, 수소 화합물과 같은 화합물, 및 다른 광 원자 질량 입자로부터 유도될 수 있다. 대안적으로, 상기 입자는 상기 입자들, 및/또는 이온 및/또는 분자 반응종 및/또는 원자 반응종의 어떤 조합이 될 수 있다.
상기 방법은 도 13에 도시된 바와 같이 제품 또는 타깃 웨이퍼에 이온 주입된 실리콘 웨이퍼를 결합하는 단계를 사용한다. 또한 상기 제품은 유전체 재료(예를 들어, 석영, 유리, 사파이어, 실리콘 질화물, 실리콘 이산화물), 도전성 재료(예를 들어, 실리콘, 실리콘 탄소화물, 폴리실리콘, Ⅲ/Ⅴ족 재료, 금속), 및 플라스틱(예를 들어, 폴리이미드에 기초한 재료)으로 제조될 수 있다. 그러나, 상기 보기에서 상기 제품은 실리콘 웨이퍼이다.
특정 실시예에서, 상기 실리콘 웨이퍼는 저온 열적 단계를 사용하여 서로 결합 또는 융합된다. 상기 저온 열적 방법은 일반적으로 주입된 입자가 재료 영역에 제어되지않은 분할 작용을 생성할 수 있는, 과잉 스트레스를 제공하지 못하도록 한다. 어떤 상황에서, 상기 저온 본딩 처리는 자기-본딩 처리에 의해 수행된다. 특히, 어떤 웨이퍼는 그것으로부터 산화물을 제거하기 위해 스트리핑된다(또는 어떤 웨이퍼는 산화되지않는다). 세척액이 웨이퍼 표면에 O-H 본딩을 형성하도록 웨이퍼의 표면을 처리한다. 웨이퍼를 세척하는데 사용되는 용액의 보기는 H2O2-H2SO4의 혼합물이다. 건조기가 웨이퍼 표면으로부터 어떤 자류 액체 도는 입자를 제거하기 위해 웨이퍼 표면을 건조시킨다. 자기-본딩은 산화된 웨이퍼의 표면에 대해 세척된 웨이퍼 표면을 배치시킴으로써 발생한다.
선택적으로, 자기-본딩 프로세스는 플라즈마 세척에 의해 본딩될 웨이퍼 표면중 하나를 활성화시킴으로써 발생한다. 특히, 플라즈마 세척은 아르곤, 암모니아, 네온, 수증기 및 산소와 같은 가스로부터 유도된 플라즈마를 사용하여 웨이퍼 표면을 활성화시킨다. 상기 활성화된 웨이퍼 표면(2203)은 그 위에 산화물 코팅(2205)을 가지는 다른 웨이퍼의 표면에 배치된다. 상기 웨이퍼는 노출된 웨이퍼 표면을 가지는 샌드위치된 구조가 된다. 선택된 양의 압력이 하나의 웨이퍼를 다른 웨이퍼에 자기-본딩시키기 위해 웨이퍼의 노출된 표면에 인가된다.
선택적으로, 웨이퍼 표면에 배치되는 접착제가 하나의 웨이퍼를 다른 웨이퍼에 본딩하는데 사용된다. 상기 접착제는 에폭시, 폴리이미드 타입 재료 등을 포하한다. 스핀-온-글라스 층이 하나의 웨이퍼 표면을 다른 웨이퍼 표면에 본딩하는데 사용될 수 있다. 이런 스핀-온-글라스(SOG) 재료는 그중에도 종종 알콜 용매 등과 혼합되는 실록산 또는 실리케이트를 포함한다. SOG는 그것이 웨이퍼의 표면에 인가된후 종종 SOG를 큐어링하는데 필요로 되는 저온(예를 들어, 150 내지 250℃) 때문에 바람직한 재료이다.
선택적으로, 다양한 다른 저온 기술이 도너 웨이퍼를 타깃 웨이퍼에 결합하는데 사용될 수 있다. 예를 들면, 정전기 본딩 기술이 2개 웨이퍼를 서로 결합하는데 사용될 수 있다. 특히, 하나 또는 둘다의 웨이퍼 표면은 다른 웨이퍼 표면에 부탁되도록 대전된다. 부가적으로, 상기 도너 웨이퍼는 다양한 널리 공지된 기술을 사용하여 타깃 웨이퍼에 용융될 수 있다. 물론, 상기 사용된 기술들은 상기 적용에 의존한다.
샌드위치된 구조(2300)로 웨이퍼를 본딩한후, 도 14에 도시된 바와 같이, 상기 방법은 상기 타깃 실리콘 웨이퍼(2201)의 절연체(2305) 위에 기판 재료 박막(2101)를 제공하기 위해 기판 재료를 제거하도록 제어된 분할 작용을 포함한다. 상기 제어된 분할은 도너 및/또는 도너 웨이퍼에서의 에너지 소스의 선택적 에너지 배치 또는 포지셔닝 또는 표적화(2301, 2303)에 의해 발생한다. 예를 들면, 에너지 임펄스가 분할 작용을 개시하는데 사용될 수 있다. 상기 임펄스는 그중에도 기계적 소스, 화학적 소스, 열적 싱크 또는 소스 및 전기적 소스를 포함하는 에너지 소스를 사용하여 제공된다.
상기 제어된 분할 작용은 이저에 언급한 기술중 하나에 의해 개시되고 도 14에 의해 설명되어진다. 예를 들면, 제어된 분할 작용을 개시하기 위한 방법은 기판의 선택된 깊이(Z0)에서 제어된 분할 작용을 개시하기 위해 기판의 선택된 영역에 에너지(2301, 2303)를 제공하는 단계를 사용하며, 여기에서 분할 작용은 기판으로부터 제거될 기판 재료의 일부를 제거하기 위해 전파하는 분할 프런트를 사용하여 이루어진다. 특정 실시예에서, 상기 방법은 이전에 언급한 바와 같이 분할 작용을 개시하기 위해 단일 임펄스를 사용한다. 선택적으로, 상기 방법은 기판의 선택된 여역에 다른 임펄스 또는 연속적 임펄스에 의해 수반되는 개시 임펄스를 사용한다. 선택적으로, 상기 방법은 기판에 따라 스캐닝된 에너지에 의해 유지되는 분할 작용을 개시하기 위해 임펄스를 제공한다. 선택적으로, 에너지는 제어된 분할 작용을 개시하고 및/또는 유지하기 위해 기판의 선택된 영역에 걸쳐 스캐닝될 수 있다.
선택적으로, 기판 재료의 에너지 또는 스트레스는 분할 작용을 개시하는데 필요한 에너지 레벨을 향해 증가되지만, 본 발명에 따라 기판으로 임펄스 또는 다중 연속적 임펄스를 지향시키기 이전에 분할 작용을 개시하기에는 불충분하다. 상기 기판의 전체 에너지 상태는 화학적, 기계적, 열적(싱크 또는 소스), 또는 전기적, 단독 또는 조합의 다양한 소스를 사용하여 상승 또는 하강될 수 있다. 상기 화학적 소스는 입자, 유체, 가스 또는 액체와 같은 종류를 포함한다. 또한 이런 화학적 소스는 상기 재료 영역에서의 스트레스를 증가시키기 위해 화학적 반응을 포함할 수 있다. 상기 화학적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입된다. 다른 실시예에서, 기계적 소스가 회전, 변화, 압축, 확장, 또는 초음파 에너지로부터 유도된다. 상기 기계적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입될 수 있다. 또다른 실시예에서, 전기적 소스가 인가된 전압 또는 인가된 저자기장으로부터 선택되고, 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 유입된다. 또다른 실시예에서, 상기 열적 소스 도는 싱크가 방사, 대류, 또는 전도로부터 선택된다. 이런 열적 소스는 그중에도 광자 빔, 유체 분사, 액체 분사, 가스 분사, 전자기장, 전자 빔, 열전기 가열, 퍼니스 등으로부터 선택될 수 있다. 상기 열적 싱크는 유체 분사, 액체 분사, 가스 분사, 저온성 유체, 과냉 액체, 열전기 냉각 수단, 전자기장 등으로부터 선택될 수 있다. 이전의 실시예와 유사하게, 열적 소스는 시간 변화하는, 공간 변화하는 또는 연속적인 유체로서 공급된다. 또한, 상기 실시예중 어떤 것은 상기 응용에 의존하여 결합 또는 심지어 분리될 수 있다. 물론, 상기 사용된 소스 타입은 상기 응용에 의존한다. 언급된 바와 같이, 상기 전체 소스는 제어된 분할 작용을 시작하는 에너지를 제공하기 이전에 재료 영역에서의 에너지 또는 스트레스 레벨을 증가시킨다.
바람직한 실시예에서, 상기 방법은 기판으로 입자를 유입하는 온도 이하가 되는 온도를 유지한다. 어떤 실시예에서, 상기 기판 온도는 분할 작용의 전파를 개시하기 위해 에너지를 유입하는 동안 -200 내지 400℃로 유지된다. 또한 상기 기판 온도는 400℃ 도는 350℃ 이하로 유지될 수 있다. 바람직한 실시예에서, 상기 방법은 실온보다 상당히 낮은 조건에서 발생하는, 분할 작용을 개시하고 유지하기 위해 열적 싱크를 사용한다.
다른 바람직한 실시예에서, 상기 기계적 및/또는 열적 소스는 본 발명의 실시예에 따라 압력화(예를 들어, 압축)되는 유체 분사가 될 수 있다. 상기 유체 분사(또는 액체 분사 또는 가스 분사)는 제어된 분할 프로세스를 개시하기 위해 기판의 에지 영역(2300)에 충돌한다. 압축 또는 압력화된 유체 소스로부터의 유체 분사는 기판(2100)으로부터 소정 두께의 재료 영역(2101)을 분할하도록 선택된 깊이(2111)에 있는 영역으로 지향된다. 상기 유체 분사는 선택된 깊이(2111)에서 서로로부터 분리되는 기판(2100)으로부터 영역(2101)을 분리시킨다. 상기 유체 분사는 기판(2100)으로부터 재료(2101)를 분리하는 제어된 분할 프로세스를 개시하고 유지하도록 조절될 수 있다. 상기 응용에 의존하여, 상기 유체 분사는 요구된 제어된 분할 프로세스를 달성하기 위해 방향, 위치 및 크기에서 조절될 수 있다.
최종 본딩 단계는 도 15에 의해 도시된 바와 같이 어떤 실시예에 따라 타깃 웨이퍼와 재료 영역의 박막 사이에서 발생한다. 일실시예에서, 어떤 실리콘 웨이퍼는 재료 박막의 세척이전에 상기 표면을 중첩하게 열적으로 성장시키는 실리콘 이산화물의 중첩층을 가진다. 또한 상기 실리콘 이산화물은 다양한 다른 기술, 예를 들어 화학적 기상 증착을 사용하여 형성될 수 있다. 상기 웨이퍼 표면 사이의 실리콘 이산화물은 이런 단계에서 열적으로 서로 용융된다.
일부 실시예에서, 상기 타깃 웨이퍼 또는 재료 영역의 박막(도너 웨이퍼로부터의)중 어느 하나로부터의 산화된 실리콘 표면은 서로 추가로 가압되고 산화 부위기(2401)에 노출된다. 상기 산화 분위기는 증기 산화, 수소 산화 등을 위한 확산 퍼니스에서 형성된다. 압력과 산화 분위기의 조합은 산화물 표면 또는 인터페이스(2305)에서 2개의 실리콘 웨이퍼를 용융시킨다. 이런 실시예는 종종 고온(예를 들어, 700℃)을 요구한다.
선택적으로, 상기 2개의 실리콘 표면은 서로 추가로 가압되고 2개의 웨이퍼 사이에 인가된 전압에 노출된다. 상기 인가된 전압은 웨이퍼 사이의 본딩을 유도하기 위해 웨이퍼의 온도를 상승시킨다. 이런 기술은 실질적으로 기계적인 힘이 웨이퍼 사이의 본딩 작용을 개시하는데 요구되기 않기 때문에 본딩 프로세스동안 실리콘 웨이퍼로 유입되는 결정 결함의 양을 제한한다. 물론 사용된 기술은 상기 적용에 의존한다.
웨이퍼의 본딩후, 실리콘-온-절연체는 도 15에 도시된 바와 같이 타깃 기판과 실리콘 박막 사이의 실리콘 재료의 중첩막과 샌드위치된 산화물층을 갖는 타깃 기판을 가진다. 실리콘 재료의 박막의 분리된 표면은 종종 거칠고(2404) 마무리 작업을 요한다. 마무리 작업은 그라인딩 및/또는 연마 기술의 조합을 사용하여 수행된다. 일부 실시예에서, 상기 분리된 표면은 어떤 결함 또는 표면 거칠기를 제거하기 위해 분리된 표면상의 연마 재료의 회전과 같은 기술을 사용하여 그라인딩 단계를 거치게 된다. Disco라는 회사에 의해 제조된 "백 그라인더"와 같은 머신이 이런 기술을 제공한다.
선택적으로, 화학적 기계적 연마 또는 평탄화("CMP") 기술이 도 16에 의해 도시된 바와 같이 박막의 분리된 표면을 마무리한다. CMP에서, 슬러리 혼합물이 회전하는 플래튼(2503)에 부착되는 연마 표면(2501)에 직접 인가된다. 이런 슬러리 혼합물은 슬러리 소스에 결합되는 오리피스에 의해 연마 표면에 이송될 수 있다. 상기 슬러리는 종종 연마재와 산화제, 예를 들어 H2O2, KIO3, 제2철 질산염을 함유하는 용액이다. 종종 상기 연마재는 보로실리케이트 글라스, 티타늄 이산화물, 티타늄 질화물, 알루미늄 산화물, 알루미늄 삼산화물, 철 질산염, 세퓸 산화물, 실리콘 이산화물(콜로이드같은 실리카), 실리콘 질화물, 실리콘 탄화물, 흑연, 다이아몬드 및 이들의 어떤 혼합물이다. 이런 연마재는 탈이온수 및 산화제 등의 용액에 혼합된다. 바람직하게, 상기 용액은 산성이다.
상기 산성 용액은 일반적으로 연마 처리동안 웨이퍼로부터 실리콘 재료와 상호반응한다. 상기 연마 처리는 바람직하게 폴리-우레탄 연마 패드를 사용한다. 이런 연마 패드의 보기는 Rodel에 의해 제조된 것이고 IC-1000이라는 상표로 판매되고 있다. 상기 연마 패드는 선택된 속도로 회전된다. 상기 박막을 가지는 타깃 웨이퍼를 픽업하는 캐리어 헤드는 선택된 힘이 상기 막에 인가되도록 타깃 웨이퍼의 후면에 선택된 양의 압력을 인가한다. 상기 연마 처리는 선택된 양의 박막 재료를 거의 재거하고, 도 17에 의해 도시된 바와 같이 다음 처리를 위한 상대적으로 평탄한 막 표면(2601)을 제공한다.
특정 실시예에서, 산화물 박막(2406)이 도 15에 도시된 바와 같이 타깃 웨이퍼에 중첩하는 재료 박막에 제공된다. 상기 산화물층은 재료 박막을 타깃 웨이퍼에 영구적으로 본딩하기 위해 이미 개시되어진 열적 어닐링 단계동안 형성된다. 이런 실시예에서, 상기 마무리 작업은 우선 산화물을 제거하도록 선택적으로 조절되고 다음에 박막이 상기 프로세스를 완료하기 위해 연마된다. 물론, 단계들의 시퀀스는 특별한 응용에 의존한다.
특정 실시예에서, 실리콘-온-절연체 기판은 집적 회로의 형성을 위해 일련의 처리 단계를 겪게 된다. 이런 처리 단계는 S. Wolf, "VLSI 세대를 위한 실리콘 프로세싱"(제2권), Lattice Press(1990)에 개시되어 있다. 집적 회로 소자를 포함하는 완성된 웨이퍼(2700)의 일부가 도 18에 의해 도시되어 있다. 도시된 바와 같이, 상기 웨이퍼(2700)의 일부는 액티브 소자 영역(2701)과 절연 영역(2703)을 포함한다. 상기 액티브 소자는 소스/드레인 영역(2705)과 게이트 전극(2707)을 가지는 전계 효과 트랜지스터이다. 유전체 절연층(2709)이 어떤 상부층으로부터 액티브 소자를 절연하기 위해 액티브 소자 위에 정의된다.
상기 설명이 실리콘 웨이퍼와 관련되더라도, 또한 다른 기판이 사용될 수 있다. 예를 들면, 상기 기판은 어떤 단결정, 다결정, 또는 심지어 비정질 타입 기판이 될 수 있다. 부가적으로, 상기 기판은 갈륨 비화물, 갈륨 질화물(GaN) 등과 같은 Ⅲ/Ⅴ족 재료로 제조될 수 있다. 또한 다중화된 기판이 본 발명에 따라 사용될 수 있다. 상기 다중화된 기판은 실리콘-온-절연체 기판, 반도체 기판상의 다양한 샌드위치된 층, 및 여러 다른 타입의 기판을 포함한다. 부가적으로, 상기 실시예들은 제어된 분할 작용을 개시하기 위해 에너지 펄스를 제공하는 것과 관련된다. 상기 펄스는 제어된 분할 작용을 개시하기 위해 기판의 선택된 영역에 걸쳐 스캐닝되는 에너지에 의해 대체될 수 있다. 또한 에너지는 제어된 분할 작용을 유지하기 위해 기판의 선택된 영역에 걸쳐 스캐닝될 수 있다.
비록 본 발명이 바람직한 실시예를 참조하여 기술되었지만, 당업자는 본 발명의 사상 및 범위를 벗어나지 않고 변형이 이루어진다는 것을 인식할 것이다.
Claims (23)
- 기판으로부터 재료 박막을 형성하기 위한 방법에 있어서,기판 표면을 통해 상기 표면 아래의 선택된 깊이까지 선택된 방식으로 입자를 유입하는 단계를 포함하는데, 상기 입자는 상기 선택된 깊이 상에 제거될 기판 재료를 한정하기 위해 상기 선택된 깊이에 어떤 농도로 존재하며;상기 기판의 선택된 깊이에서 제어된 분할 작용을 개시하도록 상기 기판의 선택된 영역에 에너지를 제공하는 단계를 포함하며, 상기 분할 작용은 상기 기판으로부터 제거될 재료의 일부를 유리하기 위해 전파하는 분할 프런트를 사용하여 이루어지는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자는 수소 가스, 헬륨 가스, 수증기, 메탄, 수소 화합물, 다른 광원자 질량 입자로 이루어지는 그룹으로부터 선택된 소스로부터 유도되는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자는 중성 분자, 대전된 분자, 원자 및 전자로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자는 에너지 입자인 것을 특징으로 하는 방법.
- 제 4항에 있어서, 상기 에너지 입자는 상기 표면 아래의 선택된 깊이까지 상기 표면을 통해 투과하기에 충분한 운동 에너지를 가지는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 에너지 제공 단계는 어떤 재료 박막을 제공하기 위해 상기 기판으로부터 상기 재료를 제거하는 상기 제어된 분할 작용을 유지하는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 에너지 제공 단계는 상기 재료의 제어된 스트레스를 증가시키고 어떤 재료 박막을 제공하기 위해 상기 기판으로부터 상기 재료를 제거하는 상기 제어된 분할 작용을 유지하는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 어떤 재료 박막을 제공하기 위해 상기 기판으로부터 상기 재료를 제거하는 상기 제어된 분할 작용을 유지하기 위해 상기 기판에 부가 에너지를 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 재료의 제어된 스트레스를 증가시키고 어떤 재료 박막을 제공하기 위해 상기 기판으로부터 상기 재료를 제거하는 상기 제어된 분할 작용을 유지하기 위해 상기 기판에 부가 에너지를 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자 유입 단계는 상기 선택된 깊이에서 상기 기판의 원자 본드 손상, 본드 치환, 및 본드 약화와 파괴로 이루어지는 그룹으로부터 선택되는 손상을 형성하는 것을 특징으로 하는 방법.
- 제 10항에 있어서, 상기 손상은 상기 기판 재료에 스트레스를 초래하는 것을 특징으로 하는 방법.
- 제 10항에 있어서, 상기 손상은 상기 기판 재료의 분할 가능성없이 스트레스에 저항하는 상기 기판 재료의 능력을 저하시키는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 전파하는 분할 프런트는 단일 분할 프런트 또는 다중 분할 프런트로부터 선택되는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자 유입 단계는 상기 선택된 깊이에서의 상기 입자 존재에 의해 상기 선택된 깊이에 있는 상기 재료 영역의 스트레스를 초래하는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자 유입 단계는 빔 라인 이온 주입으로 이루어진 단계인 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 입자 유입 단계는 플라즈마 이머전 이온 주입으로 이루어진 단계인 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 기판은 실리콘, 다이아몬드, 석영, 유리, 사파이어, 실리콘 탄화물, 유전체, Ⅲ/Ⅴ족 재료, 플라스틱, 세라믹 재료, 및 다층화된 기판으로 이루어진 그룹으로부터 선택된 재료로 제조되는 것을 특징으로 하는 방법.
- 제 1항에 있어서, 상기 에너지는 정적 소스 또는 유체 분사 소스로부터 선택되는 것을 특징으로 하는 방법.
- 제 18항에 있어서, 상기 유체는 상기 제어된 분할 작용을 개시하기 위해 상기 선택된 깊이로 지향되는 것을 특징으로 하는 방법.
- 다층화된 기판을 형성하기 위한 방법에 있어서,다층화된 기판을 제공하는 단계를 포함하는데, 상기 기판은 상기 선택된 깊이 상에 제거될 기판 재료를 한정하기 위해 상기 선택된 깊이에 어떤 농도로 존재하는 다수의 입자를 가지는 기판부를 포함하며;상기 기판의 선택된 깊이에서 제어된 분할 작용을 개시하도록 상기 기판의 선택된 영역에 유체를 제공하는 단계를 포함하며, 상기 분할 작용은 상기 기판으로부터 제거될 상기 재료의 일부를 제거하기 위해 전파하는 분할 프런트를 사용하여 이루어지는 것을 특징으로 하는 방법.
- 제 20항에 있어서, 상기 유체는 정적 소스 또는 유체 분사 소스로부터 선택되는 것을 특징으로 하는 방법.
- 제 20항에 있어서, 상기 유체 분사는 상기 제어된 분할 작용을 개시하기 위해 상기 선택된 깊이로 지향되는 것을 특징으로 하는 방법.
- 제 20항에 있어서, 상기 유체 분사는 압축 가스로부터 유도되는 것을 특징으로 하는 방법.
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US4627697P | 1997-05-12 | 1997-05-12 | |
US60/046,276 | 1997-05-12 | ||
US09/026,027 US5994207A (en) | 1997-05-12 | 1998-02-19 | Controlled cleavage process using pressurized fluid |
US9/026,115 | 1998-02-19 | ||
US09/026,115 US6155909A (en) | 1997-05-12 | 1998-02-19 | Controlled cleavage system using pressurized fluid |
US9/026,027 | 1998-02-19 | ||
PCT/US1998/009567 WO1998052216A1 (en) | 1997-05-12 | 1998-05-11 | A controlled cleavage process |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20010012507A true KR20010012507A (ko) | 2001-02-15 |
Family
ID=37944191
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019997010462A KR20010012507A (ko) | 1997-05-12 | 1998-05-11 | 제어된 분할 방법 |
Country Status (2)
Country | Link |
---|---|
US (33) | US6155909A (ko) |
KR (1) | KR20010012507A (ko) |
Families Citing this family (842)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7148119B1 (en) * | 1994-03-10 | 2006-12-12 | Canon Kabushiki Kaisha | Process for production of semiconductor substrate |
US6482742B1 (en) * | 2000-07-18 | 2002-11-19 | Stephen Y. Chou | Fluid pressure imprint lithography |
FR2748851B1 (fr) * | 1996-05-15 | 1998-08-07 | Commissariat Energie Atomique | Procede de realisation d'une couche mince de materiau semiconducteur |
FR2748850B1 (fr) * | 1996-05-15 | 1998-07-24 | Commissariat Energie Atomique | Procede de realisation d'un film mince de materiau solide et applications de ce procede |
DE19640594B4 (de) * | 1996-10-01 | 2016-08-04 | Osram Gmbh | Bauelement |
US7052941B2 (en) * | 2003-06-24 | 2006-05-30 | Sang-Yun Lee | Method for making a three-dimensional integrated circuit structure |
FR2758907B1 (fr) * | 1997-01-27 | 1999-05-07 | Commissariat Energie Atomique | Procede d'obtention d'un film mince, notamment semiconducteur, comportant une zone protegee des ions, et impliquant une etape d'implantation ionique |
CA2233096C (en) * | 1997-03-26 | 2003-01-07 | Canon Kabushiki Kaisha | Substrate and production method thereof |
SG71094A1 (en) | 1997-03-26 | 2000-03-21 | Canon Kk | Thin film formation using laser beam heating to separate layers |
US6382292B1 (en) | 1997-03-27 | 2002-05-07 | Canon Kabushiki Kaisha | Method and apparatus for separating composite member using fluid |
US6251754B1 (en) * | 1997-05-09 | 2001-06-26 | Denso Corporation | Semiconductor substrate manufacturing method |
US7470600B2 (en) * | 1998-02-19 | 2008-12-30 | Silicon Genesis Corporation | Method and device for controlled cleaving process |
US8835282B2 (en) * | 1997-05-12 | 2014-09-16 | Silicon Genesis Corporation | Controlled process and resulting device |
US6291313B1 (en) * | 1997-05-12 | 2001-09-18 | Silicon Genesis Corporation | Method and device for controlled cleaving process |
US6155909A (en) * | 1997-05-12 | 2000-12-05 | Silicon Genesis Corporation | Controlled cleavage system using pressurized fluid |
US20070122997A1 (en) * | 1998-02-19 | 2007-05-31 | Silicon Genesis Corporation | Controlled process and resulting device |
US6033974A (en) * | 1997-05-12 | 2000-03-07 | Silicon Genesis Corporation | Method for controlled cleaving process |
US6027988A (en) * | 1997-05-28 | 2000-02-22 | The Regents Of The University Of California | Method of separating films from bulk substrates by plasma immersion ion implantation |
JPH115064A (ja) * | 1997-06-16 | 1999-01-12 | Canon Inc | 試料の分離装置及びその方法並びに基板の製造方法 |
US6548382B1 (en) | 1997-07-18 | 2003-04-15 | Silicon Genesis Corporation | Gettering technique for wafers made using a controlled cleaving process |
FR2766620B1 (fr) * | 1997-07-22 | 2000-12-01 | Commissariat Energie Atomique | Realisation de microstructures ou de nanostructures sur un support |
GB2343550A (en) * | 1997-07-29 | 2000-05-10 | Silicon Genesis Corp | Cluster tool method and apparatus using plasma immersion ion implantation |
FR2767416B1 (fr) * | 1997-08-12 | 1999-10-01 | Commissariat Energie Atomique | Procede de fabrication d'un film mince de materiau solide |
FR2773261B1 (fr) | 1997-12-30 | 2000-01-28 | Commissariat Energie Atomique | Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions |
US6383890B2 (en) | 1997-12-26 | 2002-05-07 | Canon Kabushiki Kaisha | Wafer bonding method, apparatus and vacuum chuck |
JP4323577B2 (ja) * | 1997-12-26 | 2009-09-02 | キヤノン株式会社 | 分離方法および半導体基板の製造方法 |
US6413874B1 (en) | 1997-12-26 | 2002-07-02 | Canon Kabushiki Kaisha | Method and apparatus for etching a semiconductor article and method of preparing a semiconductor article by using the same |
SG70141A1 (en) | 1997-12-26 | 2000-01-25 | Canon Kk | Sample separating apparatus and method and substrate manufacturing method |
US6071795A (en) * | 1998-01-23 | 2000-06-06 | The Regents Of The University Of California | Separation of thin films from transparent substrates by selective optical processing |
FR2774214B1 (fr) * | 1998-01-28 | 2002-02-08 | Commissariat Energie Atomique | PROCEDE DE REALISATION D'UNE STRUCTURE DE TYPE SEMI-CONDUCTEUR SUR ISOLANT ET EN PARTICULIER SiCOI |
JP4126747B2 (ja) * | 1998-02-27 | 2008-07-30 | セイコーエプソン株式会社 | 3次元デバイスの製造方法 |
US6540861B2 (en) * | 1998-04-01 | 2003-04-01 | Canon Kabushiki Kaisha | Member separating apparatus and processing apparatus |
US6221774B1 (en) * | 1998-04-10 | 2001-04-24 | Silicon Genesis Corporation | Method for surface treatment of substrates |
WO1999053528A2 (en) * | 1998-04-10 | 1999-10-21 | Silicon Genesis Corporation | Surface treatment process and system |
US7227176B2 (en) | 1998-04-10 | 2007-06-05 | Massachusetts Institute Of Technology | Etch stop layer system |
JPH11307747A (ja) * | 1998-04-17 | 1999-11-05 | Nec Corp | Soi基板およびその製造方法 |
JP3500063B2 (ja) * | 1998-04-23 | 2004-02-23 | 信越半導体株式会社 | 剥離ウエーハを再利用する方法および再利用に供されるシリコンウエーハ |
US6048747A (en) * | 1998-05-01 | 2000-04-11 | Lucent Technologies, Inc. | Laser bar cleaving apparatus |
US6291314B1 (en) * | 1998-06-23 | 2001-09-18 | Silicon Genesis Corporation | Controlled cleavage process and device for patterned films using a release layer |
US6248649B1 (en) * | 1998-06-23 | 2001-06-19 | Silicon Genesis Corporation | Controlled cleavage process and device for patterned films using patterned implants |
JP3358550B2 (ja) * | 1998-07-07 | 2002-12-24 | 信越半導体株式会社 | Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ |
US6427748B1 (en) * | 1998-07-27 | 2002-08-06 | Canon Kabushiki Kaisha | Sample processing apparatus and method |
DE19837944A1 (de) * | 1998-08-21 | 2000-02-24 | Asea Brown Boveri | Verfahren zur Fertigung eines Halbleiterbauelements |
JP2000164905A (ja) * | 1998-09-22 | 2000-06-16 | Canon Inc | 光電変換装置の製造方法とその製造装置 |
FR2784796B1 (fr) * | 1998-10-15 | 2001-11-23 | Commissariat Energie Atomique | Procede de realisation d'une couche de materiau enterree dans un autre materiau |
FR2784795B1 (fr) * | 1998-10-16 | 2000-12-01 | Commissariat Energie Atomique | Structure comportant une couche mince de materiau composee de zones conductrices et de zones isolantes et procede de fabrication d'une telle structure |
TW484184B (en) | 1998-11-06 | 2002-04-21 | Canon Kk | Sample separating apparatus and method, and substrate manufacturing method |
US6672358B2 (en) | 1998-11-06 | 2004-01-06 | Canon Kabushiki Kaisha | Sample processing system |
JP2000150836A (ja) | 1998-11-06 | 2000-05-30 | Canon Inc | 試料の処理システム |
FR2786565B1 (fr) * | 1998-11-27 | 2000-12-22 | Commissariat Energie Atomique | Structure micro-usinee a membrane deformable et son procede de realisation |
US20040229443A1 (en) * | 1998-12-31 | 2004-11-18 | Bower Robert W. | Structures, materials and methods for fabrication of nanostructures by transposed split of ion cut materials |
US20050124142A1 (en) * | 1998-12-31 | 2005-06-09 | Bower Robert W. | Transposed split of ion cut materials |
US6534381B2 (en) * | 1999-01-08 | 2003-03-18 | Silicon Genesis Corporation | Method for fabricating multi-layered substrates |
JP4365920B2 (ja) * | 1999-02-02 | 2009-11-18 | キヤノン株式会社 | 分離方法及び半導体基板の製造方法 |
US20040175901A1 (en) * | 1999-02-10 | 2004-09-09 | Commissariat A L'energie Atomique | Method for forming an optical silicon layer on a support and use of said method in the production of optical components |
US6255195B1 (en) * | 1999-02-22 | 2001-07-03 | Intersil Corporation | Method for forming a bonded substrate containing a planar intrinsic gettering zone and substrate formed by said method |
KR100392039B1 (ko) * | 1999-02-22 | 2003-07-22 | 가부시끼가이샤 도시바 | 이온 주입법 및 이온 주입 장치 |
US6153497A (en) * | 1999-03-30 | 2000-11-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for determining a cause for defects in a film deposited on a wafer |
WO2000058957A1 (en) * | 1999-03-30 | 2000-10-05 | Taiyo Yuden Co., Ltd. | Method and apparatus for treating waste optical disk, optical disk |
US6451672B1 (en) * | 1999-04-15 | 2002-09-17 | Stmicroelectronics S.R.L. | Method for manufacturing electronic devices in semiconductor substrates provided with gettering sites |
US6355541B1 (en) * | 1999-04-21 | 2002-03-12 | Lockheed Martin Energy Research Corporation | Method for transfer of thin-film of silicon carbide via implantation and wafer bonding |
US6287941B1 (en) | 1999-04-21 | 2001-09-11 | Silicon Genesis Corporation | Surface finishing of SOI substrates using an EPI process |
US6204151B1 (en) * | 1999-04-21 | 2001-03-20 | Silicon Genesis Corporation | Smoothing method for cleaved films made using thermal treatment |
US6881644B2 (en) * | 1999-04-21 | 2005-04-19 | Silicon Genesis Corporation | Smoothing method for cleaved films made using a release layer |
US6171965B1 (en) | 1999-04-21 | 2001-01-09 | Silicon Genesis Corporation | Treatment method of cleaved film for the manufacture of substrates |
JP2001015721A (ja) * | 1999-04-30 | 2001-01-19 | Canon Inc | 複合部材の分離方法及び薄膜の製造方法 |
US6387829B1 (en) * | 1999-06-18 | 2002-05-14 | Silicon Wafer Technologies, Inc. | Separation process for silicon-on-insulator wafer fabrication |
FR2795866B1 (fr) * | 1999-06-30 | 2001-08-17 | Commissariat Energie Atomique | Procede de realisation d'une membrane mince et structure a membrane ainsi obtenue |
US6362075B1 (en) * | 1999-06-30 | 2002-03-26 | Harris Corporation | Method for making a diffused back-side layer on a bonded-wafer with a thick bond oxide |
FR2796491B1 (fr) * | 1999-07-12 | 2001-08-31 | Commissariat Energie Atomique | Procede de decollement de deux elements et dispositif pour sa mise en oeuvre |
WO2001011930A2 (en) * | 1999-08-10 | 2001-02-15 | Silicon Genesis Corporation | A cleaving process to fabricate multilayered substrates using low implantation doses |
US6500732B1 (en) | 1999-08-10 | 2002-12-31 | Silicon Genesis Corporation | Cleaving process to fabricate multilayered substrates using low implantation doses |
AU6395700A (en) * | 1999-08-10 | 2001-03-05 | Silicon Genesis Corporation | Method and apparatus for cleaving a substrate |
US6263941B1 (en) * | 1999-08-10 | 2001-07-24 | Silicon Genesis Corporation | Nozzle for cleaving substrates |
US6387572B1 (en) * | 1999-09-13 | 2002-05-14 | Intel Corporation | Low CTE substrate for reflective EUV lithography |
US6368938B1 (en) * | 1999-10-05 | 2002-04-09 | Silicon Wafer Technologies, Inc. | Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate |
KR100343211B1 (ko) * | 1999-11-04 | 2002-07-10 | 윤종용 | 웨이퍼 레벨 진공 패키징이 가능한 mems의 구조물의제작방법 |
DE19958803C1 (de) * | 1999-12-07 | 2001-08-30 | Fraunhofer Ges Forschung | Verfahren und Vorrichtung zum Handhaben von Halbleitersubstraten bei der Prozessierung und/oder Bearbeitung |
US6653205B2 (en) * | 1999-12-08 | 2003-11-25 | Canon Kabushiki Kaisha | Composite member separating method, thin film manufacturing method, and composite member separating apparatus |
EP1187216B1 (en) * | 1999-12-24 | 2018-04-04 | Shin-Etsu Handotai Co., Ltd. | Method for manufacturing bonded wafer |
US6352909B1 (en) | 2000-01-06 | 2002-03-05 | Silicon Wafer Technologies, Inc. | Process for lift-off of a layer from a substrate |
US6544862B1 (en) | 2000-01-14 | 2003-04-08 | Silicon Genesis Corporation | Particle distribution method and resulting structure for a layer transfer process |
JP3975634B2 (ja) * | 2000-01-25 | 2007-09-12 | 信越半導体株式会社 | 半導体ウェハの製作法 |
US7068211B2 (en) * | 2000-02-08 | 2006-06-27 | Cambridge Consultants Limited | Methods and apparatus for obtaining positional information |
US6399427B1 (en) * | 2000-02-24 | 2002-06-04 | Advanced Micro Devices, Inc. | Formation of ultra-thin active device area on semiconductor on insulator (SOI) substrate |
US6335263B1 (en) * | 2000-03-22 | 2002-01-01 | The Regents Of The University Of California | Method of forming a low temperature metal bond for use in the transfer of bulk and thin film materials |
JP4060511B2 (ja) * | 2000-03-28 | 2008-03-12 | パイオニア株式会社 | 窒化物半導体素子の分離方法 |
JP3946427B2 (ja) * | 2000-03-29 | 2007-07-18 | 株式会社東芝 | エピタキシャル成長用基板の製造方法及びこのエピタキシャル成長用基板を用いた半導体装置の製造方法 |
AU2001254866A1 (en) * | 2000-04-14 | 2001-10-30 | S.O.I.Tec Silicon On Insulator Technologies | Method for cutting out at least a thin layer in a substrate or ingot, in particular made of semiconductor material(s) |
US6709955B2 (en) | 2000-04-17 | 2004-03-23 | Stmicroelectronics S.R.L. | Method of fabricating electronic devices integrated in semiconductor substrates provided with gettering sites, and a device fabricated by the method |
FR2809867B1 (fr) * | 2000-05-30 | 2003-10-24 | Commissariat Energie Atomique | Substrat fragilise et procede de fabrication d'un tel substrat |
US6635552B1 (en) * | 2000-06-12 | 2003-10-21 | Micron Technology, Inc. | Methods of forming semiconductor constructions |
FR2810448B1 (fr) * | 2000-06-16 | 2003-09-19 | Soitec Silicon On Insulator | Procede de fabrication de substrats et substrats obtenus par ce procede |
JP3580227B2 (ja) * | 2000-06-21 | 2004-10-20 | 三菱住友シリコン株式会社 | 複合基板の分離方法及び分離装置 |
US6514825B1 (en) * | 2000-06-28 | 2003-02-04 | Conexant Systems, Inc. | Technique for reducing 1/f noise in MOSFETs |
FR2811807B1 (fr) * | 2000-07-12 | 2003-07-04 | Commissariat Energie Atomique | Procede de decoupage d'un bloc de materiau et de formation d'un film mince |
KR100529742B1 (ko) * | 2000-08-01 | 2005-11-17 | 존 울프 인터내셔날 인코포레이티드 | 기판상의 박막 제조 방법 |
US7294563B2 (en) | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7223676B2 (en) | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6893907B2 (en) | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
US7465478B2 (en) | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7430984B2 (en) | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US7320734B2 (en) | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7137354B2 (en) | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US7094316B1 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US7479456B2 (en) | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7288491B2 (en) | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7094670B2 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US7037813B2 (en) | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7303982B2 (en) | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US6573126B2 (en) * | 2000-08-16 | 2003-06-03 | Massachusetts Institute Of Technology | Process for producing semiconductor article using graded epitaxial growth |
EP1315156A4 (en) * | 2000-08-29 | 2004-08-04 | Kitano Eng Co Ltd | METHOD AND DEVICE FOR REMOVING DISK SUBSTRATES FROM AN OPTICAL ONE OF A PAIR OF DISK SUBSTRATES THAT STICK TOGETHER |
US6429070B1 (en) | 2000-08-30 | 2002-08-06 | Micron Technology, Inc. | DRAM cell constructions, and methods of forming DRAM cells |
US6600173B2 (en) | 2000-08-30 | 2003-07-29 | Cornell Research Foundation, Inc. | Low temperature semiconductor layering and three-dimensional electronic circuits using the layering |
DK1332238T3 (da) * | 2000-10-09 | 2009-07-20 | Hueck Folien Gmbh | Metalliseret film, fremgangsmåde til fremstilling heraf samt anvendelse heraf |
JP2002134806A (ja) * | 2000-10-19 | 2002-05-10 | Canon Inc | 圧電膜型アクチュエータおよび液体噴射ヘッドとその製造方法 |
US6436614B1 (en) | 2000-10-20 | 2002-08-20 | Feng Zhou | Method for the formation of a thin optical crystal layer overlying a low dielectric constant substrate |
TW464977B (en) * | 2000-11-03 | 2001-11-21 | United Microelectronics Corp | Method for peeling off silicon carbide layer |
FR2817395B1 (fr) * | 2000-11-27 | 2003-10-31 | Soitec Silicon On Insulator | Procede de fabrication d'un substrat notamment pour l'optique, l'electronique ou l'optoelectronique et substrat obtenu par ce procede |
US7407869B2 (en) * | 2000-11-27 | 2008-08-05 | S.O.I.Tec Silicon On Insulator Technologies | Method for manufacturing a free-standing substrate made of monocrystalline semiconductor material |
FR2835096B1 (fr) * | 2002-01-22 | 2005-02-18 | Procede de fabrication d'un substrat auto-porte en materiau semi-conducteur monocristallin | |
US8507361B2 (en) | 2000-11-27 | 2013-08-13 | Soitec | Fabrication of substrates with a useful layer of monocrystalline semiconductor material |
AUPR174800A0 (en) | 2000-11-29 | 2000-12-21 | Australian National University, The | Semiconductor processing |
US7094667B1 (en) | 2000-12-28 | 2006-08-22 | Bower Robert W | Smooth thin film layers produced by low temperature hydrogen ion cut |
FR2819099B1 (fr) * | 2000-12-28 | 2003-09-26 | Commissariat Energie Atomique | Procede de realisation d'une structure empilee |
US6461933B2 (en) * | 2000-12-30 | 2002-10-08 | Texas Instruments Incorporated | SPIMOX/SIMOX combination with ITOX option |
US7381630B2 (en) * | 2001-01-02 | 2008-06-03 | The Charles Stark Draper Laboratory, Inc. | Method for integrating MEMS device and interposer |
US6946314B2 (en) * | 2001-01-02 | 2005-09-20 | The Charles Stark Draper Laboratory, Inc. | Method for microfabricating structures using silicon-on-insulator material |
US6774010B2 (en) | 2001-01-25 | 2004-08-10 | International Business Machines Corporation | Transferable device-containing layer for silicon-on-insulator applications |
JP4803884B2 (ja) * | 2001-01-31 | 2011-10-26 | キヤノン株式会社 | 薄膜半導体装置の製造方法 |
JP2002229473A (ja) | 2001-01-31 | 2002-08-14 | Canon Inc | 表示装置の製造方法 |
JP4708577B2 (ja) * | 2001-01-31 | 2011-06-22 | キヤノン株式会社 | 薄膜半導体装置の製造方法 |
US6706608B2 (en) * | 2001-02-28 | 2004-03-16 | Micron Technology, Inc. | Memory cell capacitors having an over/under configuration |
WO2002082514A1 (en) * | 2001-04-04 | 2002-10-17 | Massachusetts Institute Of Technology | A method for semiconductor device fabrication |
US6734762B2 (en) * | 2001-04-09 | 2004-05-11 | Motorola, Inc. | MEMS resonators and method for manufacturing MEMS resonators |
FR2823596B1 (fr) * | 2001-04-13 | 2004-08-20 | Commissariat Energie Atomique | Substrat ou structure demontable et procede de realisation |
FR2823599B1 (fr) | 2001-04-13 | 2004-12-17 | Commissariat Energie Atomique | Substrat demomtable a tenue mecanique controlee et procede de realisation |
WO2002088683A1 (en) * | 2001-04-30 | 2002-11-07 | The Board Of Trustees Of The University Of Illinois | Method and apparatus for characterization of ultrathin silicon oxide films using mirror-enhanced polarized reflectance fourier transform infrared spectroscopy |
KR100414193B1 (ko) * | 2001-05-08 | 2004-01-07 | 주식회사 실트론 | 에스오아이 웨이퍼 제조용 도너 웨이퍼 가공 방법 |
DE10124038A1 (de) * | 2001-05-16 | 2002-11-21 | Atmel Germany Gmbh | Verfahren zur Herstellung vergrabener Bereiche |
DE10124030A1 (de) * | 2001-05-16 | 2002-11-21 | Atmel Germany Gmbh | Verfahren zur Herstellung eines Silizium-Wafers |
DE10124032B4 (de) * | 2001-05-16 | 2011-02-17 | Telefunken Semiconductors Gmbh & Co. Kg | Verfahren zur Herstellung von Bauelementen auf einem SOI-Wafer |
US7045878B2 (en) * | 2001-05-18 | 2006-05-16 | Reveo, Inc. | Selectively bonded thin film layer and substrate layer for processing of useful devices |
US20020170487A1 (en) * | 2001-05-18 | 2002-11-21 | Raanan Zehavi | Pre-coated silicon fixtures used in a high temperature process |
US6956268B2 (en) * | 2001-05-18 | 2005-10-18 | Reveo, Inc. | MEMS and method of manufacturing MEMS |
US7108746B2 (en) * | 2001-05-18 | 2006-09-19 | Integrated Materials, Inc. | Silicon fixture with roughened surface supporting wafers in chemical vapor deposition |
JP2002353423A (ja) * | 2001-05-25 | 2002-12-06 | Canon Inc | 板部材の分離装置及び処理方法 |
JP2002353081A (ja) | 2001-05-25 | 2002-12-06 | Canon Inc | 板部材の分離装置及び分離方法 |
DE10131249A1 (de) * | 2001-06-28 | 2002-05-23 | Wacker Siltronic Halbleitermat | Verfahren zur Herstellung eines Films oder einer Schicht aus halbleitendem Material |
US6770966B2 (en) * | 2001-07-31 | 2004-08-03 | Intel Corporation | Electronic assembly including a die having an integrated circuit and a layer of diamond to transfer heat |
FR2828428B1 (fr) * | 2001-08-07 | 2003-10-17 | Soitec Silicon On Insulator | Dispositif de decollement de substrats et procede associe |
US7351300B2 (en) | 2001-08-22 | 2008-04-01 | Semiconductor Energy Laboratory Co., Ltd. | Peeling method and method of manufacturing semiconductor device |
TW559618B (en) * | 2001-09-05 | 2003-11-01 | Hannstar Display Corp | Method for cutting substrate in liquid crystal display |
US6875671B2 (en) * | 2001-09-12 | 2005-04-05 | Reveo, Inc. | Method of fabricating vertical integrated circuits |
US7163826B2 (en) * | 2001-09-12 | 2007-01-16 | Reveo, Inc | Method of fabricating multi layer devices on buried oxide layer substrates |
US7033910B2 (en) * | 2001-09-12 | 2006-04-25 | Reveo, Inc. | Method of fabricating multi layer MEMS and microfluidic devices |
US6736986B2 (en) * | 2001-09-20 | 2004-05-18 | Heliovolt Corporation | Chemical synthesis of layers, coatings or films using surfactants |
US6884361B2 (en) * | 2001-09-26 | 2005-04-26 | Intel Corporation | Method for making a mirror for photolithography |
US6555451B1 (en) | 2001-09-28 | 2003-04-29 | The United States Of America As Represented By The Secretary Of The Navy | Method for making shallow diffusion junctions in semiconductors using elemental doping |
US6804502B2 (en) | 2001-10-10 | 2004-10-12 | Peregrine Semiconductor Corporation | Switch circuit and method of switching radio frequency signals |
FR2830983B1 (fr) * | 2001-10-11 | 2004-05-14 | Commissariat Energie Atomique | Procede de fabrication de couches minces contenant des microcomposants |
US6593212B1 (en) | 2001-10-29 | 2003-07-15 | The United States Of America As Represented By The Secretary Of The Navy | Method for making electro-optical devices using a hydrogenion splitting technique |
EP1461834A4 (en) * | 2001-11-29 | 2010-06-09 | Origin Energy Solar Pty Ltd | SEMICONDUCTOR texturing |
US6638835B2 (en) * | 2001-12-11 | 2003-10-28 | Intel Corporation | Method for bonding and debonding films using a high-temperature polymer |
FR2834123B1 (fr) * | 2001-12-21 | 2005-02-04 | Soitec Silicon On Insulator | Procede de report de couches minces semi-conductrices et procede d'obtention d'une plaquette donneuse pour un tel procede de report |
US6994903B2 (en) | 2002-01-03 | 2006-02-07 | International Business Machines Corp. | Hybrid substrate and method for fabricating the same |
FR2834380B1 (fr) * | 2002-01-03 | 2005-02-18 | Soitec Silicon On Insulator | Dispositif de coupe de couche d'un substrat, et procede associe |
US6562127B1 (en) | 2002-01-16 | 2003-05-13 | The United States Of America As Represented By The Secretary Of The Navy | Method of making mosaic array of thin semiconductor material of large substrates |
FR2834654B1 (fr) * | 2002-01-16 | 2004-11-05 | Michel Bruel | Procede de traitement d'une piece en vue de modifier au moins une de ses proprietes |
US20030134486A1 (en) * | 2002-01-16 | 2003-07-17 | Zhongze Wang | Semiconductor-on-insulator comprising integrated circuitry |
SG116475A1 (en) * | 2002-02-05 | 2005-11-28 | Taiwan Semiconductor Mfg | Bonded soi wafer with <100> device layer and <110>substrate for performance improvement. |
US6784071B2 (en) * | 2003-01-31 | 2004-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement |
US7202139B2 (en) * | 2002-02-07 | 2007-04-10 | Taiwan Semiconductor Manufacturing Company , Ltd. | MOSFET device with a strained channel |
US6793829B2 (en) * | 2002-02-27 | 2004-09-21 | Honeywell International Inc. | Bonding for a micro-electro-mechanical system (MEMS) and MEMS based devices |
US7060632B2 (en) * | 2002-03-14 | 2006-06-13 | Amberwave Systems Corporation | Methods for fabricating strained layers on semiconductor substrates |
US6607969B1 (en) | 2002-03-18 | 2003-08-19 | The United States Of America As Represented By The Secretary Of The Navy | Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques |
US6610582B1 (en) * | 2002-03-26 | 2003-08-26 | Northrop Grumman Corporation | Field-assisted fusion bonding |
US6767749B2 (en) | 2002-04-22 | 2004-07-27 | The United States Of America As Represented By The Secretary Of The Navy | Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting |
US7128783B2 (en) * | 2002-04-23 | 2006-10-31 | Sharp Laboratories Of America, Inc. | Thin-film crystal-structure-processed mechanical devices, and methods and systems for making |
US20030196591A1 (en) * | 2002-04-23 | 2003-10-23 | Hartzell John W. | Formation of crystal-structure-processed mechanical, and combined mechanical and electrical, devices on low-temperature substrates |
US7156916B2 (en) * | 2002-04-23 | 2007-01-02 | Sharp Laboratories Of America, Inc. | Monolithic integrated crystal-structure-processed mechanical, and combined mechanical and electrical devices, and methods and systems for making |
US7135070B2 (en) * | 2002-04-23 | 2006-11-14 | Sharp Laboratories Of America, Inc. | Monolithic stacked/layered crystal-structure-processed mechanical, and combined mechanical and electrical, devices and methods and systems for making |
US7125451B2 (en) * | 2002-04-23 | 2006-10-24 | Sharp Laboratories Of America, Inc. | Crystal-structure-processed mechanical devices and methods and systems for making |
WO2003097552A1 (en) | 2002-04-30 | 2003-11-27 | Agency For Science Technology And Research | A method of wafer/substrate bonding |
US6885781B2 (en) * | 2002-05-03 | 2005-04-26 | Fujitsu Limited | Thin film electro-optical deflector device and a method of fabrication of such a device |
AU2003234403A1 (en) * | 2002-05-16 | 2003-12-02 | Nova Research, Inc. | Methods of fabricating magnetoresistive memory devices |
KR100476901B1 (ko) * | 2002-05-22 | 2005-03-17 | 삼성전자주식회사 | 소이 반도체기판의 형성방법 |
US20070128742A1 (en) * | 2002-05-22 | 2007-06-07 | Jung-Il Lee | Method of forming silicon-on-insulator (soi) semiconductor substrate and soi semiconductor substrate formed thereby |
US7074623B2 (en) * | 2002-06-07 | 2006-07-11 | Amberwave Systems Corporation | Methods of forming strained-semiconductor-on-insulator finFET device structures |
US6995430B2 (en) * | 2002-06-07 | 2006-02-07 | Amberwave Systems Corporation | Strained-semiconductor-on-insulator device structures |
US7335545B2 (en) * | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US7307273B2 (en) * | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
US20030227057A1 (en) * | 2002-06-07 | 2003-12-11 | Lochtefeld Anthony J. | Strained-semiconductor-on-insulator device structures |
US6995075B1 (en) * | 2002-07-12 | 2006-02-07 | Silicon Wafer Technologies | Process for forming a fragile layer inside of a single crystalline substrate |
TWI272641B (en) * | 2002-07-16 | 2007-02-01 | Semiconductor Energy Lab | Method of manufacturing a semiconductor device |
US20040110013A1 (en) * | 2002-07-26 | 2004-06-10 | Yoder Karl J. | Method of increasing mechanical properties of semiconductor substrates |
US6979630B2 (en) * | 2002-08-08 | 2005-12-27 | Isonics Corporation | Method and apparatus for transferring a thin layer of semiconductor material |
JP2005537685A (ja) * | 2002-08-26 | 2005-12-08 | エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ | 緩衝層を含むウェハから層を取り除いた後のウェハの機械的リサイクル |
US7008857B2 (en) * | 2002-08-26 | 2006-03-07 | S.O.I.Tec Silicon On Insulator Technologies S.A. | Recycling a wafer comprising a buffer layer, after having separated a thin layer therefrom |
US7608927B2 (en) * | 2002-08-29 | 2009-10-27 | Micron Technology, Inc. | Localized biasing for silicon on insulator structures |
US20040043193A1 (en) * | 2002-08-30 | 2004-03-04 | Yih-Fang Chen | Friction material with friction modifying layer |
TWI242796B (en) * | 2002-09-04 | 2005-11-01 | Canon Kk | Substrate and manufacturing method therefor |
JP2004103600A (ja) * | 2002-09-04 | 2004-04-02 | Canon Inc | 基板及びその製造方法 |
JP2004103855A (ja) * | 2002-09-10 | 2004-04-02 | Canon Inc | 基板及びその製造方法 |
US6818529B2 (en) * | 2002-09-12 | 2004-11-16 | Applied Materials, Inc. | Apparatus and method for forming a silicon film across the surface of a glass substrate |
US6638872B1 (en) | 2002-09-26 | 2003-10-28 | Motorola, Inc. | Integration of monocrystalline oxide devices with fully depleted CMOS on non-silicon substrates |
US8187377B2 (en) * | 2002-10-04 | 2012-05-29 | Silicon Genesis Corporation | Non-contact etch annealing of strained layers |
GB2409340B (en) | 2002-10-04 | 2006-05-10 | Silicon Genesis Corp | Method for treating semiconductor material |
US7176108B2 (en) * | 2002-11-07 | 2007-02-13 | Soitec Silicon On Insulator | Method of detaching a thin film at moderate temperature after co-implantation |
FR2847075B1 (fr) * | 2002-11-07 | 2005-02-18 | Commissariat Energie Atomique | Procede de formation d'une zone fragile dans un substrat par co-implantation |
US7056815B1 (en) * | 2002-11-12 | 2006-06-06 | The Regents Of The University Of Michigan | Narrow energy band gap gallium arsenide nitride semi-conductors and an ion-cut-synthesis method for producing the same |
FR2847714B1 (fr) * | 2002-11-27 | 2005-02-18 | Soitec Silicon On Insulator | Procede et dispositif de recuit de tranche de semiconducteur |
TWI233154B (en) * | 2002-12-06 | 2005-05-21 | Soitec Silicon On Insulator | Method for recycling a substrate |
FR2848336B1 (fr) | 2002-12-09 | 2005-10-28 | Commissariat Energie Atomique | Procede de realisation d'une structure contrainte destinee a etre dissociee |
EP1429381B1 (en) * | 2002-12-10 | 2011-07-06 | S.O.I.Tec Silicon on Insulator Technologies | A method for manufacturing a material compound |
US7361593B2 (en) * | 2002-12-17 | 2008-04-22 | Finisar Corporation | Methods of forming vias in multilayer substrates |
US7259466B2 (en) * | 2002-12-17 | 2007-08-21 | Finisar Corporation | Low temperature bonding of multilayer substrates |
TW587295B (en) * | 2002-12-24 | 2004-05-11 | Au Optronics Corp | Method of laser crystallization |
TWI330269B (en) * | 2002-12-27 | 2010-09-11 | Semiconductor Energy Lab | Separating method |
JP4373085B2 (ja) * | 2002-12-27 | 2009-11-25 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法、剥離方法及び転写方法 |
US6770504B2 (en) * | 2003-01-06 | 2004-08-03 | Honeywell International Inc. | Methods and structure for improving wafer bow control |
US20090325362A1 (en) * | 2003-01-07 | 2009-12-31 | Nabil Chhaimi | Method of recycling an epitaxied donor wafer |
KR100889886B1 (ko) | 2003-01-07 | 2009-03-20 | 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 | 박층을 박리한 후 다층 구조를 포함하는 웨이퍼의 재활용방법 |
FR2849715B1 (fr) * | 2003-01-07 | 2007-03-09 | Soitec Silicon On Insulator | Recyclage d'une plaquette comprenant une structure multicouches apres prelevement d'une couche mince |
WO2004061943A1 (en) * | 2003-01-07 | 2004-07-22 | S.O.I.Tec Silicon On Insulator Technologies | Recycling by mechanical means of a wafer comprising a taking-off structure after taking-off a thin layer thereof |
RU2217842C1 (ru) * | 2003-01-14 | 2003-11-27 | Институт физики полупроводников - Объединенного института физики полупроводников СО РАН | Способ изготовления структуры кремний-на-изоляторе |
WO2004071948A2 (en) * | 2003-02-10 | 2004-08-26 | Reveo, Inc. | Micro-nozzle, nano-nozzle, manufacturing methods therefor, applications therefor |
US6989314B2 (en) * | 2003-02-12 | 2006-01-24 | S.O.I.Tec Silicon On Insulator Technologies S.A. | Semiconductor structure and method of making same |
FR2851079B1 (fr) * | 2003-02-12 | 2005-08-26 | Soitec Silicon On Insulator | Structure semi-conductrice sur substrat a forte rugosite |
JP2004247610A (ja) * | 2003-02-14 | 2004-09-02 | Canon Inc | 基板の製造方法 |
US7399681B2 (en) * | 2003-02-18 | 2008-07-15 | Corning Incorporated | Glass-based SOI structures |
US7176528B2 (en) * | 2003-02-18 | 2007-02-13 | Corning Incorporated | Glass-based SOI structures |
US7355687B2 (en) * | 2003-02-20 | 2008-04-08 | Hunter Engineering Company | Method and apparatus for vehicle service system with imaging components |
FR2851846A1 (fr) * | 2003-02-28 | 2004-09-03 | Canon Kk | Systeme de liaison et procede de fabrication d'un substrat semi-conducteur |
JP4483179B2 (ja) * | 2003-03-03 | 2010-06-16 | 株式会社デンソー | 半導体装置の製造方法 |
US6911379B2 (en) * | 2003-03-05 | 2005-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming strained silicon on insulator substrate |
US6949451B2 (en) * | 2003-03-10 | 2005-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | SOI chip with recess-resistant buried insulator and method of manufacturing the same |
US6888233B2 (en) * | 2003-03-10 | 2005-05-03 | Honeywell International Inc. | Systems for buried electrical feedthroughs in a glass-silicon MEMS process |
TW582099B (en) * | 2003-03-13 | 2004-04-01 | Ind Tech Res Inst | Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate |
US6902962B2 (en) * | 2003-04-04 | 2005-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicon-on-insulator chip with multiple crystal orientations |
US7083694B2 (en) * | 2003-04-23 | 2006-08-01 | Integrated Materials, Inc. | Adhesive of a silicon and silica composite particularly useful for joining silicon parts |
US7235461B2 (en) * | 2003-04-29 | 2007-06-26 | S.O.I.Tec Silicon On Insulator Technologies | Method for bonding semiconductor structures together |
FR2854493B1 (fr) * | 2003-04-29 | 2005-08-19 | Soitec Silicon On Insulator | Traitement par brossage d'une plaquette semiconductrice avant collage |
US6864149B2 (en) * | 2003-05-09 | 2005-03-08 | Taiwan Semiconductor Manufacturing Company | SOI chip with mesa isolation and recess resistant regions |
EP1482548B1 (en) * | 2003-05-26 | 2016-04-13 | Soitec | A method of manufacturing a wafer |
FR2855910B1 (fr) * | 2003-06-06 | 2005-07-15 | Commissariat Energie Atomique | Procede d'obtention d'une couche tres mince par amincissement par auto-portage provoque |
FR2856844B1 (fr) * | 2003-06-24 | 2006-02-17 | Commissariat Energie Atomique | Circuit integre sur puce de hautes performances |
US20040262686A1 (en) * | 2003-06-26 | 2004-12-30 | Mohamad Shaheen | Layer transfer technique |
FR2857953B1 (fr) | 2003-07-21 | 2006-01-13 | Commissariat Energie Atomique | Structure empilee, et procede pour la fabriquer |
FR2858715B1 (fr) * | 2003-08-04 | 2005-12-30 | Soitec Silicon On Insulator | Procede de detachement de couche de semiconducteur |
JP2005064188A (ja) * | 2003-08-11 | 2005-03-10 | Sumitomo Electric Ind Ltd | 基板の回収方法および再生方法、ならびに半導体ウエハの製造方法 |
US7052978B2 (en) * | 2003-08-28 | 2006-05-30 | Intel Corporation | Arrangements incorporating laser-induced cleaving |
EP1662549B1 (en) * | 2003-09-01 | 2015-07-29 | SUMCO Corporation | Method for manufacturing bonded wafer |
FR2861497B1 (fr) * | 2003-10-28 | 2006-02-10 | Soitec Silicon On Insulator | Procede de transfert catastrophique d'une couche fine apres co-implantation |
US6902965B2 (en) * | 2003-10-31 | 2005-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained silicon structure |
US7542197B2 (en) * | 2003-11-01 | 2009-06-02 | Silicon Quest Kabushiki-Kaisha | Spatial light modulator featured with an anti-reflective structure |
US7462526B2 (en) | 2003-11-18 | 2008-12-09 | Silicon Genesis Corporation | Method for fabricating semiconductor devices using strained silicon bearing material |
US7354815B2 (en) * | 2003-11-18 | 2008-04-08 | Silicon Genesis Corporation | Method for fabricating semiconductor devices using strained silicon bearing material |
US6967149B2 (en) * | 2003-11-20 | 2005-11-22 | Hewlett-Packard Development Company, L.P. | Storage structure with cleaved layer |
US6991943B2 (en) * | 2003-12-04 | 2006-01-31 | International Rectifier Corporation | Process for preparation of semiconductor wafer surface |
US7772087B2 (en) * | 2003-12-19 | 2010-08-10 | Commissariat A L'energie Atomique | Method of catastrophic transfer of a thin film after co-implantation |
FR2867307B1 (fr) * | 2004-03-05 | 2006-05-26 | Soitec Silicon On Insulator | Traitement thermique apres detachement smart-cut |
FR2867310B1 (fr) * | 2004-03-05 | 2006-05-26 | Soitec Silicon On Insulator | Technique d'amelioration de la qualite d'une couche mince prelevee |
US7282449B2 (en) * | 2004-03-05 | 2007-10-16 | S.O.I.Tec Silicon On Insulator Technologies | Thermal treatment of a semiconductor layer |
ATE388158T1 (de) | 2004-03-24 | 2008-03-15 | Shell Int Research | Übergangsmetallkomplexe |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US20050211546A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US20050211547A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
US7202141B2 (en) * | 2004-03-29 | 2007-04-10 | J.P. Sercel Associates, Inc. | Method of separating layers of material |
FR2868599B1 (fr) * | 2004-03-30 | 2006-07-07 | Soitec Silicon On Insulator | Traitement chimique optimise de type sc1 pour le nettoyage de plaquettes en materiau semiconducteur |
US20050217560A1 (en) * | 2004-03-31 | 2005-10-06 | Tolchinsky Peter G | Semiconductor wafers with non-standard crystal orientations and methods of manufacturing the same |
US7390724B2 (en) * | 2004-04-12 | 2008-06-24 | Silicon Genesis Corporation | Method and system for lattice space engineering |
DE102004018250A1 (de) * | 2004-04-15 | 2005-11-03 | Infineon Technologies Ag | Wafer-Stabilisierungsvorrichtung und Verfahren zu dessen Herstellung |
US7153759B2 (en) * | 2004-04-20 | 2006-12-26 | Agency For Science Technology And Research | Method of fabricating microelectromechanical system structures |
WO2006002347A1 (en) | 2004-06-23 | 2006-01-05 | Peregrine Semiconductor Corporation | Integrated rf front end |
AR049714A1 (es) * | 2004-07-13 | 2006-08-30 | Shell Int Research | Proceso de preparacion de alfa olefinas lineales |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US7094666B2 (en) * | 2004-07-29 | 2006-08-22 | Silicon Genesis Corporation | Method and system for fabricating strained layers for the manufacture of integrated circuits |
US7560361B2 (en) * | 2004-08-12 | 2009-07-14 | International Business Machines Corporation | Method of forming gate stack for semiconductor electronic device |
CN100527416C (zh) * | 2004-08-18 | 2009-08-12 | 康宁股份有限公司 | 应变绝缘体上半导体结构以及应变绝缘体上半导体结构的制造方法 |
US7473969B2 (en) * | 2004-08-18 | 2009-01-06 | Corning Incorporated | High strain glass/glass-ceramic containing semiconductor-on-insulator structures |
US7732706B1 (en) | 2004-09-17 | 2010-06-08 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Solar cell circuit and method for manufacturing solar cells |
US7579621B2 (en) * | 2004-09-17 | 2009-08-25 | Massachusetts Institute Of Technology | Integrated BST microwave tunable devices using buffer layer transfer method |
US7179719B2 (en) * | 2004-09-28 | 2007-02-20 | Sharp Laboratories Of America, Inc. | System and method for hydrogen exfoliation |
US7202124B2 (en) * | 2004-10-01 | 2007-04-10 | Massachusetts Institute Of Technology | Strained gettering layers for semiconductor processes |
TWI401739B (zh) * | 2004-10-21 | 2013-07-11 | Fujifilm Dimatix Inc | 蝕刻犧牲材 |
US7666464B2 (en) | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US7148124B1 (en) | 2004-11-18 | 2006-12-12 | Alexander Yuri Usenko | Method for forming a fragile layer inside of a single crystalline substrate preferably for making silicon-on-insulator wafers |
US20060115958A1 (en) * | 2004-11-22 | 2006-06-01 | Weigold Jason W | Method and apparatus for forming buried oxygen precipitate layers in multi-layer wafers |
US7547609B2 (en) * | 2004-11-24 | 2009-06-16 | Silicon Genesis Corporation | Method and structure for implanting bonded substrates for electrical conductivity |
US7402520B2 (en) * | 2004-11-26 | 2008-07-22 | Applied Materials, Inc. | Edge removal of silicon-on-insulator transfer wafer |
US7393733B2 (en) * | 2004-12-01 | 2008-07-01 | Amberwave Systems Corporation | Methods of forming hybrid fin field-effect transistor structures |
US20060113603A1 (en) * | 2004-12-01 | 2006-06-01 | Amberwave Systems Corporation | Hybrid semiconductor-on-insulator structures and related methods |
KR100634528B1 (ko) * | 2004-12-03 | 2006-10-16 | 삼성전자주식회사 | 단결정 실리콘 필름의 제조방법 |
US7919391B2 (en) * | 2004-12-24 | 2011-04-05 | S.O.I.Tec Silicon On Insulator Technologies | Methods for preparing a bonding surface of a semiconductor wafer |
DE602004022882D1 (de) * | 2004-12-28 | 2009-10-08 | Soitec Silicon On Insulator | Ner geringen dichte von löchern |
US10374120B2 (en) * | 2005-02-18 | 2019-08-06 | Koninklijke Philips N.V. | High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials |
CN101248519B (zh) * | 2005-02-28 | 2011-08-24 | 硅源公司 | 衬底硬化方法及所得器件 |
JP2006279015A (ja) * | 2005-03-02 | 2006-10-12 | Seiko Epson Corp | 半導体装置の製造方法、集積回路、電気光学装置、及び電子機器 |
US7244659B2 (en) * | 2005-03-10 | 2007-07-17 | Micron Technology, Inc. | Integrated circuits and methods of forming a field effect transistor |
JP2008537341A (ja) * | 2005-04-13 | 2008-09-11 | ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア | 自立(Al,In,Ga)Nウェーハ製作のためのウェーハ分離技術 |
US7494899B2 (en) * | 2005-04-14 | 2009-02-24 | Sumco Corporation | Method for manufacturing semiconductor substrate |
WO2006116030A2 (en) * | 2005-04-21 | 2006-11-02 | Aonex Technologies, Inc. | Bonded intermediate substrate and method of making same |
US7428915B2 (en) | 2005-04-26 | 2008-09-30 | Applied Materials, Inc. | O-ringless tandem throttle valve for a plasma reactor chamber |
US7422775B2 (en) | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US7312162B2 (en) | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
FR2886051B1 (fr) | 2005-05-20 | 2007-08-10 | Commissariat Energie Atomique | Procede de detachement d'un film mince |
US7462552B2 (en) * | 2005-05-23 | 2008-12-09 | Ziptronix, Inc. | Method of detachable direct bonding at low temperatures |
JP5188673B2 (ja) * | 2005-06-09 | 2013-04-24 | 株式会社Sumco | Igbt用のシリコンウェーハ及びその製造方法 |
USRE48965E1 (en) | 2005-07-11 | 2022-03-08 | Psemi Corporation | Method and apparatus improving gate oxide reliability by controlling accumulated charge |
US9653601B2 (en) | 2005-07-11 | 2017-05-16 | Peregrine Semiconductor Corporation | Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction |
US20080076371A1 (en) | 2005-07-11 | 2008-03-27 | Alexander Dribinsky | Circuit and method for controlling charge injection in radio frequency switches |
US8742502B2 (en) | 2005-07-11 | 2014-06-03 | Peregrine Semiconductor Corporation | Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction |
US7890891B2 (en) * | 2005-07-11 | 2011-02-15 | Peregrine Semiconductor Corporation | Method and apparatus improving gate oxide reliability by controlling accumulated charge |
US7910993B2 (en) | 2005-07-11 | 2011-03-22 | Peregrine Semiconductor Corporation | Method and apparatus for use in improving linearity of MOSFET's using an accumulated charge sink |
US20070015357A1 (en) * | 2005-07-15 | 2007-01-18 | Government Of The Usa, As Represented By Secretary Of U.S. Army | Process of adhesive bonding with patternable polymers for producing microstructure devices on a wafer assembly |
US7776715B2 (en) * | 2005-07-26 | 2010-08-17 | Micron Technology, Inc. | Reverse construction memory cell |
US7674687B2 (en) * | 2005-07-27 | 2010-03-09 | Silicon Genesis Corporation | Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process |
US7166520B1 (en) * | 2005-08-08 | 2007-01-23 | Silicon Genesis Corporation | Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process |
US7429532B2 (en) | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7312148B2 (en) | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US20070032044A1 (en) * | 2005-08-08 | 2007-02-08 | Silicon Genesis Corporation | Method and structure for fabricating devices using one or more films provided by a layer transfer process and etch back |
US7335611B2 (en) | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US20070029043A1 (en) * | 2005-08-08 | 2007-02-08 | Silicon Genesis Corporation | Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process |
US7323401B2 (en) | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7427554B2 (en) | 2005-08-12 | 2008-09-23 | Silicon Genesis Corporation | Manufacturing strained silicon substrates using a backing material |
FR2889887B1 (fr) | 2005-08-16 | 2007-11-09 | Commissariat Energie Atomique | Procede de report d'une couche mince sur un support |
US7268051B2 (en) * | 2005-08-26 | 2007-09-11 | Corning Incorporated | Semiconductor on glass insulator with deposited barrier layer |
FR2890489B1 (fr) * | 2005-09-08 | 2008-03-07 | Soitec Silicon On Insulator | Procede de fabrication d'une heterostructure de type semi-conducteur sur isolant |
FR2891281B1 (fr) | 2005-09-28 | 2007-12-28 | Commissariat Energie Atomique | Procede de fabrication d'un element en couches minces. |
US8120060B2 (en) * | 2005-11-01 | 2012-02-21 | Massachusetts Institute Of Technology | Monolithically integrated silicon and III-V electronics |
JP4977999B2 (ja) * | 2005-11-21 | 2012-07-18 | 株式会社Sumco | 貼合せ基板の製造方法及びその方法で製造された貼合せ基板 |
US7691730B2 (en) * | 2005-11-22 | 2010-04-06 | Corning Incorporated | Large area semiconductor on glass insulator |
JP5064767B2 (ja) * | 2005-11-29 | 2012-10-31 | 京セラ株式会社 | 太陽電池素子の製造方法 |
CN1992173B (zh) * | 2005-11-30 | 2010-04-21 | 硅起源股份有限公司 | 用于注入键合衬底以便导电的方法和结构 |
WO2007067589A2 (en) * | 2005-12-05 | 2007-06-14 | Massachusetts Institute Of Technology | Insulated gate devices and method of making same |
EP1798764A1 (en) * | 2005-12-14 | 2007-06-20 | STMicroelectronics S.r.l. | Process for manufacturing wafers usable in the semiconductor industry |
US7456080B2 (en) * | 2005-12-19 | 2008-11-25 | Corning Incorporated | Semiconductor on glass insulator made using improved ion implantation process |
FR2895563B1 (fr) * | 2005-12-22 | 2008-04-04 | Soitec Silicon On Insulator | Procede de simplification d'une sequence de finition et structure obtenue par le procede |
KR100755368B1 (ko) * | 2006-01-10 | 2007-09-04 | 삼성전자주식회사 | 3차원 구조를 갖는 반도체 소자의 제조 방법들 및 그에의해 제조된 반도체 소자들 |
US20070160763A1 (en) | 2006-01-12 | 2007-07-12 | Stanbery Billy J | Methods of making controlled segregated phase domain structures |
US7767904B2 (en) * | 2006-01-12 | 2010-08-03 | Heliovolt Corporation | Compositions including controlled segregated phase domain structures |
US8084685B2 (en) * | 2006-01-12 | 2011-12-27 | Heliovolt Corporation | Apparatus for making controlled segregated phase domain structures |
US8835802B2 (en) * | 2006-01-24 | 2014-09-16 | Stephen C. Baer | Cleaving wafers from silicon crystals |
JP5064692B2 (ja) * | 2006-02-09 | 2012-10-31 | 信越化学工業株式会社 | Soi基板の製造方法 |
US7285452B2 (en) * | 2006-02-10 | 2007-10-23 | Sadaka Mariam G | Method to selectively form regions having differing properties and structure |
JP5042506B2 (ja) * | 2006-02-16 | 2012-10-03 | 信越化学工業株式会社 | 半導体基板の製造方法 |
JP5064695B2 (ja) * | 2006-02-16 | 2012-10-31 | 信越化学工業株式会社 | Soi基板の製造方法 |
JP4760729B2 (ja) * | 2006-02-21 | 2011-08-31 | 株式会社Sumco | Igbt用のシリコン単結晶ウェーハ及びigbt用のシリコン単結晶ウェーハの製造方法 |
US7654010B2 (en) * | 2006-02-23 | 2010-02-02 | Tokyo Electron Limited | Substrate processing system, substrate processing method, and storage medium |
JP5041714B2 (ja) * | 2006-03-13 | 2012-10-03 | 信越化学工業株式会社 | マイクロチップ及びマイクロチップ製造用soi基板 |
US7754587B2 (en) * | 2006-03-14 | 2010-07-13 | Freescale Semiconductor, Inc. | Silicon deposition over dual surface orientation substrates to promote uniform polishing |
US7378306B2 (en) * | 2006-03-14 | 2008-05-27 | Freescale Semiconductor, Inc. | Selective silicon deposition for planarized dual surface orientation integration |
US20070215984A1 (en) * | 2006-03-15 | 2007-09-20 | Shaheen Mohamad A | Formation of a multiple crystal orientation substrate |
US7863157B2 (en) * | 2006-03-17 | 2011-01-04 | Silicon Genesis Corporation | Method and structure for fabricating solar cells using a layer transfer process |
FR2899378B1 (fr) * | 2006-03-29 | 2008-06-27 | Commissariat Energie Atomique | Procede de detachement d'un film mince par fusion de precipites |
US7598153B2 (en) * | 2006-03-31 | 2009-10-06 | Silicon Genesis Corporation | Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species |
WO2007118121A2 (en) * | 2006-04-05 | 2007-10-18 | Silicon Genesis Corporation | Method and structure for fabricating solar cells using a layer transfer process |
FR2899594A1 (fr) * | 2006-04-10 | 2007-10-12 | Commissariat Energie Atomique | Procede d'assemblage de substrats avec traitements thermiques a basses temperatures |
US20070243703A1 (en) * | 2006-04-14 | 2007-10-18 | Aonex Technololgies, Inc. | Processes and structures for epitaxial growth on laminate substrates |
US20080012087A1 (en) * | 2006-04-19 | 2008-01-17 | Henri Dautet | Bonded wafer avalanche photodiode and method for manufacturing same |
US20070264796A1 (en) * | 2006-05-12 | 2007-11-15 | Stocker Mark A | Method for forming a semiconductor on insulator structure |
US7608521B2 (en) * | 2006-05-31 | 2009-10-27 | Corning Incorporated | Producing SOI structure using high-purity ion shower |
US20070281440A1 (en) * | 2006-05-31 | 2007-12-06 | Jeffrey Scott Cites | Producing SOI structure using ion shower |
US7682930B2 (en) * | 2006-06-09 | 2010-03-23 | Aptina Imaging Corporation | Method of forming elevated photosensor and resulting structure |
US7670928B2 (en) * | 2006-06-14 | 2010-03-02 | Intel Corporation | Ultra-thin oxide bonding for S1 to S1 dual orientation bonding |
US20070298586A1 (en) * | 2006-06-21 | 2007-12-27 | Nissan Motor Co., Ltd. | Method of manufacturing semiconductor device |
EP1870927A1 (en) * | 2006-06-21 | 2007-12-26 | Nissan Motor Co., Ltd. | Method of manufacturing a semiconductor device |
US8063397B2 (en) * | 2006-06-28 | 2011-11-22 | Massachusetts Institute Of Technology | Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission |
FR2903808B1 (fr) * | 2006-07-11 | 2008-11-28 | Soitec Silicon On Insulator | Procede de collage direct de deux substrats utilises en electronique, optique ou opto-electronique |
US7575988B2 (en) * | 2006-07-11 | 2009-08-18 | S.O.I.Tec Silicon On Insulator Technologies | Method of fabricating a hybrid substrate |
FR2903809B1 (fr) * | 2006-07-13 | 2008-10-17 | Soitec Silicon On Insulator | Traitement thermique de stabilisation d'interface e collage. |
US8153513B2 (en) * | 2006-07-25 | 2012-04-10 | Silicon Genesis Corporation | Method and system for continuous large-area scanning implantation process |
WO2008013547A2 (en) * | 2006-07-28 | 2008-01-31 | Midwest Research Institute | Screening of silicon wafers used in photovoltaics |
WO2012108882A1 (en) | 2011-02-11 | 2012-08-16 | Alliance For Sustainable Energy, Llc | Wafer screening device and methods for wafer screening |
US20100203730A1 (en) * | 2009-02-09 | 2010-08-12 | Emcore Solar Power, Inc. | Epitaxial Lift Off in Inverted Metamorphic Multijunction Solar Cells |
US20100047959A1 (en) * | 2006-08-07 | 2010-02-25 | Emcore Solar Power, Inc. | Epitaxial Lift Off on Film Mounted Inverted Metamorphic Multijunction Solar Cells |
US7557002B2 (en) * | 2006-08-18 | 2009-07-07 | Micron Technology, Inc. | Methods of forming transistor devices |
US7811900B2 (en) | 2006-09-08 | 2010-10-12 | Silicon Genesis Corporation | Method and structure for fabricating solar cells using a thick layer transfer process |
US8993410B2 (en) | 2006-09-08 | 2015-03-31 | Silicon Genesis Corporation | Substrate cleaving under controlled stress conditions |
US9362439B2 (en) | 2008-05-07 | 2016-06-07 | Silicon Genesis Corporation | Layer transfer of films utilizing controlled shear region |
US8293619B2 (en) | 2008-08-28 | 2012-10-23 | Silicon Genesis Corporation | Layer transfer of films utilizing controlled propagation |
FR2907966B1 (fr) * | 2006-10-27 | 2009-01-30 | Soitec Silicon On Insulator | Procede de fabrication d'un substrat. |
JP2008112847A (ja) * | 2006-10-30 | 2008-05-15 | Shin Etsu Chem Co Ltd | 単結晶シリコン太陽電池の製造方法及び単結晶シリコン太陽電池 |
JP2008112843A (ja) * | 2006-10-30 | 2008-05-15 | Shin Etsu Chem Co Ltd | 単結晶シリコン太陽電池の製造方法及び単結晶シリコン太陽電池 |
JP2008112840A (ja) * | 2006-10-30 | 2008-05-15 | Shin Etsu Chem Co Ltd | 単結晶シリコン太陽電池の製造方法及び単結晶シリコン太陽電池 |
JP2008112848A (ja) * | 2006-10-30 | 2008-05-15 | Shin Etsu Chem Co Ltd | 単結晶シリコン太陽電池の製造方法及び単結晶シリコン太陽電池 |
US8124499B2 (en) * | 2006-11-06 | 2012-02-28 | Silicon Genesis Corporation | Method and structure for thick layer transfer using a linear accelerator |
JP5284576B2 (ja) * | 2006-11-10 | 2013-09-11 | 信越化学工業株式会社 | 半導体基板の製造方法 |
JP5090716B2 (ja) * | 2006-11-24 | 2012-12-05 | 信越化学工業株式会社 | 単結晶シリコン太陽電池の製造方法 |
JP2008153411A (ja) * | 2006-12-18 | 2008-07-03 | Shin Etsu Chem Co Ltd | Soi基板の製造方法 |
FR2910179B1 (fr) * | 2006-12-19 | 2009-03-13 | Commissariat Energie Atomique | PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART |
KR20090106617A (ko) * | 2007-01-19 | 2009-10-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라스마 함침 챔버 |
FR2911598B1 (fr) * | 2007-01-22 | 2009-04-17 | Soitec Silicon On Insulator | Procede de rugosification de surface. |
FR2911597B1 (fr) * | 2007-01-22 | 2009-05-01 | Soitec Silicon On Insulator | Procede de formation et de controle d'interfaces rugueuses. |
US20080188011A1 (en) | 2007-01-26 | 2008-08-07 | Silicon Genesis Corporation | Apparatus and method of temperature conrol during cleaving processes of thick film materials |
US7910458B2 (en) | 2007-01-29 | 2011-03-22 | Silicon Genesis Corporation | Method and structure using selected implant angles using a linear accelerator process for manufacture of free standing films of materials |
US7989322B2 (en) | 2007-02-07 | 2011-08-02 | Micron Technology, Inc. | Methods of forming transistors |
JP5166745B2 (ja) * | 2007-03-07 | 2013-03-21 | 信越化学工業株式会社 | 単結晶シリコン太陽電池の製造方法 |
WO2008123116A1 (en) * | 2007-03-26 | 2008-10-16 | Semiconductor Energy Laboratory Co., Ltd. | Soi substrate and method for manufacturing soi substrate |
WO2008123117A1 (en) * | 2007-03-26 | 2008-10-16 | Semiconductor Energy Laboratory Co., Ltd. | Soi substrate and method for manufacturing soi substrate |
CN101281912B (zh) | 2007-04-03 | 2013-01-23 | 株式会社半导体能源研究所 | Soi衬底及其制造方法以及半导体装置 |
JP5048380B2 (ja) * | 2007-04-09 | 2012-10-17 | 信越化学工業株式会社 | 単結晶シリコン太陽電池の製造方法 |
JP2008263084A (ja) * | 2007-04-12 | 2008-10-30 | Shin Etsu Chem Co Ltd | 熱分解性窒化硼素複合基板の製造方法 |
SG178762A1 (en) | 2007-04-13 | 2012-03-29 | Semiconductor Energy Lab | Display device, method for manufacturing display device, and soi substrate |
US7732301B1 (en) | 2007-04-20 | 2010-06-08 | Pinnington Thomas Henry | Bonded intermediate substrate and method of making same |
JP5289805B2 (ja) * | 2007-05-10 | 2013-09-11 | 株式会社半導体エネルギー研究所 | 半導体装置製造用基板の作製方法 |
US8513678B2 (en) * | 2007-05-18 | 2013-08-20 | Semiconductor Energy Laboratory Co., Ltd. | Light-emitting device |
US8034317B2 (en) * | 2007-06-18 | 2011-10-11 | Heliovolt Corporation | Assemblies of anisotropic nanoparticles |
KR100875161B1 (ko) * | 2007-06-26 | 2008-12-22 | 주식회사 동부하이텍 | 금속 절연체 금속 캐패시터 제조 방법 |
JP5498670B2 (ja) * | 2007-07-13 | 2014-05-21 | 株式会社半導体エネルギー研究所 | 半導体基板の作製方法 |
JP2011528308A (ja) * | 2007-07-20 | 2011-11-17 | ビーピー・コーポレーション・ノース・アメリカ・インコーポレーテッド | シード結晶からキャストシリコンを製造するための方法及び装置 |
US20090278233A1 (en) * | 2007-07-26 | 2009-11-12 | Pinnington Thomas Henry | Bonded intermediate substrate and method of making same |
FR2919960B1 (fr) * | 2007-08-08 | 2010-05-21 | Soitec Silicon On Insulator | Procede et installation pour la fracture d'un substrat composite selon un plan de fragilisation |
US8143511B2 (en) * | 2007-09-13 | 2012-03-27 | Silicon China (Hk) Limited | Texture process and structure for manufacture of composite photovoltaic device substrates |
JP2009094488A (ja) | 2007-09-21 | 2009-04-30 | Semiconductor Energy Lab Co Ltd | 半導体膜付き基板の作製方法 |
JP5452900B2 (ja) * | 2007-09-21 | 2014-03-26 | 株式会社半導体エネルギー研究所 | 半導体膜付き基板の作製方法 |
JP5250228B2 (ja) * | 2007-09-21 | 2013-07-31 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US20090206275A1 (en) * | 2007-10-03 | 2009-08-20 | Silcon Genesis Corporation | Accelerator particle beam apparatus and method for low contaminate processing |
FR2922359B1 (fr) * | 2007-10-12 | 2009-12-18 | Commissariat Energie Atomique | Procede de fabrication d'une structure micro-electronique impliquant un collage moleculaire |
FR2922681A1 (fr) * | 2007-10-23 | 2009-04-24 | Soitec Silicon On Insulator | Procede de detachement d'un substrat. |
JP5248838B2 (ja) * | 2007-10-25 | 2013-07-31 | 信越化学工業株式会社 | 半導体基板の製造方法 |
JP2009105315A (ja) * | 2007-10-25 | 2009-05-14 | Shin Etsu Chem Co Ltd | 半導体基板の製造方法 |
FR2925221B1 (fr) | 2007-12-17 | 2010-02-19 | Commissariat Energie Atomique | Procede de transfert d'une couche mince |
WO2009084309A1 (ja) * | 2007-12-27 | 2009-07-09 | Sharp Kabushiki Kaisha | 半導体装置の製造方法、および当該製造方法によって作製される半導体装置 |
WO2009084284A1 (ja) | 2007-12-27 | 2009-07-09 | Sharp Kabushiki Kaisha | 半導体装置用の絶縁基板、半導体装置、及び、半導体装置の製造方法 |
FR2926674B1 (fr) * | 2008-01-21 | 2010-03-26 | Soitec Silicon On Insulator | Procede de fabrication d'une structure composite avec couche d'oxyde de collage stable |
JP5503876B2 (ja) * | 2008-01-24 | 2014-05-28 | 株式会社半導体エネルギー研究所 | 半導体基板の製造方法 |
EP2088633A3 (en) | 2008-02-05 | 2011-03-23 | Twin Creeks Technologies, Inc. | Method to form a photovoltaic cell comprising a thin lamina |
US8563352B2 (en) * | 2008-02-05 | 2013-10-22 | Gtat Corporation | Creation and translation of low-relief texture for a photovoltaic cell |
US8129613B2 (en) * | 2008-02-05 | 2012-03-06 | Twin Creeks Technologies, Inc. | Photovoltaic cell comprising a thin lamina having low base resistivity and method of making |
US8178419B2 (en) | 2008-02-05 | 2012-05-15 | Twin Creeks Technologies, Inc. | Method to texture a lamina surface within a photovoltaic cell |
US8481845B2 (en) * | 2008-02-05 | 2013-07-09 | Gtat Corporation | Method to form a photovoltaic cell comprising a thin lamina |
US20090217976A1 (en) * | 2008-02-06 | 2009-09-03 | Green Volts, Inc. | Solar cell with integrated thermally conductive and electrically insulating substrate |
US20090200494A1 (en) * | 2008-02-11 | 2009-08-13 | Varian Semiconductor Equipment Associates, Inc. | Techniques for cold implantation of carbon-containing species |
US8003957B2 (en) * | 2008-02-11 | 2011-08-23 | Varian Semiconductor Equipment Associates, Inc. | Ethane implantation with a dilution gas |
US7820527B2 (en) * | 2008-02-20 | 2010-10-26 | Varian Semiconductor Equipment Associates, Inc. | Cleave initiation using varying ion implant dose |
EP3958468B1 (en) | 2008-02-28 | 2024-01-31 | pSemi Corporation | Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device |
US8329260B2 (en) * | 2008-03-11 | 2012-12-11 | Varian Semiconductor Equipment Associates, Inc. | Cooled cleaving implant |
US7855087B2 (en) * | 2008-03-14 | 2010-12-21 | Varian Semiconductor Equipment Associates, Inc. | Floating sheet production apparatus and method |
US20090242010A1 (en) * | 2008-03-27 | 2009-10-01 | Twin Creeks Technologies, Inc. | Method to Form a Photovoltaic Cell Comprising a Thin Lamina Bonded to a Discrete Receiver Element |
US20090242031A1 (en) * | 2008-03-27 | 2009-10-01 | Twin Creeks Technologies, Inc. | Photovoltaic Assembly Including a Conductive Layer Between a Semiconductor Lamina and a Receiver Element |
US8030133B2 (en) * | 2008-03-28 | 2011-10-04 | Icemos Technology Ltd. | Method of fabricating a bonded wafer substrate for use in MEMS structures |
FR2929758B1 (fr) * | 2008-04-07 | 2011-02-11 | Commissariat Energie Atomique | Procede de transfert a l'aide d'un substrat ferroelectrique |
US8623137B1 (en) | 2008-05-07 | 2014-01-07 | Silicon Genesis Corporation | Method and device for slicing a shaped silicon ingot using layer transfer |
US7687786B2 (en) * | 2008-05-16 | 2010-03-30 | Twin Creeks Technologies, Inc. | Ion implanter for noncircular wafers |
US8367518B2 (en) | 2008-05-30 | 2013-02-05 | Alta Devices, Inc. | Epitaxial lift off stack having a multi-layered handle and methods thereof |
US8049104B2 (en) * | 2009-09-30 | 2011-11-01 | Twin Creek Technologies, Inc. | Intermetal stack for use in a photovoltaic cell |
US8501522B2 (en) | 2008-05-30 | 2013-08-06 | Gtat Corporation | Intermetal stack for use in a photovoltaic cell |
US7915522B2 (en) * | 2008-05-30 | 2011-03-29 | Twin Creeks Technologies, Inc. | Asymmetric surface texturing for use in a photovoltaic cell and method of making |
WO2009155119A2 (en) * | 2008-05-30 | 2009-12-23 | Alta Devices, Inc. | Methods and apparatus for a chemical vapor deposition reactor |
EP2319087A1 (en) | 2008-06-11 | 2011-05-11 | Solar Implant Technologies Inc. | Solar cell fabrication with faceting and ion implantation |
KR101629193B1 (ko) * | 2008-06-26 | 2016-06-10 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Soi 기판의 제작 방법 |
US8207590B2 (en) * | 2008-07-03 | 2012-06-26 | Samsung Electronics Co., Ltd. | Image sensor, substrate for the same, image sensing device including the image sensor, and associated methods |
EP2299474B1 (en) * | 2008-07-10 | 2013-01-23 | JX Nippon Mining & Metals Corporation | Hybrid silicon wafer and method for manufacturing same |
JP2010021398A (ja) * | 2008-07-11 | 2010-01-28 | Disco Abrasive Syst Ltd | ウェーハの処理方法 |
US20100031995A1 (en) * | 2008-08-10 | 2010-02-11 | Twin Creeks Technologies, Inc. | Photovoltaic module comprising thin laminae configured to mitigate efficiency loss due to shunt formation |
US20100032010A1 (en) * | 2008-08-10 | 2010-02-11 | Twin Creeks Technologies, Inc. | Method to mitigate shunt formation in a photovoltaic cell comprising a thin lamina |
US8338209B2 (en) * | 2008-08-10 | 2012-12-25 | Twin Creeks Technologies, Inc. | Photovoltaic cell comprising a thin lamina having a rear junction and method of making |
US7902091B2 (en) * | 2008-08-13 | 2011-03-08 | Varian Semiconductor Equipment Associates, Inc. | Cleaving of substrates |
US8330126B2 (en) | 2008-08-25 | 2012-12-11 | Silicon Genesis Corporation | Race track configuration and method for wafering silicon solar substrates |
US8133800B2 (en) | 2008-08-29 | 2012-03-13 | Silicon Genesis Corporation | Free-standing thickness of single crystal material and method having carrier lifetimes |
JP2012514316A (ja) * | 2008-09-24 | 2012-06-21 | エス・オー・アイ・テック・シリコン・オン・インシュレーター・テクノロジーズ | 半導体材料、半導体構造、デバイスおよびそれらを含む加工された基板の緩和した層を形成する方法 |
FR2936357B1 (fr) * | 2008-09-24 | 2010-12-10 | Commissariat Energie Atomique | Procede de report de puces sur un substrat. |
US8741740B2 (en) * | 2008-10-02 | 2014-06-03 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing SOI substrate |
US8871610B2 (en) * | 2008-10-02 | 2014-10-28 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing SOI substrate |
KR20110069852A (ko) * | 2008-10-10 | 2011-06-23 | 알타 디바이씨즈, 인크. | 연속적인 공급 화학 기상 증착 |
CN102177572A (zh) * | 2008-10-10 | 2011-09-07 | 奥塔装置公司 | 用于外延剥离的台面蚀刻方法和组成 |
TWI451534B (zh) * | 2008-10-30 | 2014-09-01 | Corning Inc | 使用定向剝離作用製造絕緣體上半導體結構之方法及裝置 |
JP5907730B2 (ja) | 2008-10-30 | 2016-04-26 | エス・オー・アイ・テック・シリコン・オン・インシュレーター・テクノロジーズ | 低減した格子ひずみを備えた半導体材料、同様に包含する半導体構造体、デバイス、および、加工された基板を製造する方法 |
US8003491B2 (en) * | 2008-10-30 | 2011-08-23 | Corning Incorporated | Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation |
US7816225B2 (en) * | 2008-10-30 | 2010-10-19 | Corning Incorporated | Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation |
US8637383B2 (en) | 2010-12-23 | 2014-01-28 | Soitec | Strain relaxation using metal materials and related structures |
US20100109115A1 (en) * | 2008-11-03 | 2010-05-06 | Ure Michael J | Virtual IC wafers and bonding of constitutent IC films |
KR101539246B1 (ko) * | 2008-11-10 | 2015-07-24 | 삼성전자 주식회사 | 광추출 효율이 향상된 발광 장치의 제조 방법 및 그 방법으로 제조된 발광 장치 |
KR20110099029A (ko) * | 2008-12-08 | 2011-09-05 | 알타 디바이씨즈, 인크. | 에피택셜 리프트 오프를 위한 다중 스택 증착 |
US20100139755A1 (en) * | 2008-12-09 | 2010-06-10 | Twin Creeks Technologies, Inc. | Front connected photovoltaic assembly and associated methods |
US7967936B2 (en) * | 2008-12-15 | 2011-06-28 | Twin Creeks Technologies, Inc. | Methods of transferring a lamina to a receiver element |
WO2010078022A2 (en) | 2008-12-17 | 2010-07-08 | Alta Devices, Inc. | Tape-based epitaxial lift off apparatuses and methods |
US8633374B2 (en) * | 2008-12-18 | 2014-01-21 | Gtat Corporation | Photovoltaic cell comprising contact regions doped through a lamina |
US7858430B2 (en) * | 2008-12-18 | 2010-12-28 | Twin Creeks Technologies, Inc. | Method for making a photovoltaic cell comprising contact regions doped through a lamina |
US7927975B2 (en) * | 2009-02-04 | 2011-04-19 | Micron Technology, Inc. | Semiconductor material manufacture |
US8778199B2 (en) | 2009-02-09 | 2014-07-15 | Emoore Solar Power, Inc. | Epitaxial lift off in inverted metamorphic multijunction solar cells |
EP2401768A4 (en) | 2009-02-27 | 2013-07-17 | Alta Devices Inc | SUBSTRATES COVERED FOR EPITAXIAL DEPOSITION AND REMOVAL METHODS |
US20100224238A1 (en) * | 2009-03-06 | 2010-09-09 | Twin Creeks Technologies, Inc. | Photovoltaic cell comprising an mis-type tunnel diode |
US20100229928A1 (en) * | 2009-03-12 | 2010-09-16 | Twin Creeks Technologies, Inc. | Back-contact photovoltaic cell comprising a thin lamina having a superstrate receiver element |
US8921686B2 (en) | 2009-03-12 | 2014-12-30 | Gtat Corporation | Back-contact photovoltaic cell comprising a thin lamina having a superstrate receiver element |
US8723260B1 (en) | 2009-03-12 | 2014-05-13 | Rf Micro Devices, Inc. | Semiconductor radio frequency switch with body contact |
US7964431B2 (en) * | 2009-03-19 | 2011-06-21 | Twin Creeks Technologies, Inc. | Method to make electrical contact to a bonded face of a photovoltaic cell |
KR101721982B1 (ko) * | 2009-03-20 | 2017-04-11 | 인테벡, 인코포레이티드 | 향상된 높은 효율의 결정 솔라 셀 제작 방법 |
US8048773B2 (en) * | 2009-03-24 | 2011-11-01 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing SOI substrate |
US8227763B2 (en) * | 2009-03-25 | 2012-07-24 | Twin Creeks Technologies, Inc. | Isolation circuit for transmitting AC power to a high-voltage region |
US8378715B2 (en) | 2009-04-14 | 2013-02-19 | Monolithic 3D Inc. | Method to construct systems |
US8427200B2 (en) | 2009-04-14 | 2013-04-23 | Monolithic 3D Inc. | 3D semiconductor device |
US8058137B1 (en) | 2009-04-14 | 2011-11-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8384426B2 (en) | 2009-04-14 | 2013-02-26 | Monolithic 3D Inc. | Semiconductor device and structure |
US8669778B1 (en) | 2009-04-14 | 2014-03-11 | Monolithic 3D Inc. | Method for design and manufacturing of a 3D semiconductor device |
US9577642B2 (en) | 2009-04-14 | 2017-02-21 | Monolithic 3D Inc. | Method to form a 3D semiconductor device |
US8373439B2 (en) | 2009-04-14 | 2013-02-12 | Monolithic 3D Inc. | 3D semiconductor device |
US8405420B2 (en) | 2009-04-14 | 2013-03-26 | Monolithic 3D Inc. | System comprising a semiconductor device and structure |
US9509313B2 (en) | 2009-04-14 | 2016-11-29 | Monolithic 3D Inc. | 3D semiconductor device |
US8395191B2 (en) | 2009-10-12 | 2013-03-12 | Monolithic 3D Inc. | Semiconductor device and structure |
US7986042B2 (en) | 2009-04-14 | 2011-07-26 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8754533B2 (en) | 2009-04-14 | 2014-06-17 | Monolithic 3D Inc. | Monolithic three-dimensional semiconductor device and structure |
US20110031997A1 (en) * | 2009-04-14 | 2011-02-10 | NuPGA Corporation | Method for fabrication of a semiconductor device and structure |
US8258810B2 (en) | 2010-09-30 | 2012-09-04 | Monolithic 3D Inc. | 3D semiconductor device |
US9711407B2 (en) | 2009-04-14 | 2017-07-18 | Monolithic 3D Inc. | Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer |
US8362800B2 (en) | 2010-10-13 | 2013-01-29 | Monolithic 3D Inc. | 3D semiconductor device including field repairable logics |
US8362482B2 (en) | 2009-04-14 | 2013-01-29 | Monolithic 3D Inc. | Semiconductor device and structure |
US8871109B2 (en) * | 2009-04-28 | 2014-10-28 | Gtat Corporation | Method for preparing a donor surface for reuse |
JP5420968B2 (ja) * | 2009-05-07 | 2014-02-19 | 信越化学工業株式会社 | 貼り合わせウェーハの製造方法 |
US7754519B1 (en) | 2009-05-13 | 2010-07-13 | Twin Creeks Technologies, Inc. | Methods of forming a photovoltaic cell |
US8329557B2 (en) | 2009-05-13 | 2012-12-11 | Silicon Genesis Corporation | Techniques for forming thin films by implantation with reduced channeling |
US8703521B2 (en) | 2009-06-09 | 2014-04-22 | International Business Machines Corporation | Multijunction photovoltaic cell fabrication |
US8802477B2 (en) * | 2009-06-09 | 2014-08-12 | International Business Machines Corporation | Heterojunction III-V photovoltaic cell fabrication |
JP5473414B2 (ja) * | 2009-06-10 | 2014-04-16 | 株式会社ディスコ | レーザ加工装置 |
US7994064B2 (en) * | 2009-06-15 | 2011-08-09 | Twin Creeks Technologies, Inc. | Selective etch for damage at exfoliated surface |
FR2947098A1 (fr) | 2009-06-18 | 2010-12-24 | Commissariat Energie Atomique | Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince |
US8749053B2 (en) | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
US8278187B2 (en) * | 2009-06-24 | 2012-10-02 | Semiconductor Energy Laboratory Co., Ltd. | Method for reprocessing semiconductor substrate by stepwise etching with at least two etching treatments |
WO2010150671A1 (en) * | 2009-06-24 | 2010-12-29 | Semiconductor Energy Laboratory Co., Ltd. | Method for reprocessing semiconductor substrate and method for manufacturing soi substrate |
US20100330731A1 (en) * | 2009-06-27 | 2010-12-30 | Twin Creeks Technologies, Inc. | Method to form a thin semiconductor lamina adhered to a flexible substrate |
US20100326510A1 (en) * | 2009-06-27 | 2010-12-30 | Twin Creeks Technologies, Inc. | Thin semiconductor lamina adhered to a flexible substrate |
US8318588B2 (en) * | 2009-08-25 | 2012-11-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate |
WO2011024619A1 (en) * | 2009-08-25 | 2011-03-03 | Semiconductor Energy Laboratory Co., Ltd. | Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing soi substrate |
US20110073175A1 (en) * | 2009-09-29 | 2011-03-31 | Twin Creeks Technologies, Inc. | Photovoltaic cell comprising a thin lamina having emitter formed at light-facing and back surfaces |
KR101731809B1 (ko) * | 2009-10-09 | 2017-05-02 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 기판의 재생 방법, 재생된 반도체 기판의 제조 방법, 및 soi 기판의 제조 방법 |
US9099424B1 (en) | 2012-08-10 | 2015-08-04 | Monolithic 3D Inc. | Semiconductor system, device and structure with heat removal |
US8742476B1 (en) | 2012-11-27 | 2014-06-03 | Monolithic 3D Inc. | Semiconductor device and structure |
US11018133B2 (en) | 2009-10-12 | 2021-05-25 | Monolithic 3D Inc. | 3D integrated circuit |
US10354995B2 (en) | 2009-10-12 | 2019-07-16 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US10910364B2 (en) | 2009-10-12 | 2021-02-02 | Monolitaic 3D Inc. | 3D semiconductor device |
US8536023B2 (en) | 2010-11-22 | 2013-09-17 | Monolithic 3D Inc. | Method of manufacturing a semiconductor device and structure |
US12027518B1 (en) | 2009-10-12 | 2024-07-02 | Monolithic 3D Inc. | 3D semiconductor devices and structures with metal layers |
US8476145B2 (en) | 2010-10-13 | 2013-07-02 | Monolithic 3D Inc. | Method of fabricating a semiconductor device and structure |
US8581349B1 (en) | 2011-05-02 | 2013-11-12 | Monolithic 3D Inc. | 3D memory semiconductor device and structure |
US10043781B2 (en) | 2009-10-12 | 2018-08-07 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US8450804B2 (en) | 2011-03-06 | 2013-05-28 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
US8148728B2 (en) | 2009-10-12 | 2012-04-03 | Monolithic 3D, Inc. | Method for fabrication of a semiconductor device and structure |
US11984445B2 (en) | 2009-10-12 | 2024-05-14 | Monolithic 3D Inc. | 3D semiconductor devices and structures with metal layers |
US10366970B2 (en) | 2009-10-12 | 2019-07-30 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10157909B2 (en) | 2009-10-12 | 2018-12-18 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11374118B2 (en) | 2009-10-12 | 2022-06-28 | Monolithic 3D Inc. | Method to form a 3D integrated circuit |
US10388863B2 (en) | 2009-10-12 | 2019-08-20 | Monolithic 3D Inc. | 3D memory device and structure |
US9834860B2 (en) * | 2009-10-14 | 2017-12-05 | Alta Devices, Inc. | Method of high growth rate deposition for group III/V materials |
US11393683B2 (en) | 2009-10-14 | 2022-07-19 | Utica Leaseco, Llc | Methods for high growth rate deposition for forming different cells on a wafer |
US8524035B2 (en) | 2009-11-30 | 2013-09-03 | Corning Incorporated | Method and apparatus for conformable polishing |
US8148266B2 (en) | 2009-11-30 | 2012-04-03 | Corning Incorporated | Method and apparatus for conformable polishing |
US8207047B2 (en) * | 2009-12-11 | 2012-06-26 | Twin Creeks Technologies, Inc. | Apparatus and method for simultaneous treatment of multiple workpieces |
US8257995B2 (en) * | 2009-12-11 | 2012-09-04 | Twin Creeks Technologies, Inc. | Microwave anneal of a thin lamina for use in a photovoltaic cell |
JP5643509B2 (ja) * | 2009-12-28 | 2014-12-17 | 信越化学工業株式会社 | 応力を低減したsos基板の製造方法 |
US20110168317A1 (en) * | 2010-01-12 | 2011-07-14 | Fujifilm Corporation | Controlled Bond Wave Over Patterned Wafer |
US8021641B2 (en) * | 2010-02-04 | 2011-09-20 | Alliance For Sustainable Energy, Llc | Methods of making copper selenium precursor compositions with a targeted copper selenide content and precursor compositions and thin films resulting therefrom |
EP2814051A1 (en) * | 2010-02-09 | 2014-12-17 | Intevac, Inc. | Shadow mask implantation system |
US8373230B1 (en) | 2010-10-13 | 2013-02-12 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8541819B1 (en) | 2010-12-09 | 2013-09-24 | Monolithic 3D Inc. | Semiconductor device and structure |
US8026521B1 (en) | 2010-10-11 | 2011-09-27 | Monolithic 3D Inc. | Semiconductor device and structure |
US8492886B2 (en) | 2010-02-16 | 2013-07-23 | Monolithic 3D Inc | 3D integrated circuit with logic |
US9099526B2 (en) | 2010-02-16 | 2015-08-04 | Monolithic 3D Inc. | Integrated circuit device and structure |
US8298875B1 (en) | 2011-03-06 | 2012-10-30 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8461035B1 (en) | 2010-09-30 | 2013-06-11 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US20110207306A1 (en) * | 2010-02-22 | 2011-08-25 | Sarko Cherekdjian | Semiconductor structure made using improved ion implantation process |
US8349626B2 (en) * | 2010-03-23 | 2013-01-08 | Gtat Corporation | Creation of low-relief texture for a photovoltaic cell |
WO2011146115A1 (en) | 2010-05-21 | 2011-11-24 | Heliovolt Corporation | Liquid precursor for deposition of copper selenide and method of preparing the same |
WO2011159737A2 (en) * | 2010-06-14 | 2011-12-22 | Gigasi Solar, Inc. | Systems, methods and products involving aspects of laser irradiation, cleaving, and/or bonding silicon-containing material to substrates |
FR2961948B1 (fr) * | 2010-06-23 | 2012-08-03 | Soitec Silicon On Insulator | Procede de traitement d'une piece en materiau compose |
US8647747B2 (en) | 2010-07-08 | 2014-02-11 | Jx Nippon Mining & Metals Corporation | Hybrid silicon wafer and method of producing the same |
US8252422B2 (en) * | 2010-07-08 | 2012-08-28 | Jx Nippon Mining & Metals Corporation | Hybrid silicon wafer and method of producing the same |
US10217667B2 (en) | 2011-06-28 | 2019-02-26 | Monolithic 3D Inc. | 3D semiconductor device, fabrication method and system |
US9953925B2 (en) | 2011-06-28 | 2018-04-24 | Monolithic 3D Inc. | Semiconductor system and device |
US8901613B2 (en) | 2011-03-06 | 2014-12-02 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
US9219005B2 (en) | 2011-06-28 | 2015-12-22 | Monolithic 3D Inc. | Semiconductor system and device |
US8642416B2 (en) | 2010-07-30 | 2014-02-04 | Monolithic 3D Inc. | Method of forming three dimensional integrated circuit devices using layer transfer technique |
WO2012015550A2 (en) | 2010-07-30 | 2012-02-02 | Monolithic 3D, Inc. | Semiconductor device and structure |
WO2012023973A2 (en) | 2010-08-16 | 2012-02-23 | Heliovolt Corporation | Liquid precursor for deposition of indium selenide and method of preparing the same |
US8822306B2 (en) * | 2010-09-30 | 2014-09-02 | Infineon Technologies Ag | Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core |
US8163581B1 (en) | 2010-10-13 | 2012-04-24 | Monolith IC 3D | Semiconductor and optoelectronic devices |
US10497713B2 (en) | 2010-11-18 | 2019-12-03 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US8273610B2 (en) | 2010-11-18 | 2012-09-25 | Monolithic 3D Inc. | Method of constructing a semiconductor device and structure |
US11482440B2 (en) | 2010-12-16 | 2022-10-25 | Monolithic 3D Inc. | 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits |
US11469271B2 (en) | 2010-10-11 | 2022-10-11 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US11227897B2 (en) | 2010-10-11 | 2022-01-18 | Monolithic 3D Inc. | Method for producing a 3D semiconductor memory device and structure |
US10896931B1 (en) | 2010-10-11 | 2021-01-19 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11158674B2 (en) | 2010-10-11 | 2021-10-26 | Monolithic 3D Inc. | Method to produce a 3D semiconductor device and structure |
US11315980B1 (en) | 2010-10-11 | 2022-04-26 | Monolithic 3D Inc. | 3D semiconductor device and structure with transistors |
US10290682B2 (en) | 2010-10-11 | 2019-05-14 | Monolithic 3D Inc. | 3D IC semiconductor device and structure with stacked memory |
US8114757B1 (en) | 2010-10-11 | 2012-02-14 | Monolithic 3D Inc. | Semiconductor device and structure |
US11024673B1 (en) | 2010-10-11 | 2021-06-01 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11600667B1 (en) | 2010-10-11 | 2023-03-07 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US11018191B1 (en) | 2010-10-11 | 2021-05-25 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11257867B1 (en) | 2010-10-11 | 2022-02-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with oxide bonds |
US10833108B2 (en) | 2010-10-13 | 2020-11-10 | Monolithic 3D Inc. | 3D microdisplay device and structure |
US11855100B2 (en) | 2010-10-13 | 2023-12-26 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11869915B2 (en) | 2010-10-13 | 2024-01-09 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11043523B1 (en) | 2010-10-13 | 2021-06-22 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11437368B2 (en) | 2010-10-13 | 2022-09-06 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11694922B2 (en) | 2010-10-13 | 2023-07-04 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US8379458B1 (en) | 2010-10-13 | 2013-02-19 | Monolithic 3D Inc. | Semiconductor device and structure |
US11929372B2 (en) | 2010-10-13 | 2024-03-12 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11855114B2 (en) | 2010-10-13 | 2023-12-26 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11605663B2 (en) | 2010-10-13 | 2023-03-14 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US10978501B1 (en) | 2010-10-13 | 2021-04-13 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with waveguides |
US10998374B1 (en) | 2010-10-13 | 2021-05-04 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US11984438B2 (en) | 2010-10-13 | 2024-05-14 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US8283215B2 (en) | 2010-10-13 | 2012-10-09 | Monolithic 3D Inc. | Semiconductor and optoelectronic devices |
US9197804B1 (en) | 2011-10-14 | 2015-11-24 | Monolithic 3D Inc. | Semiconductor and optoelectronic devices |
US12094892B2 (en) | 2010-10-13 | 2024-09-17 | Monolithic 3D Inc. | 3D micro display device and structure |
US11163112B2 (en) | 2010-10-13 | 2021-11-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with electromagnetic modulators |
US10679977B2 (en) | 2010-10-13 | 2020-06-09 | Monolithic 3D Inc. | 3D microdisplay device and structure |
US11164898B2 (en) | 2010-10-13 | 2021-11-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US12080743B2 (en) | 2010-10-13 | 2024-09-03 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11327227B2 (en) | 2010-10-13 | 2022-05-10 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with electromagnetic modulators |
US11404466B2 (en) | 2010-10-13 | 2022-08-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11063071B1 (en) | 2010-10-13 | 2021-07-13 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with waveguides |
US10943934B2 (en) | 2010-10-13 | 2021-03-09 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US11133344B2 (en) | 2010-10-13 | 2021-09-28 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
JP6006219B2 (ja) | 2010-10-20 | 2016-10-12 | ペレグリン セミコンダクター コーポレイション | 蓄積電荷シンクを用いてmosfetの線形性を改善することに使用される方法及び装置−高調波リンクルの抑制 |
US8487280B2 (en) | 2010-10-21 | 2013-07-16 | Varian Semiconductor Equipment Associates, Inc. | Modulating implantation for improved workpiece splitting |
US12125737B1 (en) | 2010-11-18 | 2024-10-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US11018042B1 (en) | 2010-11-18 | 2021-05-25 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US12033884B2 (en) | 2010-11-18 | 2024-07-09 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11094576B1 (en) | 2010-11-18 | 2021-08-17 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11482438B2 (en) | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11923230B1 (en) | 2010-11-18 | 2024-03-05 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US11569117B2 (en) | 2010-11-18 | 2023-01-31 | Monolithic 3D Inc. | 3D semiconductor device and structure with single-crystal layers |
US11482439B2 (en) | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors |
US11164770B1 (en) | 2010-11-18 | 2021-11-02 | Monolithic 3D Inc. | Method for producing a 3D semiconductor memory device and structure |
US11107721B2 (en) | 2010-11-18 | 2021-08-31 | Monolithic 3D Inc. | 3D semiconductor device and structure with NAND logic |
US11862503B2 (en) | 2010-11-18 | 2024-01-02 | Monolithic 3D Inc. | Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11495484B2 (en) | 2010-11-18 | 2022-11-08 | Monolithic 3D Inc. | 3D semiconductor devices and structures with at least two single-crystal layers |
US12068187B2 (en) | 2010-11-18 | 2024-08-20 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding and DRAM memory cells |
US11031275B2 (en) | 2010-11-18 | 2021-06-08 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US12100611B2 (en) | 2010-11-18 | 2024-09-24 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11121021B2 (en) | 2010-11-18 | 2021-09-14 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11004719B1 (en) | 2010-11-18 | 2021-05-11 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11211279B2 (en) | 2010-11-18 | 2021-12-28 | Monolithic 3D Inc. | Method for processing a 3D integrated circuit and structure |
US11735462B2 (en) | 2010-11-18 | 2023-08-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with single-crystal layers |
US11521888B2 (en) | 2010-11-18 | 2022-12-06 | Monolithic 3D Inc. | 3D semiconductor device and structure with high-k metal gate transistors |
US11610802B2 (en) | 2010-11-18 | 2023-03-21 | Monolithic 3D Inc. | Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes |
US11508605B2 (en) | 2010-11-18 | 2022-11-22 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11615977B2 (en) | 2010-11-18 | 2023-03-28 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11784082B2 (en) | 2010-11-18 | 2023-10-10 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US11804396B2 (en) | 2010-11-18 | 2023-10-31 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11901210B2 (en) | 2010-11-18 | 2024-02-13 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US11443971B2 (en) | 2010-11-18 | 2022-09-13 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US11854857B1 (en) | 2010-11-18 | 2023-12-26 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11355380B2 (en) | 2010-11-18 | 2022-06-07 | Monolithic 3D Inc. | Methods for producing 3D semiconductor memory device and structure utilizing alignment marks |
US11355381B2 (en) | 2010-11-18 | 2022-06-07 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US8558195B2 (en) | 2010-11-19 | 2013-10-15 | Corning Incorporated | Semiconductor structure made using improved pseudo-simultaneous multiple ion implantation process |
US8196546B1 (en) | 2010-11-19 | 2012-06-12 | Corning Incorporated | Semiconductor structure made using improved multiple ion implantation process |
US8008175B1 (en) | 2010-11-19 | 2011-08-30 | Coring Incorporated | Semiconductor structure made using improved simultaneous multiple ion implantation process |
US8476146B2 (en) * | 2010-12-03 | 2013-07-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing wafer distortion through a low CTE layer |
JP2012156495A (ja) | 2011-01-07 | 2012-08-16 | Semiconductor Energy Lab Co Ltd | Soi基板の作製方法 |
US9082948B2 (en) | 2011-02-03 | 2015-07-14 | Soitec | Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods |
US9142412B2 (en) | 2011-02-03 | 2015-09-22 | Soitec | Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods |
US8436363B2 (en) | 2011-02-03 | 2013-05-07 | Soitec | Metallic carrier for layer transfer and methods for forming the same |
US8975670B2 (en) | 2011-03-06 | 2015-03-10 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
US8845859B2 (en) | 2011-03-15 | 2014-09-30 | Sunedison Semiconductor Limited (Uen201334164H) | Systems and methods for cleaving a bonded wafer pair |
US8841203B2 (en) * | 2011-06-14 | 2014-09-23 | International Business Machines Corporation | Method for forming two device wafers from a single base substrate utilizing a controlled spalling process |
US8802534B2 (en) | 2011-06-14 | 2014-08-12 | Semiconductor Energy Laboratory Co., Ltd. | Method for forming SOI substrate and apparatus for forming the same |
US9123529B2 (en) | 2011-06-21 | 2015-09-01 | Semiconductor Energy Laboratory Co., Ltd. | Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate |
US10388568B2 (en) | 2011-06-28 | 2019-08-20 | Monolithic 3D Inc. | 3D semiconductor device and system |
US8687399B2 (en) | 2011-10-02 | 2014-04-01 | Monolithic 3D Inc. | Semiconductor device and structure |
DE112012004373T5 (de) * | 2011-10-18 | 2014-07-10 | Fuji Electric Co., Ltd | Verfahren zur trennung eines trägersubstrats von einem festphasengebundenen wafer und verfahren zur herstellung einer halbleitervorrichtung |
US9029173B2 (en) | 2011-10-18 | 2015-05-12 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
CN104025277A (zh) | 2011-10-31 | 2014-09-03 | Memc电子材料有限公司 | 用于劈裂键合晶片结构的夹持装置和劈裂方法 |
MY175007A (en) | 2011-11-08 | 2020-06-02 | Intevac Inc | Substrate processing system and method |
US8871608B2 (en) | 2012-02-08 | 2014-10-28 | Gtat Corporation | Method for fabricating backside-illuminated sensors |
US20150044447A1 (en) * | 2012-02-13 | 2015-02-12 | Silicon Genesis Corporation | Cleaving thin layer from bulk material and apparatus including cleaved thin layer |
US9336989B2 (en) | 2012-02-13 | 2016-05-10 | Silicon Genesis Corporation | Method of cleaving a thin sapphire layer from a bulk material by implanting a plurality of particles and performing a controlled cleaving process |
KR20140140053A (ko) | 2012-02-26 | 2014-12-08 | 솔렉셀, 인크. | 레이저 분할 및 디바이스 층 전사를 위한 시스템 및 방법 |
US9000557B2 (en) | 2012-03-17 | 2015-04-07 | Zvi Or-Bach | Semiconductor device and structure |
US11735501B1 (en) | 2012-04-09 | 2023-08-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11410912B2 (en) | 2012-04-09 | 2022-08-09 | Monolithic 3D Inc. | 3D semiconductor device with vias and isolation layers |
US11088050B2 (en) | 2012-04-09 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device with isolation layers |
US11694944B1 (en) | 2012-04-09 | 2023-07-04 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11164811B2 (en) | 2012-04-09 | 2021-11-02 | Monolithic 3D Inc. | 3D semiconductor device with isolation layers and oxide-to-oxide bonding |
US10600888B2 (en) | 2012-04-09 | 2020-03-24 | Monolithic 3D Inc. | 3D semiconductor device |
US11881443B2 (en) | 2012-04-09 | 2024-01-23 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US8557632B1 (en) | 2012-04-09 | 2013-10-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US11594473B2 (en) | 2012-04-09 | 2023-02-28 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11616004B1 (en) | 2012-04-09 | 2023-03-28 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11476181B1 (en) | 2012-04-09 | 2022-10-18 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US9257339B2 (en) * | 2012-05-04 | 2016-02-09 | Silicon Genesis Corporation | Techniques for forming optoelectronic devices |
US9105797B2 (en) | 2012-05-31 | 2015-08-11 | Alliance For Sustainable Energy, Llc | Liquid precursor inks for deposition of In—Se, Ga—Se and In—Ga—Se |
US8829967B2 (en) | 2012-06-27 | 2014-09-09 | Triquint Semiconductor, Inc. | Body-contacted partially depleted silicon on insulator transistor |
FR2993095B1 (fr) * | 2012-07-03 | 2014-08-08 | Commissariat Energie Atomique | Detachement d’une couche autoportee de silicium <100> |
US9499921B2 (en) | 2012-07-30 | 2016-11-22 | Rayton Solar Inc. | Float zone silicon wafer manufacturing system and related process |
US9404198B2 (en) * | 2012-07-30 | 2016-08-02 | Rayton Solar Inc. | Processes and apparatuses for manufacturing wafers |
US8729952B2 (en) | 2012-08-16 | 2014-05-20 | Triquint Semiconductor, Inc. | Switching device with non-negative biasing |
FR2995445B1 (fr) * | 2012-09-07 | 2016-01-08 | Soitec Silicon On Insulator | Procede de fabrication d'une structure en vue d'une separation ulterieure |
FR2995446A1 (fr) * | 2012-09-07 | 2014-03-14 | Soitec Silicon On Insulator | Procede de fabrication d'une structure comprenant au moins deux interfaces |
US8686428B1 (en) | 2012-11-16 | 2014-04-01 | Monolithic 3D Inc. | Semiconductor device and structure |
US8574929B1 (en) | 2012-11-16 | 2013-11-05 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US9590674B2 (en) | 2012-12-14 | 2017-03-07 | Peregrine Semiconductor Corporation | Semiconductor devices with switchable ground-body connection |
MY178951A (en) | 2012-12-19 | 2020-10-23 | Intevac Inc | Grid for plasma ion implant |
US11063024B1 (en) | 2012-12-22 | 2021-07-13 | Monlithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US12051674B2 (en) | 2012-12-22 | 2024-07-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11018116B2 (en) | 2012-12-22 | 2021-05-25 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US8674470B1 (en) | 2012-12-22 | 2014-03-18 | Monolithic 3D Inc. | Semiconductor device and structure |
US11309292B2 (en) | 2012-12-22 | 2022-04-19 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11784169B2 (en) | 2012-12-22 | 2023-10-10 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11961827B1 (en) | 2012-12-22 | 2024-04-16 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11217565B2 (en) | 2012-12-22 | 2022-01-04 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US11916045B2 (en) | 2012-12-22 | 2024-02-27 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11967583B2 (en) | 2012-12-22 | 2024-04-23 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11177140B2 (en) | 2012-12-29 | 2021-11-16 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10651054B2 (en) | 2012-12-29 | 2020-05-12 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10903089B1 (en) | 2012-12-29 | 2021-01-26 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11087995B1 (en) | 2012-12-29 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10892169B2 (en) | 2012-12-29 | 2021-01-12 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11004694B1 (en) | 2012-12-29 | 2021-05-11 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10600657B2 (en) | 2012-12-29 | 2020-03-24 | Monolithic 3D Inc | 3D semiconductor device and structure |
US10115663B2 (en) | 2012-12-29 | 2018-10-30 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11430667B2 (en) | 2012-12-29 | 2022-08-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US9871034B1 (en) | 2012-12-29 | 2018-01-16 | Monolithic 3D Inc. | Semiconductor device and structure |
US11430668B2 (en) | 2012-12-29 | 2022-08-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US9385058B1 (en) | 2012-12-29 | 2016-07-05 | Monolithic 3D Inc. | Semiconductor device and structure |
CN103077922B (zh) * | 2013-01-11 | 2015-11-18 | 武汉新芯集成电路制造有限公司 | 硅中介层制作方法 |
US8847672B2 (en) | 2013-01-15 | 2014-09-30 | Triquint Semiconductor, Inc. | Switching device with resistive divider |
JP2014138189A (ja) * | 2013-01-16 | 2014-07-28 | Silicon Genesis Corp | 制御されたプロセス及び結果として生じるデバイス |
WO2014113503A1 (en) * | 2013-01-16 | 2014-07-24 | QMAT, Inc. | Techniques for forming optoelectronic devices |
KR20150114486A (ko) * | 2013-01-30 | 2015-10-12 | 프라운호퍼-게젤샤프트 추르 푀르데룽 데어 안제반텐 포르슝 에 파우 | 에피택셜 반도체층을 제조하기 위한 방법 |
US9214932B2 (en) | 2013-02-11 | 2015-12-15 | Triquint Semiconductor, Inc. | Body-biased switching device |
US8923782B1 (en) | 2013-02-20 | 2014-12-30 | Triquint Semiconductor, Inc. | Switching device with diode-biased field-effect transistor (FET) |
US8977217B1 (en) | 2013-02-20 | 2015-03-10 | Triquint Semiconductor, Inc. | Switching device with negative bias circuit |
US9203396B1 (en) | 2013-02-22 | 2015-12-01 | Triquint Semiconductor, Inc. | Radio frequency switch device with source-follower |
US12094965B2 (en) | 2013-03-11 | 2024-09-17 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US8902663B1 (en) | 2013-03-11 | 2014-12-02 | Monolithic 3D Inc. | Method of maintaining a memory state |
US11935949B1 (en) | 2013-03-11 | 2024-03-19 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US10325651B2 (en) | 2013-03-11 | 2019-06-18 | Monolithic 3D Inc. | 3D semiconductor device with stacked memory |
US11869965B2 (en) | 2013-03-11 | 2024-01-09 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US8994404B1 (en) | 2013-03-12 | 2015-03-31 | Monolithic 3D Inc. | Semiconductor device and structure |
US12100646B2 (en) | 2013-03-12 | 2024-09-24 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US10840239B2 (en) | 2014-08-26 | 2020-11-17 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11398569B2 (en) | 2013-03-12 | 2022-07-26 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11088130B2 (en) | 2014-01-28 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11923374B2 (en) | 2013-03-12 | 2024-03-05 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US20150236798A1 (en) | 2013-03-14 | 2015-08-20 | Peregrine Semiconductor Corporation | Methods for Increasing RF Throughput Via Usage of Tunable Filters |
US9117749B1 (en) | 2013-03-15 | 2015-08-25 | Monolithic 3D Inc. | Semiconductor device and structure |
US20140264456A1 (en) * | 2013-03-15 | 2014-09-18 | Semiconductor Components Industries, Llc | Method of forming a high electron mobility semiconductor device |
US10224279B2 (en) | 2013-03-15 | 2019-03-05 | Monolithic 3D Inc. | Semiconductor device and structure |
US11574109B1 (en) | 2013-04-15 | 2023-02-07 | Monolithic 3D Inc | Automation methods for 3D integrated circuits and devices |
US11720736B2 (en) | 2013-04-15 | 2023-08-08 | Monolithic 3D Inc. | Automation methods for 3D integrated circuits and devices |
US11487928B2 (en) | 2013-04-15 | 2022-11-01 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US9021414B1 (en) | 2013-04-15 | 2015-04-28 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11030371B2 (en) | 2013-04-15 | 2021-06-08 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11341309B1 (en) | 2013-04-15 | 2022-05-24 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11270055B1 (en) | 2013-04-15 | 2022-03-08 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
FR3007892B1 (fr) * | 2013-06-27 | 2015-07-31 | Commissariat Energie Atomique | Procede de transfert d'une couche mince avec apport d'energie thermique a une zone fragilisee via une couche inductive |
JP6061251B2 (ja) * | 2013-07-05 | 2017-01-18 | 株式会社豊田自動織機 | 半導体基板の製造方法 |
US9859112B2 (en) | 2013-07-18 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd | Bonded semiconductor structures |
SG11201601133XA (en) * | 2013-08-29 | 2016-03-30 | Univ Leland Stanford Junior | Method of controlled crack propagation for material cleavage using electromagnetic forces |
JP6200273B2 (ja) * | 2013-10-17 | 2017-09-20 | 信越半導体株式会社 | 貼り合わせウェーハの製造方法 |
US9406695B2 (en) | 2013-11-20 | 2016-08-02 | Peregrine Semiconductor Corporation | Circuit and method for improving ESD tolerance and switching speed |
CA2936306C (en) * | 2014-01-10 | 2022-01-25 | Magnablend Inc. | Use of a boron cross linker in an emulsion system |
US9202837B2 (en) * | 2014-01-22 | 2015-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Image-sensor device and method of manufacturing the same |
US11107808B1 (en) | 2014-01-28 | 2021-08-31 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10297586B2 (en) | 2015-03-09 | 2019-05-21 | Monolithic 3D Inc. | Methods for processing a 3D semiconductor device |
US12094829B2 (en) | 2014-01-28 | 2024-09-17 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11031394B1 (en) | 2014-01-28 | 2021-06-08 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US9379698B2 (en) | 2014-02-04 | 2016-06-28 | Triquint Semiconductor, Inc. | Field effect transistor switching circuit |
TWI642086B (zh) * | 2014-02-18 | 2018-11-21 | 日商日本碍子股份有限公司 | Substrate substrate and method for manufacturing composite substrate for semiconductor |
US10573627B2 (en) | 2015-01-09 | 2020-02-25 | Silicon Genesis Corporation | Three dimensional integrated circuit |
DE202016000166U1 (de) | 2015-01-09 | 2016-06-02 | Silicon Genesis Corporation | Dreidimensionale integrierte Schaltung |
US20180175008A1 (en) * | 2015-01-09 | 2018-06-21 | Silicon Genesis Corporation | Three dimensional integrated circuit |
US10049915B2 (en) | 2015-01-09 | 2018-08-14 | Silicon Genesis Corporation | Three dimensional integrated circuit |
JP6395632B2 (ja) | 2015-02-09 | 2018-09-26 | 株式会社ディスコ | ウエーハの生成方法 |
JP6395633B2 (ja) | 2015-02-09 | 2018-09-26 | 株式会社ディスコ | ウエーハの生成方法 |
US9831857B2 (en) | 2015-03-11 | 2017-11-28 | Peregrine Semiconductor Corporation | Power splitter with programmable output phase shift |
JP6425606B2 (ja) | 2015-04-06 | 2018-11-21 | 株式会社ディスコ | ウエーハの生成方法 |
JP6429715B2 (ja) | 2015-04-06 | 2018-11-28 | 株式会社ディスコ | ウエーハの生成方法 |
US10825779B2 (en) | 2015-04-19 | 2020-11-03 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11011507B1 (en) | 2015-04-19 | 2021-05-18 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10381328B2 (en) | 2015-04-19 | 2019-08-13 | Monolithic 3D Inc. | Semiconductor device and structure |
US11056468B1 (en) | 2015-04-19 | 2021-07-06 | Monolithic 3D Inc. | 3D semiconductor device and structure |
JP6472333B2 (ja) | 2015-06-02 | 2019-02-20 | 株式会社ディスコ | ウエーハの生成方法 |
EP3311422A4 (en) | 2015-06-19 | 2019-06-12 | Qmat, Inc. | PROCESS FOR LAYER AND SEPARATION TRANSFER |
JP6472347B2 (ja) * | 2015-07-21 | 2019-02-20 | 株式会社ディスコ | ウエーハの薄化方法 |
JP6482425B2 (ja) * | 2015-07-21 | 2019-03-13 | 株式会社ディスコ | ウエーハの薄化方法 |
US11956952B2 (en) | 2015-08-23 | 2024-04-09 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US12100658B2 (en) | 2015-09-21 | 2024-09-24 | Monolithic 3D Inc. | Method to produce a 3D multilayer semiconductor device and structure |
US11937422B2 (en) | 2015-11-07 | 2024-03-19 | Monolithic 3D Inc. | Semiconductor memory device and structure |
WO2017053329A1 (en) | 2015-09-21 | 2017-03-30 | Monolithic 3D Inc | 3d semiconductor device and structure |
US11114427B2 (en) | 2015-11-07 | 2021-09-07 | Monolithic 3D Inc. | 3D semiconductor processor and memory device and structure |
US11978731B2 (en) | 2015-09-21 | 2024-05-07 | Monolithic 3D Inc. | Method to produce a multi-level semiconductor memory device and structure |
US10522225B1 (en) | 2015-10-02 | 2019-12-31 | Monolithic 3D Inc. | Semiconductor device with non-volatile memory |
US11991884B1 (en) | 2015-10-24 | 2024-05-21 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US12016181B2 (en) | 2015-10-24 | 2024-06-18 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US10847540B2 (en) | 2015-10-24 | 2020-11-24 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11114464B2 (en) | 2015-10-24 | 2021-09-07 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11296115B1 (en) | 2015-10-24 | 2022-04-05 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US12035531B2 (en) | 2015-10-24 | 2024-07-09 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US12120880B1 (en) | 2015-10-24 | 2024-10-15 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US10418369B2 (en) | 2015-10-24 | 2019-09-17 | Monolithic 3D Inc. | Multi-level semiconductor memory device and structure |
US10573608B2 (en) * | 2015-12-22 | 2020-02-25 | Intel Corporation | Microelectronic devices designed with high frequency communication devices including compound semiconductor devices integrated on a die fabric on package |
WO2017142849A1 (en) * | 2016-02-19 | 2017-08-24 | Sunedison Semiconductor Limited | Semiconductor on insulator structure comprising a buried high resistivity layer |
JP6666173B2 (ja) * | 2016-03-09 | 2020-03-13 | 株式会社ディスコ | レーザー加工装置 |
JP6690983B2 (ja) | 2016-04-11 | 2020-04-28 | 株式会社ディスコ | ウエーハ生成方法及び実第2のオリエンテーションフラット検出方法 |
WO2018011731A1 (en) | 2016-07-12 | 2018-01-18 | QMAT, Inc. | Method of a donor substrate undergoing reclamation |
US10186630B2 (en) | 2016-08-02 | 2019-01-22 | QMAT, Inc. | Seed wafer for GaN thickening using gas- or liquid-phase epitaxy |
FR3055063B1 (fr) | 2016-08-11 | 2018-08-31 | Soitec | Procede de transfert d'une couche utile |
US9948281B2 (en) | 2016-09-02 | 2018-04-17 | Peregrine Semiconductor Corporation | Positive logic digitally tunable capacitor |
US11711928B2 (en) | 2016-10-10 | 2023-07-25 | Monolithic 3D Inc. | 3D memory devices and structures with control circuits |
US11869591B2 (en) | 2016-10-10 | 2024-01-09 | Monolithic 3D Inc. | 3D memory devices and structures with control circuits |
US11329059B1 (en) | 2016-10-10 | 2022-05-10 | Monolithic 3D Inc. | 3D memory devices and structures with thinned single crystal substrates |
US11930648B1 (en) | 2016-10-10 | 2024-03-12 | Monolithic 3D Inc. | 3D memory devices and structures with metal layers |
US11251149B2 (en) | 2016-10-10 | 2022-02-15 | Monolithic 3D Inc. | 3D memory device and structure |
US11812620B2 (en) | 2016-10-10 | 2023-11-07 | Monolithic 3D Inc. | 3D DRAM memory devices and structures with control circuits |
KR20190082885A (ko) | 2016-11-11 | 2019-07-10 | 큐맷, 인코포레이티드 | 층 이송에 의한 마이크로 발광 다이오드의 제조 |
US10679908B2 (en) | 2017-01-23 | 2020-06-09 | Globalwafers Co., Ltd. | Cleave systems, mountable cleave monitoring systems, and methods for separating bonded wafer structures |
JP6858587B2 (ja) | 2017-02-16 | 2021-04-14 | 株式会社ディスコ | ウエーハ生成方法 |
TWM588362U (zh) | 2017-12-01 | 2019-12-21 | 美商矽基因股份有限公司 | 三維積體電路 |
US11342498B2 (en) * | 2018-01-08 | 2022-05-24 | Integrated Silicon Solution (cayman) Inc. | High density 3D magnetic random access memory (MRAM) cell integration using wafer cut and transfer |
FR3078822B1 (fr) * | 2018-03-12 | 2020-02-28 | Soitec | Procede de preparation d’une couche mince de materiau ferroelectrique a base d’alcalin |
US10505530B2 (en) | 2018-03-28 | 2019-12-10 | Psemi Corporation | Positive logic switch with selectable DC blocking circuit |
US10886911B2 (en) | 2018-03-28 | 2021-01-05 | Psemi Corporation | Stacked FET switch bias ladders |
US10236872B1 (en) | 2018-03-28 | 2019-03-19 | Psemi Corporation | AC coupling modules for bias ladders |
US10964664B2 (en) | 2018-04-20 | 2021-03-30 | Invensas Bonding Technologies, Inc. | DBI to Si bonding for simplified handle wafer |
US11763864B2 (en) | 2019-04-08 | 2023-09-19 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures with bit-line pillars |
US11018156B2 (en) | 2019-04-08 | 2021-05-25 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US10892016B1 (en) | 2019-04-08 | 2021-01-12 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11158652B1 (en) | 2019-04-08 | 2021-10-26 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11296106B2 (en) | 2019-04-08 | 2022-04-05 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
CN110085127B (zh) * | 2019-05-23 | 2021-01-26 | 云谷(固安)科技有限公司 | 柔性显示母板及柔性显示屏制作方法 |
CN110349843B (zh) * | 2019-07-26 | 2021-12-21 | 京东方科技集团股份有限公司 | 薄膜晶体管及其制备方法、生物识别器件、显示装置 |
US11476849B2 (en) | 2020-01-06 | 2022-10-18 | Psemi Corporation | High power positive logic switch |
JP7262415B2 (ja) * | 2020-04-03 | 2023-04-21 | 信越化学工業株式会社 | 複合基板およびその製造方法 |
US11377758B2 (en) | 2020-11-23 | 2022-07-05 | Stephen C. Baer | Cleaving thin wafers from crystals |
US11410984B1 (en) | 2021-10-08 | 2022-08-09 | Silicon Genesis Corporation | Three dimensional integrated circuit with lateral connection layer |
WO2024206046A1 (en) * | 2023-03-31 | 2024-10-03 | Applied Materials, Inc. | Ion implantation for reduced roughness of silicon nitride |
Family Cites Families (294)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2614055A (en) * | 1947-05-12 | 1952-10-14 | Samica Corp | Method of treating mica |
DE834363C (de) | 1951-02-27 | 1952-03-20 | Zentral Werkstatt Goettingen | Verfahren und Vorrichtung zur Herstellung duenner Glimmerfolien |
US3117002A (en) * | 1960-02-16 | 1964-01-07 | Ampco Metal Inc | Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese |
US3117022A (en) * | 1960-09-06 | 1964-01-07 | Space Technhology Lab Inc | Deposition arrangement |
US3225820A (en) * | 1962-11-01 | 1965-12-28 | Gen Precision Inc | Device for controlling temperature by heat conduction |
DE1238105B (de) | 1963-07-17 | 1967-04-06 | Siemens Ag | Verfahren zum Herstellen von pn-UEbergaengen in Silizium |
US3390033A (en) * | 1964-08-13 | 1968-06-25 | Rca Corp | Method of separating frit sealed parts of an electron tube |
US5082793A (en) * | 1965-09-28 | 1992-01-21 | Li Chou H | Method for making solid state device utilizing ion implantation techniques |
US3516855A (en) | 1967-05-29 | 1970-06-23 | Ibm | Method of depositing conductive ions by utilizing electron beam |
US3551213A (en) * | 1968-09-04 | 1970-12-29 | Bell Telephone Labor Inc | Geometrically selective ion bombardment by means of the photoelectric effect |
US3786359A (en) * | 1969-03-28 | 1974-01-15 | Alpha Ind Inc | Ion accelerator and ion species selector |
US3900636A (en) * | 1971-01-21 | 1975-08-19 | Gillette Co | Method of treating cutting edges |
US3806380A (en) * | 1971-03-05 | 1974-04-23 | Hitachi Ltd | Method for hardening treatment of aluminum or aluminum-base alloy |
GB1392811A (en) * | 1971-04-07 | 1975-04-30 | Atomic Energy Authority Uk | Methods for treating steel to modify the structure thereof |
US3770499A (en) * | 1972-02-28 | 1973-11-06 | Motorola Inc | Liquid phase deposition of thin insulating and refractory film on a substrate |
US3915757A (en) * | 1972-08-09 | 1975-10-28 | Niels N Engel | Ion plating method and product therefrom |
US3913520A (en) * | 1972-08-14 | 1975-10-21 | Precision Thin Film Corp | High vacuum deposition apparatus |
US3993909A (en) * | 1973-03-16 | 1976-11-23 | U.S. Philips Corporation | Substrate holder for etching thin films |
US3914655A (en) | 1973-06-28 | 1975-10-21 | Ibm | High brightness ion source |
FR2245779B1 (ko) * | 1973-09-28 | 1978-02-10 | Cit Alcatel | |
US3946334A (en) | 1973-11-14 | 1976-03-23 | Nippon Electric Company, Limited | Injection semiconductor laser device |
US3901423A (en) * | 1973-11-26 | 1975-08-26 | Purdue Research Foundation | Method for fracturing crystalline materials |
US3964957A (en) | 1973-12-19 | 1976-06-22 | Monsanto Company | Apparatus for processing semiconductor wafers |
FR2261802B1 (ko) | 1974-02-21 | 1978-01-06 | Devienne Fernand | |
NL7404364A (nl) | 1974-04-01 | 1975-10-03 | Philips Nv | Werkwijze en inrichting voor het bewerken van vlakke voorwerpen. |
US3907107A (en) * | 1974-05-28 | 1975-09-23 | Flora M Vercollone | Dress form method and means |
US4170662A (en) * | 1974-11-05 | 1979-10-09 | Eastman Kodak Company | Plasma plating |
US4121334A (en) | 1974-12-17 | 1978-10-24 | P. R. Mallory & Co. Inc. | Application of field-assisted bonding to the mass production of silicon type pressure transducers |
FR2298880A1 (fr) | 1975-01-22 | 1976-08-20 | Commissariat Energie Atomique | Procede et dispositif d'implantation ionique |
US3957107A (en) * | 1975-02-27 | 1976-05-18 | The United States Of America As Represented By The Secretary Of The Air Force | Thermal switch |
US4039416A (en) * | 1975-04-21 | 1977-08-02 | White Gerald W | Gasless ion plating |
US4116751A (en) * | 1975-10-08 | 1978-09-26 | Solomon Zaromb | Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials |
GB1542299A (en) * | 1976-03-23 | 1979-03-14 | Warner Lambert Co | Blade shields |
US4053335A (en) | 1976-04-02 | 1977-10-11 | International Business Machines Corporation | Method of gettering using backside polycrystalline silicon |
US4216906A (en) * | 1976-06-21 | 1980-08-12 | Flow Research, Inc. | Method of making high velocity liquid jet |
US4074139A (en) * | 1976-12-27 | 1978-02-14 | Rca Corporation | Apparatus and method for maskless ion implantation |
US4108751A (en) * | 1977-06-06 | 1978-08-22 | King William J | Ion beam implantation-sputtering |
US4139858A (en) * | 1977-12-12 | 1979-02-13 | Rca Corporation | Solar cell with a gallium nitride electrode |
US4237601A (en) | 1978-10-13 | 1980-12-09 | Exxon Research & Engineering Co. | Method of cleaving semiconductor diode laser wafers |
JPS55104057A (en) * | 1979-02-02 | 1980-08-09 | Hitachi Ltd | Ion implantation device |
US4255208A (en) | 1979-05-25 | 1981-03-10 | Ramot University Authority For Applied Research And Industrial Development Ltd. | Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer |
CH640886A5 (de) | 1979-08-02 | 1984-01-31 | Balzers Hochvakuum | Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen. |
US4244348A (en) * | 1979-09-10 | 1981-01-13 | Atlantic Richfield Company | Process for cleaving crystalline materials |
FR2475068B1 (fr) * | 1980-02-01 | 1986-05-16 | Commissariat Energie Atomique | Procede de dopage de semi-conducteurs |
FR2506344B2 (fr) * | 1980-02-01 | 1986-07-11 | Commissariat Energie Atomique | Procede de dopage de semi-conducteurs |
GB2071411B (en) | 1980-03-07 | 1983-12-21 | Philips Electronic Associated | Passivating p-n junction devices |
EP0191503A3 (en) | 1980-04-10 | 1986-09-10 | Massachusetts Institute Of Technology | Method of producing sheets of crystalline material |
US4342631A (en) | 1980-06-16 | 1982-08-03 | Illinois Tool Works Inc. | Gasless ion plating process and apparatus |
US4471003A (en) * | 1980-11-25 | 1984-09-11 | Cann Gordon L | Magnetoplasmadynamic apparatus and process for the separation and deposition of materials |
FR2501727A1 (fr) * | 1981-03-13 | 1982-09-17 | Vide Traitement | Procede de traitements thermochimiques de metaux par bombardement ionique |
JPS5861763A (ja) * | 1981-10-09 | 1983-04-12 | 武笠 均 | 触感知器消化装置 |
US4361600A (en) * | 1981-11-12 | 1982-11-30 | General Electric Company | Method of making integrated circuits |
US4412868A (en) * | 1981-12-23 | 1983-11-01 | General Electric Company | Method of making integrated circuits utilizing ion implantation and selective epitaxial growth |
FR2519437A1 (fr) * | 1982-01-04 | 1983-07-08 | Commissariat Energie Atomique | Dispositif de limitation et de controle de la temperature d'une cible reposant sur un support dans une enceinte sous vide et recevant un faisceau d'energie et son application a des mesures thermiques |
US4486247A (en) * | 1982-06-21 | 1984-12-04 | Westinghouse Electric Corp. | Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof |
US4554570A (en) | 1982-06-24 | 1985-11-19 | Rca Corporation | Vertically integrated IGFET device |
FR2529383A1 (fr) | 1982-06-24 | 1983-12-30 | Commissariat Energie Atomique | Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris |
FR2537768A1 (fr) * | 1982-12-08 | 1984-06-15 | Commissariat Energie Atomique | Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques |
FR2537777A1 (fr) * | 1982-12-10 | 1984-06-15 | Commissariat Energie Atomique | Procede et dispositif d'implantation de particules dans un solide |
US4500563A (en) * | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
DE3246480A1 (de) * | 1982-12-15 | 1984-06-20 | Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen | Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite |
US4468309A (en) * | 1983-04-22 | 1984-08-28 | White Engineering Corporation | Method for resisting galling |
GB2144343A (en) * | 1983-08-02 | 1985-03-06 | Standard Telephones Cables Ltd | Optical fibre manufacture |
US4567505A (en) * | 1983-10-27 | 1986-01-28 | The Board Of Trustees Of The Leland Stanford Junior University | Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like |
FR2560426A1 (fr) * | 1984-02-28 | 1985-08-30 | Commissariat Energie Atomique | Dispositif de production d'ions d'une espece determinee, utilisant pour les separer d'autres ions, une selection en energie, application a l'implantation ionique |
GB2155024A (en) * | 1984-03-03 | 1985-09-18 | Standard Telephones Cables Ltd | Surface treatment of plastics materials |
FR2563377B1 (fr) | 1984-04-19 | 1987-01-23 | Commissariat Energie Atomique | Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique |
JPS6124240A (ja) | 1984-07-13 | 1986-02-01 | Toshiba Corp | 半導体基板 |
US5007040A (en) * | 1984-09-22 | 1991-04-09 | Canon Kabushiki Kaisha | Magnetic recording and/or reproducing apparatus having a retaining mechanism for a disc-shaped magnetic record bearing medium |
FR2571738B1 (fr) * | 1984-10-17 | 1987-08-21 | Du Pin Cellulose | Fabrication enzymatique de pate a usage chimique |
FR2575601B1 (fr) | 1984-12-27 | 1987-01-30 | Commissariat Energie Atomique | Procede et dispositif de determination de parametres electriques d'une couche semi-conductrice en fonction de la profondeur |
US4566403A (en) * | 1985-01-30 | 1986-01-28 | Sovonics Solar Systems | Apparatus for microwave glow discharge deposition |
NL8501773A (nl) | 1985-06-20 | 1987-01-16 | Philips Nv | Werkwijze voor het vervaardigen van halfgeleiderinrichtingen. |
JPS62171167A (ja) * | 1986-01-23 | 1987-07-28 | Mitsubishi Electric Corp | 太陽電池の製造方法 |
US4706377A (en) | 1986-01-30 | 1987-11-17 | United Technologies Corporation | Passivation of gallium arsenide by nitrogen implantation |
JPS62208638A (ja) | 1986-03-07 | 1987-09-12 | Toshiba Corp | 半導体装置の製造方法 |
JPS62219636A (ja) | 1986-03-20 | 1987-09-26 | Hitachi Ltd | 半導体装置 |
US4837172A (en) | 1986-07-18 | 1989-06-06 | Matsushita Electric Industrial Co., Ltd. | Method for removing impurities existing in semiconductor substrate |
US4717683A (en) * | 1986-09-23 | 1988-01-05 | Motorola Inc. | CMOS process |
US4764394A (en) * | 1987-01-20 | 1988-08-16 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma source ion implantation |
US4847792A (en) * | 1987-05-04 | 1989-07-11 | Texas Instruments Incorporated | Process and apparatus for detecting aberrations in production process operations |
US4906594A (en) * | 1987-06-12 | 1990-03-06 | Agency Of Industrial Science And Technology | Surface smoothing method and method of forming SOI substrate using the surface smoothing method |
DE3888736T2 (de) | 1987-06-24 | 1994-11-17 | Advanced Semiconductor Mat | Verfahren zur Epitaxieabscheidung von Silizium. |
US4846928A (en) * | 1987-08-04 | 1989-07-11 | Texas Instruments, Incorporated | Process and apparatus for detecting aberrations in production process operations |
US4887005A (en) * | 1987-09-15 | 1989-12-12 | Rough J Kirkwood H | Multiple electrode plasma reactor power distribution system |
US5015353A (en) * | 1987-09-30 | 1991-05-14 | The United States Of America As Represented By The Secretary Of The Navy | Method for producing substoichiometric silicon nitride of preselected proportions |
GB8725497D0 (en) | 1987-10-30 | 1987-12-02 | Atomic Energy Authority Uk | Isolation of silicon |
DE3803424C2 (de) * | 1988-02-05 | 1995-05-18 | Gsf Forschungszentrum Umwelt | Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben |
JP2666945B2 (ja) * | 1988-02-08 | 1997-10-22 | 株式会社東芝 | 半導体装置の製造方法 |
US4894709A (en) * | 1988-03-09 | 1990-01-16 | Massachusetts Institute Of Technology | Forced-convection, liquid-cooled, microchannel heat sinks |
US4883561A (en) * | 1988-03-29 | 1989-11-28 | Bell Communications Research, Inc. | Lift-off and subsequent bonding of epitaxial films |
US4853250A (en) * | 1988-05-11 | 1989-08-01 | Universite De Sherbrooke | Process of depositing particulate material on a substrate |
GB8819441D0 (en) | 1988-08-16 | 1988-09-21 | Atomic Energy Authority Uk | Quality assurance |
NL8802028A (nl) | 1988-08-16 | 1990-03-16 | Philips Nv | Werkwijze voor het vervaardigen van een inrichting. |
JP2670623B2 (ja) * | 1988-09-19 | 1997-10-29 | アネルバ株式会社 | マイクロ波プラズマ処理装置 |
US4952273A (en) * | 1988-09-21 | 1990-08-28 | Microscience, Inc. | Plasma generation in electron cyclotron resonance |
US4996077A (en) * | 1988-10-07 | 1991-02-26 | Texas Instruments Incorporated | Distributed ECR remote plasma processing and apparatus |
US4891329A (en) | 1988-11-29 | 1990-01-02 | University Of North Carolina | Method of forming a nonsilicon semiconductor on insulator structure |
US5202095A (en) * | 1988-12-27 | 1993-04-13 | Matsushita Electric Industrial Co., Ltd. | Microwave plasma processor |
DE19538634C2 (de) | 1995-10-17 | 1997-09-04 | Itt Ind Gmbh Deutsche | Verfahren zum Vereinzeln von elektronischen Elementen aus einem Halbleiterwafer |
EP0379828B1 (en) | 1989-01-25 | 1995-09-27 | International Business Machines Corporation | Radio frequency induction/multipole plasma processing tool |
GB8905075D0 (en) | 1989-03-06 | 1989-04-19 | Nordiko Ltd | Electrode assembly and apparatus |
US5370765A (en) * | 1989-03-09 | 1994-12-06 | Applied Microwave Plasma Concepts, Inc. | Electron cyclotron resonance plasma source and method of operation |
US5203960A (en) * | 1989-03-09 | 1993-04-20 | Applied Microwave Plasma Concepts, Inc. | Method of operation of electron cyclotron resonance plasma source |
US5133826A (en) * | 1989-03-09 | 1992-07-28 | Applied Microwave Plasma Concepts, Inc. | Electron cyclotron resonance plasma source |
US5196355A (en) * | 1989-04-24 | 1993-03-23 | Ibis Technology Corporation | Simox materials through energy variation |
US4948458A (en) * | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5387555A (en) | 1992-09-03 | 1995-02-07 | Harris Corporation | Bonded wafer processing with metal silicidation |
US5034343A (en) * | 1990-03-08 | 1991-07-23 | Harris Corporation | Manufacturing ultra-thin wafer using a handle wafer |
US5070040A (en) * | 1990-03-09 | 1991-12-03 | University Of Colorado Foundation, Inc. | Method and apparatus for semiconductor circuit chip cooling |
US5141878A (en) | 1990-04-02 | 1992-08-25 | At&T Bell Laboratories | Silicon photodiode for monolithic integrated circuits and method for making same |
DE4114108C1 (ko) * | 1991-04-30 | 1991-12-19 | Schott Glaswerke, 6500 Mainz, De | |
US5444577A (en) | 1990-05-29 | 1995-08-22 | Hughes Aircraft Company | Impurity band optical filter |
JPH0810634B2 (ja) | 1990-06-01 | 1996-01-31 | インターナショナル・ビジネス・マシーンズ・コーポレイション | マイクロ波給電式材料/プラズマ処理システム |
JP2575545B2 (ja) | 1990-07-05 | 1997-01-29 | 株式会社東芝 | 半導体装置の製造方法 |
US5198371A (en) * | 1990-09-24 | 1993-03-30 | Biota Corp. | Method of making silicon material with enhanced surface mobility by hydrogen ion implantation |
US5102821A (en) | 1990-12-20 | 1992-04-07 | Texas Instruments Incorporated | SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium |
US5206749A (en) | 1990-12-31 | 1993-04-27 | Kopin Corporation | Liquid crystal display having essentially single crystal transistors pixels and driving circuits |
US5256562A (en) | 1990-12-31 | 1993-10-26 | Kopin Corporation | Method for manufacturing a semiconductor device using a circuit transfer film |
US5528397A (en) | 1991-12-03 | 1996-06-18 | Kopin Corporation | Single crystal silicon transistors for display panels |
US5258325A (en) | 1990-12-31 | 1993-11-02 | Kopin Corporation | Method for manufacturing a semiconductor device using a circuit transfer film |
US5475514A (en) | 1990-12-31 | 1995-12-12 | Kopin Corporation | Transferred single crystal arrayed devices including a light shield for projection displays |
US5258320A (en) | 1990-12-31 | 1993-11-02 | Kopin Corporation | Single crystal silicon arrayed devices for display panels |
US5317436A (en) | 1990-12-31 | 1994-05-31 | Kopin Corporation | A slide assembly for projector with active matrix moveably mounted to housing |
US5444557A (en) | 1990-12-31 | 1995-08-22 | Kopin Corporation | Single crystal silicon arrayed devices for projection displays |
US5362671A (en) | 1990-12-31 | 1994-11-08 | Kopin Corporation | Method of fabricating single crystal silicon arrayed devices for display panels |
DE4100526A1 (de) * | 1991-01-10 | 1992-07-16 | Wacker Chemitronic | Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben |
IL100910A (en) * | 1991-02-12 | 1994-10-07 | Hughes Aircraft Co | Assessment of the degree of wear of objects |
JPH04266047A (ja) | 1991-02-20 | 1992-09-22 | Fujitsu Ltd | 埋め込み層形成に相当するsoi型半導体装置の製造方法及び半導体装置 |
JP2812405B2 (ja) | 1991-03-15 | 1998-10-22 | 信越半導体株式会社 | 半導体基板の製造方法 |
US5110748A (en) * | 1991-03-28 | 1992-05-05 | Honeywell Inc. | Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display |
US5222332A (en) * | 1991-04-10 | 1993-06-29 | Mains Jr Gilbert L | Method for material removal |
JPH0817166B2 (ja) | 1991-04-27 | 1996-02-21 | 信越半導体株式会社 | 超薄膜soi基板の製造方法及び製造装置 |
JPH04359518A (ja) * | 1991-06-06 | 1992-12-11 | Nec Corp | 半導体装置の製造方法 |
JPH04365377A (ja) * | 1991-06-13 | 1992-12-17 | Agency Of Ind Science & Technol | 半導体装置 |
JPH05308107A (ja) * | 1991-07-01 | 1993-11-19 | Sumitomo Electric Ind Ltd | 半導体装置及びその製作方法 |
JP3132055B2 (ja) | 1991-07-15 | 2001-02-05 | ソニー株式会社 | 画像処理装置および画像処理方法 |
KR0156011B1 (ko) * | 1991-08-12 | 1998-12-01 | 이노우에 아키라 | 플라즈마 처리장치 및 방법 |
FR2681472B1 (fr) * | 1991-09-18 | 1993-10-29 | Commissariat Energie Atomique | Procede de fabrication de films minces de materiau semiconducteur. |
US5234529A (en) * | 1991-10-10 | 1993-08-10 | Johnson Wayne L | Plasma generating apparatus employing capacitive shielding and process for using such apparatus |
JP2726583B2 (ja) * | 1991-11-18 | 1998-03-11 | 三菱マテリアルシリコン株式会社 | 半導体基板 |
US5198071A (en) * | 1991-11-25 | 1993-03-30 | Applied Materials, Inc. | Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer |
US5827751A (en) | 1991-12-06 | 1998-10-27 | Picogiga Societe Anonyme | Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically |
JP2901031B2 (ja) | 1992-01-30 | 1999-06-02 | キヤノン株式会社 | 半導体基材及びその作製方法 |
JP2910001B2 (ja) | 1992-01-30 | 1999-06-23 | キヤノン株式会社 | 半導体基材及びその作製方法 |
EP1251556B1 (en) | 1992-01-30 | 2010-03-24 | Canon Kabushiki Kaisha | Process for producing semiconductor substrate |
JP3261685B2 (ja) | 1992-01-31 | 2002-03-04 | キヤノン株式会社 | 半導体素子基体及びその作製方法 |
US5372952A (en) * | 1992-04-03 | 1994-12-13 | National Semiconductor Corporation | Method for forming isolated semiconductor structures |
US5269880A (en) * | 1992-04-03 | 1993-12-14 | Northern Telecom Limited | Tapering sidewalls of via holes |
US5213986A (en) | 1992-04-10 | 1993-05-25 | North American Philips Corporation | Process for making thin film silicon-on-insulator wafers employing wafer bonding and wafer thinning |
CH682969B5 (fr) | 1992-05-14 | 1994-06-30 | Ebauchesfabrik Eta Ag | Pièce d'horlogerie apte à recevoir des messages radiodiffuses. |
US5226967A (en) | 1992-05-14 | 1993-07-13 | Lam Research Corporation | Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber |
US5363603A (en) * | 1992-06-22 | 1994-11-15 | Alliant Techsystems, Inc. | Abrasive fluid jet cutting compositon and method |
US5273610A (en) * | 1992-06-23 | 1993-12-28 | Association Institutions For Material Sciences, Inc. | Apparatus and method for determining power in plasma processing |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP2560178B2 (ja) * | 1992-06-29 | 1996-12-04 | 九州電子金属株式会社 | 半導体ウェーハの製造方法 |
US5404079A (en) * | 1992-08-13 | 1995-04-04 | Matsushita Electric Industrial Co., Ltd. | Plasma generating apparatus |
US5304509A (en) * | 1992-08-24 | 1994-04-19 | Midwest Research Institute | Back-side hydrogenation technique for defect passivation in silicon solar cells |
JP3192000B2 (ja) * | 1992-08-25 | 2001-07-23 | キヤノン株式会社 | 半導体基板及びその作製方法 |
JP3266163B2 (ja) * | 1992-10-14 | 2002-03-18 | 東京応化工業株式会社 | プラズマ処理装置 |
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
JPH06232141A (ja) * | 1992-12-07 | 1994-08-19 | Sony Corp | 半導体基板の作成方法及び固体撮像装置の製造方法 |
US5234535A (en) * | 1992-12-10 | 1993-08-10 | International Business Machines Corporation | Method of producing a thin silicon-on-insulator layer |
US5409563A (en) * | 1993-02-26 | 1995-04-25 | Micron Technology, Inc. | Method for etching high aspect ratio features |
US5487785A (en) * | 1993-03-26 | 1996-01-30 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
US5354381A (en) * | 1993-05-07 | 1994-10-11 | Varian Associates, Inc. | Plasma immersion ion implantation (PI3) apparatus |
JP2856030B2 (ja) | 1993-06-29 | 1999-02-10 | 信越半導体株式会社 | 結合ウエーハの製造方法 |
US5413679A (en) | 1993-06-30 | 1995-05-09 | The United States Of America As Represented By The Secretary Of The Navy | Method of producing a silicon membrane using a silicon alloy etch stop layer |
US5344524A (en) | 1993-06-30 | 1994-09-06 | Honeywell Inc. | SOI substrate fabrication |
FR2707401B1 (fr) | 1993-07-09 | 1995-08-11 | Menigaux Louis | Procédé de fabrication d'une structure intégrant un guide optique clivé à un support de fibre optique pour un couplage optique guide-fibre et structure obtenue. |
JP2786081B2 (ja) | 1993-07-27 | 1998-08-13 | 日本電気株式会社 | Soi基板 |
JP3319661B2 (ja) * | 1993-10-12 | 2002-09-03 | 日本写真印刷株式会社 | 転写箔および多色の光透過抜きパターンを有するプラスチック成形品の製造方法 |
US5443431A (en) * | 1993-10-13 | 1995-08-22 | Zexel-Gleason Usa, Inc. | Differential with friction-enhancing wedge |
US5840590A (en) | 1993-12-01 | 1998-11-24 | Sandia Corporation | Impurity gettering in silicon using cavities formed by helium implantation and annealing |
US5459016A (en) | 1993-12-16 | 1995-10-17 | Minnesota Mining And Manufacturing Company | Nanostructured thermal transfer donor element |
FR2714524B1 (fr) | 1993-12-23 | 1996-01-26 | Commissariat Energie Atomique | Procede de realisation d'une structure en relief sur un support en materiau semiconducteur |
US5403434A (en) * | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5476691A (en) * | 1994-01-21 | 1995-12-19 | International Business Machines, Inc. | Surface treatment of magnetic recording heads |
FR2715502B1 (fr) | 1994-01-26 | 1996-04-05 | Commissariat Energie Atomique | Structure présentant des cavités et procédé de réalisation d'une telle structure. |
FR2715503B1 (fr) | 1994-01-26 | 1996-04-05 | Commissariat Energie Atomique | Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation. |
FR2715501B1 (fr) | 1994-01-26 | 1996-04-05 | Commissariat Energie Atomique | Procédé de dépôt de lames semiconductrices sur un support. |
JP3352340B2 (ja) | 1995-10-06 | 2002-12-03 | キヤノン株式会社 | 半導体基体とその製造方法 |
JP3293736B2 (ja) * | 1996-02-28 | 2002-06-17 | キヤノン株式会社 | 半導体基板の作製方法および貼り合わせ基体 |
US5558718A (en) * | 1994-04-08 | 1996-09-24 | The Regents, University Of California | Pulsed source ion implantation apparatus and method |
US5480842A (en) | 1994-04-11 | 1996-01-02 | At&T Corp. | Method for fabricating thin, strong, and flexible die for smart cards |
FR2720189B1 (fr) * | 1994-05-18 | 1996-08-30 | Commissariat Energie Atomique | Procédé de réalisation d'une structure à faible taux de dislocations comprenant une couche d'oxyde enterrée dans un substrat semi-conducteur. |
US5411592A (en) * | 1994-06-06 | 1995-05-02 | Ovonic Battery Company, Inc. | Apparatus for deposition of thin-film, solid state batteries |
JP3397903B2 (ja) * | 1994-08-23 | 2003-04-21 | 新日本製鐵株式会社 | 不揮発性半導体記憶装置の製造方法 |
FR2725074B1 (fr) | 1994-09-22 | 1996-12-20 | Commissariat Energie Atomique | Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat |
JP3265493B2 (ja) | 1994-11-24 | 2002-03-11 | ソニー株式会社 | Soi基板の製造方法 |
AUPM982294A0 (en) | 1994-12-02 | 1995-01-05 | Pacific Solar Pty Limited | Method of manufacturing a multilayer solar cell |
US5504328A (en) * | 1994-12-09 | 1996-04-02 | Sematech, Inc. | Endpoint detection utilizing ultraviolet mass spectrometry |
US5611855A (en) * | 1995-01-31 | 1997-03-18 | Seh America, Inc. | Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth |
JP3381443B2 (ja) * | 1995-02-02 | 2003-02-24 | ソニー株式会社 | 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法 |
US6107213A (en) | 1996-02-01 | 2000-08-22 | Sony Corporation | Method for making thin film semiconductor |
CA2172233C (en) | 1995-03-20 | 2001-01-02 | Lei Zhong | Slant-surface silicon wafer having a reconstructed atomic-level stepped surface structure |
TW355845B (en) | 1995-03-27 | 1999-04-11 | Semiconductor Energy Lab Co Ltd | Semiconductor device and a method of manufacturing the same |
JPH08271880A (ja) | 1995-04-03 | 1996-10-18 | Toshiba Corp | 遮光膜,液晶表示装置および遮光膜形成用材料 |
US5603779A (en) | 1995-05-17 | 1997-02-18 | Harris Corporation | Bonded wafer and method of fabrication thereof |
JP3265156B2 (ja) | 1995-06-23 | 2002-03-11 | 新日本製鐵株式会社 | 取鍋内溶鋼の昇熱方法 |
US5653811A (en) * | 1995-07-19 | 1997-08-05 | Chan; Chung | System for the plasma treatment of large area substrates |
JP3387741B2 (ja) * | 1995-07-19 | 2003-03-17 | キヤノン株式会社 | 半導体素子用保護材、該保護材を有する半導体素子、該素子を有する半導体装置 |
US5863831A (en) | 1995-08-14 | 1999-01-26 | Advanced Materials Engineering Research, Inc. | Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility |
JP2701803B2 (ja) | 1995-08-28 | 1998-01-21 | 日本電気株式会社 | 半導体装置の製造方法 |
FR2738671B1 (fr) | 1995-09-13 | 1997-10-10 | Commissariat Energie Atomique | Procede de fabrication de films minces a materiau semiconducteur |
KR0165467B1 (ko) | 1995-10-31 | 1999-02-01 | 김광호 | 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법 |
US5869405A (en) * | 1996-01-03 | 1999-02-09 | Micron Technology, Inc. | In situ rapid thermal etch and rapid thermal oxidation |
US6004868A (en) | 1996-01-17 | 1999-12-21 | Micron Technology, Inc. | Method for CMOS well drive in a non-inert ambient |
JPH09263500A (ja) * | 1996-01-22 | 1997-10-07 | Komatsu Electron Metals Co Ltd | 貼り合わせsoiウェーハの剥がし治具 |
FR2744285B1 (fr) * | 1996-01-25 | 1998-03-06 | Commissariat Energie Atomique | Procede de transfert d'une couche mince d'un substrat initial sur un substrat final |
US5985687A (en) * | 1996-04-12 | 1999-11-16 | The Regents Of The University Of California | Method for making cleaved facets for lasers fabricated with gallium nitride and other noncubic materials |
FR2748850B1 (fr) | 1996-05-15 | 1998-07-24 | Commissariat Energie Atomique | Procede de realisation d'un film mince de materiau solide et applications de ce procede |
FR2748851B1 (fr) | 1996-05-15 | 1998-08-07 | Commissariat Energie Atomique | Procede de realisation d'une couche mince de materiau semiconducteur |
US5793913A (en) | 1996-07-10 | 1998-08-11 | Northern Telecom Limited | Method for the hybrid integration of discrete elements on a semiconductor substrate |
US5710057A (en) * | 1996-07-12 | 1998-01-20 | Kenney; Donald M. | SOI fabrication method |
FR2752332B1 (fr) | 1996-08-12 | 1998-09-11 | Commissariat Energie Atomique | Dispositif de decollement de plaquettes et procede de mise en oeuvre de ce dispositif |
EP1744365B1 (en) * | 1996-08-27 | 2009-04-15 | Seiko Epson Corporation | Exfoliating method and transferring method of thin film device |
US5753560A (en) | 1996-10-31 | 1998-05-19 | Motorola, Inc. | Method for fabricating a semiconductor device using lateral gettering |
SG65697A1 (en) * | 1996-11-15 | 1999-06-22 | Canon Kk | Process for producing semiconductor article |
KR100232886B1 (ko) | 1996-11-23 | 1999-12-01 | 김영환 | Soi 웨이퍼 제조방법 |
US5841931A (en) | 1996-11-26 | 1998-11-24 | Massachusetts Institute Of Technology | Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby |
CA2233096C (en) | 1997-03-26 | 2003-01-07 | Canon Kabushiki Kaisha | Substrate and production method thereof |
US6382292B1 (en) | 1997-03-27 | 2002-05-07 | Canon Kabushiki Kaisha | Method and apparatus for separating composite member using fluid |
US6143628A (en) | 1997-03-27 | 2000-11-07 | Canon Kabushiki Kaisha | Semiconductor substrate and method of manufacturing the same |
FR2761523B1 (fr) | 1997-03-28 | 1999-06-04 | Pixtech Sa | Pose d'espaceurs dans un ecran plat de visualisation |
JPH10275905A (ja) | 1997-03-31 | 1998-10-13 | Mitsubishi Electric Corp | シリコンウェーハの製造方法およびシリコンウェーハ |
US6191007B1 (en) | 1997-04-28 | 2001-02-20 | Denso Corporation | Method for manufacturing a semiconductor substrate |
US5906951A (en) | 1997-04-30 | 1999-05-25 | International Business Machines Corporation | Strained Si/SiGe layers on insulator |
US6251754B1 (en) | 1997-05-09 | 2001-06-26 | Denso Corporation | Semiconductor substrate manufacturing method |
US6155909A (en) * | 1997-05-12 | 2000-12-05 | Silicon Genesis Corporation | Controlled cleavage system using pressurized fluid |
US6033974A (en) | 1997-05-12 | 2000-03-07 | Silicon Genesis Corporation | Method for controlled cleaving process |
US6027988A (en) * | 1997-05-28 | 2000-02-22 | The Regents Of The University Of California | Method of separating films from bulk substrates by plasma immersion ion implantation |
US5877070A (en) | 1997-05-31 | 1999-03-02 | Max-Planck Society | Method for the transfer of thin layers of monocrystalline material to a desirable substrate |
US6150239A (en) | 1997-05-31 | 2000-11-21 | Max Planck Society | Method for the transfer of thin layers monocrystalline material onto a desirable substrate |
US5968279A (en) | 1997-06-13 | 1999-10-19 | Mattson Technology, Inc. | Method of cleaning wafer substrates |
DE69827824T3 (de) | 1997-06-24 | 2009-09-03 | Massachusetts Institute Of Technology, Cambridge | Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung |
JP3292101B2 (ja) | 1997-07-18 | 2002-06-17 | 信越半導体株式会社 | 珪素単結晶基板表面の平滑化方法 |
US6103599A (en) | 1997-07-25 | 2000-08-15 | Silicon Genesis Corporation | Planarizing technique for multilayered substrates |
US5882987A (en) | 1997-08-26 | 1999-03-16 | International Business Machines Corporation | Smart-cut process for the production of thin semiconductor material films |
JP3324469B2 (ja) | 1997-09-26 | 2002-09-17 | 信越半導体株式会社 | Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ |
US5920764A (en) | 1997-09-30 | 1999-07-06 | International Business Machines Corporation | Process for restoring rejected wafers in line for reuse as new |
JP3109731B2 (ja) | 1997-12-04 | 2000-11-20 | 株式会社遠藤製作所 | ゴルフクラブ |
FR2773261B1 (fr) | 1997-12-30 | 2000-01-28 | Commissariat Energie Atomique | Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions |
JPH11195775A (ja) | 1997-12-26 | 1999-07-21 | Sony Corp | 半導体基板および薄膜半導体素子およびそれらの製造方法ならびに陽極化成装置 |
US6171982B1 (en) * | 1997-12-26 | 2001-01-09 | Canon Kabushiki Kaisha | Method and apparatus for heat-treating an SOI substrate and method of preparing an SOI substrate by using the same |
JPH11204771A (ja) * | 1998-01-07 | 1999-07-30 | Sony Corp | 半導体基板の製造方法及び固体撮像装置の製造方法 |
US6274464B2 (en) | 1998-02-06 | 2001-08-14 | Texas Instruments Incorporated | Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects |
US6120597A (en) | 1998-02-17 | 2000-09-19 | The Trustees Of Columbia University In The City Of New York | Crystal ion-slicing of single-crystal films |
US6083324A (en) | 1998-02-19 | 2000-07-04 | Silicon Genesis Corporation | Gettering technique for silicon-on-insulator wafers |
US5909627A (en) | 1998-05-18 | 1999-06-01 | Philips Electronics North America Corporation | Process for production of thin layers of semiconductor material |
US6190988B1 (en) | 1998-05-28 | 2001-02-20 | International Business Machines Corporation | Method for a controlled bottle trench for a dram storage node |
US6291326B1 (en) | 1998-06-23 | 2001-09-18 | Silicon Genesis Corporation | Pre-semiconductor process implant and post-process film separation |
JP3358550B2 (ja) | 1998-07-07 | 2002-12-24 | 信越半導体株式会社 | Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ |
EP0984483B1 (en) * | 1998-09-04 | 2006-04-05 | Canon Kabushiki Kaisha | Semiconductor substrate and method for producing the same |
JP4298023B2 (ja) | 1998-10-28 | 2009-07-15 | フィリップス ルミレッズ ライティング カンパニー リミテッド ライアビリティ カンパニー | 窒化物半導体多層堆積基板および窒化物半導体多層堆積基板の形成方法 |
US6534381B2 (en) * | 1999-01-08 | 2003-03-18 | Silicon Genesis Corporation | Method for fabricating multi-layered substrates |
US6204151B1 (en) * | 1999-04-21 | 2001-03-20 | Silicon Genesis Corporation | Smoothing method for cleaved films made using thermal treatment |
US6287941B1 (en) | 1999-04-21 | 2001-09-11 | Silicon Genesis Corporation | Surface finishing of SOI substrates using an EPI process |
US6171965B1 (en) | 1999-04-21 | 2001-01-09 | Silicon Genesis Corporation | Treatment method of cleaved film for the manufacture of substrates |
US6452091B1 (en) | 1999-07-14 | 2002-09-17 | Canon Kabushiki Kaisha | Method of producing thin-film single-crystal device, solar cell module and method of producing the same |
US6535883B1 (en) * | 1999-08-04 | 2003-03-18 | Mdsi Software Srl | System and method for creating validation rules used to confirm input data |
US6263941B1 (en) | 1999-08-10 | 2001-07-24 | Silicon Genesis Corporation | Nozzle for cleaving substrates |
US6489241B1 (en) | 1999-09-17 | 2002-12-03 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
US6455397B1 (en) | 1999-11-16 | 2002-09-24 | Rona E. Belford | Method of producing strained microelectronic and/or optical integrated and discrete devices |
EP1249036A1 (en) * | 2000-01-20 | 2002-10-16 | Amberwave Systems Corporation | Low threading dislocation density relaxed mismatched epilayers without high temperature growth |
FR2804247B1 (fr) | 2000-01-21 | 2002-04-12 | St Microelectronics Sa | Procede de realisation d'un transistor bipolaire a emetteur et base extrinseque auto-alignes |
FR2807074B1 (fr) | 2000-04-03 | 2002-12-06 | Soitec Silicon On Insulator | Procede et dispositif de fabrication de substrats |
US6376806B2 (en) * | 2000-05-09 | 2002-04-23 | Woo Sik Yoo | Flash anneal |
US7657887B2 (en) * | 2000-05-17 | 2010-02-02 | Interwoven, Inc. | System for transactionally deploying content across multiple machines |
FR2809867B1 (fr) * | 2000-05-30 | 2003-10-24 | Commissariat Energie Atomique | Substrat fragilise et procede de fabrication d'un tel substrat |
US7155667B1 (en) * | 2000-06-21 | 2006-12-26 | Microsoft Corporation | User interface for integrated spreadsheets and word processing tables |
AU2002226948A1 (en) * | 2000-11-20 | 2002-06-03 | Flexiworld Technologies, Inc. | Tobile and pervasive output components |
US6563152B2 (en) | 2000-12-29 | 2003-05-13 | Intel Corporation | Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel |
US6723661B2 (en) * | 2001-03-02 | 2004-04-20 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6743495B2 (en) | 2001-03-30 | 2004-06-01 | Memc Electronic Materials, Inc. | Thermal annealing process for producing silicon wafers with improved surface characteristics |
CA2482258A1 (en) * | 2001-04-17 | 2002-10-24 | California Institute Of Technology | A method of using a germanium layer transfer to si for photovoltaic applications and heterostructure made thereby |
US6956268B2 (en) | 2001-05-18 | 2005-10-18 | Reveo, Inc. | MEMS and method of manufacturing MEMS |
US6514836B2 (en) * | 2001-06-04 | 2003-02-04 | Rona Elizabeth Belford | Methods of producing strained microelectronic and/or optical integrated and discrete devices |
US6723421B2 (en) | 2001-10-05 | 2004-04-20 | Energy Conversion Devices, Inc. | Semiconductor with coordinatively irregular structures |
US6621131B2 (en) | 2001-11-01 | 2003-09-16 | Intel Corporation | Semiconductor transistor having a stressed channel |
US7281206B2 (en) * | 2001-11-16 | 2007-10-09 | Timebase Pty Limited | Maintenance of a markup language document in a database |
US7226504B2 (en) | 2002-01-31 | 2007-06-05 | Sharp Laboratories Of America, Inc. | Method to form thick relaxed SiGe layer with trench structure |
US7335545B2 (en) * | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US7373595B2 (en) * | 2002-06-27 | 2008-05-13 | Microsoft Corporation | System and method for validating an XML document and reporting schema violations |
FR2842650B1 (fr) * | 2002-07-17 | 2005-09-02 | Soitec Silicon On Insulator | Procede de fabrication de substrats notamment pour l'optique, l'electronique ou l'opto-electronique |
JP4263023B2 (ja) * | 2003-06-02 | 2009-05-13 | パナソニック株式会社 | 2線式データ通信方法、システム、コントロール装置およびデータ記憶装置 |
US6911376B2 (en) * | 2003-10-01 | 2005-06-28 | Wafermasters | Selective heating using flash anneal |
JP4246594B2 (ja) | 2003-10-10 | 2009-04-02 | 株式会社日立製作所 | 炉心流量測定装置 |
US7354815B2 (en) * | 2003-11-18 | 2008-04-08 | Silicon Genesis Corporation | Method for fabricating semiconductor devices using strained silicon bearing material |
US20050189013A1 (en) | 2003-12-23 | 2005-09-01 | Oliver Hartley | Process for manufacturing photovoltaic cells |
KR101368748B1 (ko) * | 2004-06-04 | 2014-03-05 | 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 | 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치 |
US7747940B2 (en) * | 2004-07-30 | 2010-06-29 | Barclays Capital Inc. | System and method for data collection and processing |
EP1643402A3 (en) * | 2004-09-30 | 2007-01-10 | Sap Ag | Long-term authenticity proof of electronic documents |
US7673228B2 (en) * | 2005-03-30 | 2010-03-02 | Microsoft Corporation | Data-driven actions for network forms |
-
1998
- 1998-02-19 US US09/026,115 patent/US6155909A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,015 patent/US5985742A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,034 patent/US6013563A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,035 patent/US6010579A/en not_active Expired - Lifetime
- 1998-02-19 US US09/025,967 patent/US6159824A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,027 patent/US5994207A/en not_active Expired - Lifetime
- 1998-02-19 US US09/025,966 patent/US6048411A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,118 patent/US6162705A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,032 patent/US6146979A/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,116 patent/US6245161B1/en not_active Expired - Lifetime
- 1998-02-19 US US09/026,113 patent/US6159825A/en not_active Expired - Lifetime
- 1998-02-20 US US09/026,793 patent/US6290804B1/en not_active Expired - Lifetime
- 1998-05-11 KR KR1019997010462A patent/KR20010012507A/ko not_active Application Discontinuation
-
1999
- 1999-04-28 US US09/301,082 patent/US6391740B1/en not_active Expired - Lifetime
- 1999-05-05 US US09/305,824 patent/US6013567A/en not_active Expired - Lifetime
- 1999-05-06 US US09/306,692 patent/US6511899B1/en not_active Expired - Lifetime
- 1999-05-21 US US09/316,739 patent/US6187110B1/en not_active Expired - Lifetime
- 1999-05-21 US US09/316,493 patent/US6528391B1/en not_active Expired - Lifetime
- 1999-08-24 US US09/379,996 patent/US6294814B1/en not_active Expired - Lifetime
-
2000
- 2000-01-13 US US09/483,393 patent/US6632724B2/en not_active Expired - Lifetime
- 2000-02-29 US US09/515,253 patent/US6558802B1/en not_active Expired - Lifetime
- 2000-09-15 US US09/663,043 patent/US6335264B1/en not_active Expired - Lifetime
- 2000-11-02 US US09/705,347 patent/US6458672B1/en not_active Expired - Lifetime
-
2001
- 2001-06-07 US US09/878,152 patent/US20020056519A1/en not_active Abandoned
- 2001-12-13 US US10/017,044 patent/US20020055266A1/en not_active Abandoned
-
2002
- 2002-03-04 US US10/090,704 patent/US20020081823A1/en not_active Abandoned
-
2003
- 2003-08-19 US US10/644,644 patent/US7160790B2/en not_active Expired - Fee Related
-
2005
- 2005-11-16 US US11/281,042 patent/US7371660B2/en not_active Expired - Fee Related
-
2007
- 2007-01-26 US US11/627,920 patent/US7410887B2/en not_active Expired - Fee Related
- 2007-01-26 US US11/627,924 patent/US7759217B2/en not_active Expired - Fee Related
-
2008
- 2008-03-31 US US12/080,114 patent/US7781305B2/en not_active Expired - Fee Related
- 2008-07-10 US US12/218,149 patent/US7846818B2/en not_active Expired - Fee Related
-
2010
- 2010-05-27 US US12/789,361 patent/US8012852B2/en not_active Expired - Fee Related
-
2011
- 2011-08-10 US US13/207,304 patent/US20110294306A1/en not_active Abandoned
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20010012507A (ko) | 제어된 분할 방법 | |
US6582999B2 (en) | Controlled cleavage process using pressurized fluid | |
US6291313B1 (en) | Method and device for controlled cleaving process | |
US6291314B1 (en) | Controlled cleavage process and device for patterned films using a release layer | |
US6248649B1 (en) | Controlled cleavage process and device for patterned films using patterned implants | |
WO1998052216A1 (en) | A controlled cleavage process | |
US7776717B2 (en) | Controlled process and resulting device | |
US9159605B2 (en) | Controlled process and resulting device | |
JP2014138189A (ja) | 制御されたプロセス及び結果として生じるデバイス |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid |