JP2013520798A - 電子デバイス及びシステム、並びにその製造方法及び使用方法 - Google Patents

電子デバイス及びシステム、並びにその製造方法及び使用方法 Download PDF

Info

Publication number
JP2013520798A
JP2013520798A JP2012554028A JP2012554028A JP2013520798A JP 2013520798 A JP2013520798 A JP 2013520798A JP 2012554028 A JP2012554028 A JP 2012554028A JP 2012554028 A JP2012554028 A JP 2012554028A JP 2013520798 A JP2013520798 A JP 2013520798A
Authority
JP
Japan
Prior art keywords
region
channel
transistor
gate
threshold voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012554028A
Other languages
English (en)
Other versions
JP5838172B2 (ja
Inventor
イー トンプソン,スコット
アール サムマラパリー,ダモダー
Original Assignee
スボルタ,インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by スボルタ,インコーポレーテッド filed Critical スボルタ,インコーポレーテッド
Publication of JP2013520798A publication Critical patent/JP2013520798A/ja
Application granted granted Critical
Publication of JP5838172B2 publication Critical patent/JP5838172B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Abstract

幅広い電子デバイスのアレイ及びシステムにおける電力消費を低減する一式の新たな構造及び方法が提供される。これらの構造及び方法の一部は、大部分が、既存のバルクCMOSのプロセスフロー及び製造技術を再利用することで実現され、半導体産業及びより広いエレクトロニクス産業がコスト及びリスクを伴って代替技術へ切り替わることを回避可能にする。これらの構造及び方法の一部は、深空乏化チャネル(DDC)設計に関係し、CMOSベースのデバイスが従来のバルクCMOSと比較して低減されたσVTを有することと、チャネル領域にドーパントを有するFETの閾値電圧VTがより正確に設定されることとを可能にする。DDC設計はまた、従来のバルクCMOSトランジスタと比較して強いボディ効果を有することができ、それにより、DDCトランジスタにおける電力消費の有意義な動的制御が可能になる。様々な効果を達成するようDDCを構成する手法が数多く存在し得るとともに、ここに提示される更なる構造及び方法は、更なる利益を生み出すように単独あるいはDDCとともに使用され得る。

Description

本発明は、電子デバイス及びシステム、並びにその製造方法及び使用方法に関する。
電子デバイスは、かつてなかったほどに、日常生活に欠くことのできない部分になっている。例えばパーソナルコンピュータ及び携帯電話などのシステムは、我々がどのように働き、遊び、そして通信するかに応じて、根本的に形を変えてきた。年を追うごとに、例えばデジタル音楽プレイヤー、電子書籍(eブック)リーダー及びタブレットなどの新たな装置の導入と、既存の製品ファミリーに対する改良とがもたらされている。これらの新たな装置は、我々がどのように生活を送るかに応じて変化し続けるますますのイノベーションを示すものである。
世界経済及び現代文化に対する電子システムの高まる重要性は、これまで、かなりの部分が、半導体産業がムーアの法則を堅持することによって実現されてきた。ムーアの法則は、この現象に最初に気付いたインテル社の創業者であるゴードン・ムーアの名にちなんだものであり、集積回路(又はチップ)上の同一面積内にコストを掛けずに製造可能なトランジスタの数が時間とともに着実に増加することを提示している。一部の産業専門家がこの法則を定量化し、例えば、同一面積内のトランジスタ数は大まかにいって、およそ2年ごとに2倍になると述べている。ムーアの法則によって提示される機能の増大並びに関連するコスト及びサイズの低減がなければ、今日広く利用可能な数多くの電子システムは実用化されていなかったり、手頃な価格になっていなかったりしたであろう。
しばらくの間、半導体産業は、バルクCMOS技術を用いてチップ内に回路を作製することによってムーアの法則を固守することに成功してきた。バルクCMOS技術は、許容可能な製造コストを維持するために既存の製造プロセス及び設備の最適化及び再利用をしながらバルクCMOSトランジスタをますます小さく製造することができるというわけで、とりわけ“スケーラブル”であることが分かっている。歴史的に、バルクCMOSトランジスタのサイズが縮小されるにつれて、その電力消費が低減され、産業界がムーアの法則を順守しながら低下されたコストで増大されたトランジスタ密度を実現する助けとなってきた。故に、半導体産業は、それらのサイズでもバルクCMOSトランジスタの電力消費をスケーリングし、トランジスタ及びそれらが属するシステムを稼働させるコストを低減することができていた。
しかしながら、近年、バルクCMOSトランジスタの電力消費を、それらのサイズを縮小しながら低減することは、ますます困難になっている。トランジスタの電力消費は直接的にチップの電力消費に影響し、ひいては、システムを稼働させるコスト、そして場合によってシステムの実用性に影響を及ぼす。例えば、トランジスタ当たりの電力消費が同じあるいは増大しながら同一チップ面積内のトランジスタ数が2倍になると、チップの電力消費は2倍より大きくなる。これは、部分的に、得られるチップを冷却する必要があり、それにも更なるエネルギーを必要とするためである。結果として、これは、チップを動作させるエンドユーザに課されるエネルギーコストを2倍より大きくする。このような増大された電力消費はまた、例えば移動式(モバイル)装置の電池寿命を短縮することによって、消費者電子機器の有用性を有意に低下させ得る。例えば熱生成の増大及び熱放散の必要性などのその他の影響もあり、それにより、システムの信頼性を潜在的に低下させ且つ環境に悪影響を及ぼし得る。
半導体エンジニアの間では、トランジスタサイズが縮小するにつれてトランジスタの動作電圧VDDを低下させることはもはやできないことを部分的な理由として、バルクCMOSの電力消費の継続的な低減は実現不可能であるとの認識が広がっている。CMOSトランジスタはオンであるかオフであるかの何れかである。CMOSトランジスタの状態は、トランジスタの閾値電圧Vに対する、トランジスタのゲートに印加される電圧の値によって決定される。トランジスタは、オンにスイッチされるとき、式:Pdynamic=CVDD fによって表され得る動的電力を消費する。
ただし、VDDはトランジスタに供給される動作電圧であり、Cはトランジスタがオンにスイッチされるときのトランジスタの負荷キャパシタンスであり、fはトランジスタが動作される周波数である。トランジスタは、オフにスイッチされるとき、式:Pstatic=IOFFDDによって表され得る静的電力を消費する。ただし、IOFFはトランジスタがオフにスイッチされているときのリーク電流である。歴史的に、産業界は、主に、動的及び静的の双方の電力を低減するものである動作電圧VDDの低減によって、トランジスタの電力消費を低減してきた。
動作電圧VDDを低減することができるかは、閾値電圧Vを正確に設定することができることに部分的に依存するが、それは、トランジスタ寸法が縮小するにつれて、例えばランダムドーパント揺らぎ(Random Dopant Fluctuation;RDF)を含む様々な要因のためにますます困難になっている。バルクCMOSプロセスを用いて製造されるトランジスタでは、閾値電圧Vを設定する主なパラメータはチャネル内のドーパントの量である。Vに影響を及ぼすその他の要因は、ハロー(halo)注入、ソース・ドレインエクステンション及びその他の要因である。理論的には、これは、同一チップ上の同じトランジスタが同じVを有するように精度良く行われ得るが、実際には、閾値電圧は有意に変動し得る。このことが意味するのは、それらのトランジスタが同一のゲート電圧に応答して全てが同時にスイッチせずに、一部がオンにスイッチしないことがあるということである。100nm以下のチャネル長を有するトランジスタでは、RDFは、典型的にシグマV又はσVと称されるVバラつきの主な決定因子であり、RDFによって生じるσVの大きさは、チャネル長が短縮するにつれて増大するのみである。インテル社によって提供される情報、およその実験データ、及びKiyoo Itoh(日立製作所)によるIEEE International Solid-State Circuits Conference 2009でのキーノートプレゼンテーションに基づく図1に示すように、半導体エンジニアの間での従来の知見は、ナノスケールのバルクCMOSにおいて増大するσVは、今後の動作電圧VDDの実際上の下限として1.0Vを設けてしまうというものであった。VDDは右下がりの傾斜関数として示されており、ターゲット領域まで低減するという産業界の目標を有する。しかしながら、デバイス形状(フィーチャ)サイズを短縮するにつれてσVのカーブが増大し、実際にはRDFによってVminが増大される。動的及び静的電力の電力関数は、Power=CVDD f+IVDDである。故に、総電力が増大してしまう。
これら及びその他の理由により、半導体産業のエンジニアは、将来のプロセスノードでは、短チャネルデバイスにおいてσVを抑制する技術が数多く知られているにもかかわらず、バルクCMOSを断念しなければならないと広く信じている。例えば、バルクCMOSにおいてσVを抑制するための従来の一手法は、(ゲートから基板に向かう)縦方向下方に進むにつれてチャネル内のドーパント濃度を増大させる不均一なドーピングプロファイルを実現するように作用させるものである。この種のレトログレード(逆行性)ドーピングプロファイルはドーピングバラつきに対する感度を低下させるが、デバイス動作に悪影響を及ぼす短チャネル効果に対する感度を増大させる。短チャネル効果のため、これらのドーピングパラメータは、ナノスケールデバイスでは一般的にスケーリング可能でなく、この手法を、ナノスケール短チャネルトランジスタとの使用に概して適さないものにする。45nm又は更には22nmのプロセスノードで形成される短チャネルデバイスに向けて技術が移行するにつれ、そのようなデバイスにおける上記レトログレード手法の利益は限られたものになると認識される。
これらの技術的障害を克服しようと尽力する半導体エンジニアはまた、ナノスケール領域へのスケーリングに伴う性能問題を解決するために、超急峻レトログレードウェル(super steep retrograde well;SSRW)を使用することを試みてきた。ナノメートルスケールのデバイスのレトログレードドーピングと同様に、SSRW技術は、特別なドーピングプロファイルを使用し、低濃度ドープされたチャネルの下に高濃度ドープされた層を形成する。SSRWプロファイルは、チャネルドーピングを可能な限り低いレベルまで低減するためにドーピングレベルに非常に急峻な増大を有する点で、レトログレードドーピングとは異なる。そのような急峻なドーパントプロファイルは、短チャネル効果の抑制と、チャネル領域での移動度の増大と、より小さい寄生キャパシタンスとをもたらすことができる。しかしながら、これらのデバイスを大量のナノスケール集積回路用に製造するとき、そのような構造を達成するのは非常に困難である。この困難性は、特に例えばNMOSトランジスタなどのpウェルデバイスにおいて、レトログレードウェル及びSSRWのドーパント種のチャネル領域への外方拡散に部分的に起因する。また、SSRWの使用は、σVを許容できないレベルまで増大させ得るランダムドーパント密度揺らぎに伴う問題を排除するものではない。
既存のバルクCMOS実装の欠点に対処するためのこれら及びその他の試みに加えて、産業界は、チャネル内にドーパントを有しないCMOSトランジスタに重点的に取り組んできた。そのようなトランジスタ構造は、例えば、完全空乏化シリコン・オン・インシュレータ(SOI)及び様々なFINFET、又はオメガゲートデバイスを含む。SOIデバイスは典型的に、埋め込み酸化物(BOX)層として知られるガラス又は二酸化シリコンの薄い絶縁層によってシリコン基板から離隔された薄い頂部シリコン層に画成されたトランジスタ群を有する。FINFETデバイスは、シリコンチャネル内の電界を制御するために複数のゲートを使用する。それらは、シリコンチャネル内に低濃度のドーパントを有することにより、抑制されたσVを有することができる。これは、チャネル内に注入されるドーパント原子の数及び位置における原子レベルの変動を取るに足らないものにする。しかしながら、どちらの種類のデバイスも、バルクCMOSで使用されるウエハー及び関連プロセスより複雑且つ高価なウエハー及び関連プロセスを必要とする。
新たな技術への移行に伴う実質的なコスト及びリスクを考慮し、半導体及び電子システムの製造者は長い間、バルクCMOSの利用を広げる道を探し求めてきた。それらの努力はこれまで成功していない。引き続いてのバルクCMOSにおける電力消費の低減は、半導体産業において、ますます、乗り越えられない問題として見られるようになっている。
電子デバイスにおける電力消費を低減し得る技術が提供される。
一態様によれば、電界効果トランジスタは、ドープされたウェルと、前記ドープされたウェルの上方に位置し、ドレインとソースとの間の導通を制御するゲートと、5×1017原子/cmより低いドーパント濃度を有するアンドープのチャネルであり、前記ドレインと前記ソースとの間且つ前記ゲートの下方に位置するアンドープのチャネルと、前記アンドープのチャネルのドーパント濃度の10倍より高いドーパント濃度を有する遮蔽領域と、前記アンドープのチャネルと前記遮蔽領域との間に位置し、当該電界効果トランジスタの閾値電圧を調整する閾値電圧調整領域とを含む。
デバイスのスケーリングに関する電力限界及びσV限界の傾向の一例を示す図である。 一実施形態に従った深空乏化チャネル(DDC)を有する電界効果トランジスタを示す図である。 一実施形態に従った深空乏化領域を有するチャネルを示す図である。 一実施形態に従った異なるドーピング濃度の3つの領域を有するチャネルの他の一例を示す図である。 一実施形態に従った深空乏化領域を有するチャネルの他の一例を示す図である。 一実施形態に従ったチャネル深さに対するドーパント濃度を示すグラフである。 一実施形態に従ったデバイス深さに対するドーパント濃度の変化を示すグラフである。 一実施形態に従った様々なデバイスからの異なる閾値電圧を電源電圧に対してプロットした統計表示の一例を示す図である。 一実施形態に従った改善されたσVの一例を示す図である。 従来のプロセス及び構造に従って製造されるバルクCMOSトランジスタの一例を示す図である。 図7Aの従来のバルクCMOSデバイスと比較して有意に深い空乏領域を有する一実施形態に従ったDDCトランジスタを示す図である。 図7Aに示した従来のバルクCMOS構造に対応するFETの一例を示す図である。 図7Bに示した新たな深ウェル構造に対応するFETの一例を示す図である。 NMOSデバイスのユニバーサル移動度カーブの一例を示す図である。 DDC構造の閾値電圧とボディバイアスとの間の関係の、均一チャネルに対する比較の一例を示す図である。 DDC構造のボディバイアスに対するσVの、均一チャネルに対する比較を示す図である。 新たなDDC構造のプロファイルと従来のSSRWを有するバルクCMOSのプロファイルとの間の比較の一例を示す図である。 従来のCMOSデバイスの、ここで開示される実施形態に従って構成される構造との比較の一例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローの例を示す図である。 高濃度ドープされたスクリーン領域を有するマルチモードデバイスとボディにボディバイアス電圧を印加する機構との一例を示す図である。 nチャネルDDCデバイスと従来のnチャネルデバイスとの間での、バイアス電圧VBSに対する閾値電圧Vの比較の一例を示す図である。 従来デバイスにおいてデバイスごとの閾値電圧のバラつきがどのように遅延時間の大きな広がりを生じさせるかの一例を示す図である。 一実施形態に従ったDDCデバイスに特有の改善された遅延時間の一例を示す図である。 一実施形態に従ったデバイスの静的V値の組を示すグラフである。 一実施形態に従った個々のボディを有するトランジスタの複数のグループの一例を示す図である。 一実施形態に従ったnチャネル四端子トランジスタの一例を示す図である。 一実施形態に従った浅Pウェル(SPW)を有するnチャネル四端子トランジスタの一例を示す図である。 一実施形態に従ったボディアクセストランジスタを有する動的マルチモードトランジスタの一例を示す図である。 一実施形態に従った部分トレンチアイソレーション(PTI)を有する動的マルチモードトランジスタの他の一例を示す図である。 一実施形態に従ったPTIを有する四端子トランジスタの一例を示す図である。 一実施形態に従ったローカルインターコネクトを有する三端子トランジスタの一例を示す図である。 一実施形態に従ったボディをゲートに接続するPGCを備えた三端子トランジスタの他の一例を示す図である。 一実施形態に従ったゲートエクステンションの下に延在するアクティブ領域内に作成されたボディコンタクトを備えた三端子トランジスタの他の一例を示す図である。 一実施形態に従ったボディコンタクトを備えた三端子トランジスタの他の一例を示す図である。 一実施形態に従ったプログラマブル四/三端子トランジスタの一例を示す図である。 一実施形態に従った四端子トランジスタを用いて動的モード切替を行うことが可能な回路の一例を示す図である。 一実施形態に従った四端子トランジスタを用いた動的モード切替回路の一例を示す図である。 一実施形態に従った動的モード切替可能な回路の一例を示す図である。 図32Aの回路ブロックの断面の一例を示す図である。 一実施形態に従った動的モード切替可能な回路の一例を示す図である。 図33Aの回路ブロックの断面の一例を示す図である。 様々な共用部品を用いて構成された回路の一例を示す図である。 一実施形態に従ったボディアクセスポリを用いるトランジスタ群の一例を示す図である。 一実施形態に従ったボディアクセストランジスタを用いるトランジスタ群の一例を示す図である。 一実施形態に従った別個のタップを備えたボディアクセストランジスタを用いるトランジスタ群の一例を示す図である。 図34Dに対応する断面図の一例を示す図である。 一実施形態に従った混合されたレガシーデバイス及び新たなデバイスを用いるマルチモード切替回路の一例を示す図である。 レガシー手法に基づく他のマルチモード切替回路の一例を示す図である。 一実施形態に従った部分空乏化(PD)SOI技術に基づくマルチモード切替回路の一例を示す図である。 一実施形態に従った6T SRAMセルの一例を示す図である。 図38の6T SRAMのレイアウトの一例を示す図である。 図39のレイアウトの断面図の例を示す図である。 図39に対応する6T SRAMセルの斜視図の一例を示す図である。 図39に対応するウェルの上面図の一例を示す図である。 一実施形態に従った2×2アレイを形成するように敷き詰められた6T SRAMセルの一例を示す図である。 ここに記載される実施形態とともに使用可能なタップセルのレイアウトの一例を示す図である。 図42に対応する断面図の一例を示す図である。 図42のタップセルの上面図の一例を示す図である。 一実施形態に従った2×2SRAMアレイの形成の一例を示す図である。 一実施形態に従ったSPWアイソレーションにタップセルを用いる4×4SRAMアレイの一例を示す図である。 一実施形態に従ったロウごとのVSSの6T SRAM回路の一例を示す図である。 図47に対応するSRAMセルのレイアウトの一例を示す図である。 図48に対応するSRAMレイアウトのSPW及びSNWの一例を示す図である。 一実施形態に従ったロウごとのVSS技術を有する2×2SRAMアレイを示す図である。 一実施形態に従ったロウごとのVSS技術を有する4×4SRAMアレイを示す図である。 図47に対応するSRAMセルのレイアウトの他の一例を示す図である。 図50に対応するSRAMレイアウトのSPW及びSNWの一例を示す図である。 一実施形態に従ったロウごとのVSSを有する2×2SRAMアレイの一例を示す図である。 一実施形態に従ったロウごとのVSSを有する4×4SRAMアレイを示す図である。 ここに記載されるDDCデバイス及び実施形態のシステム応用を例示する図である。 ここに記載されるDDCデバイス及び実施形態のシステム応用を例示する図である。 ここに記載されるDDCデバイス及び実施形態のシステム応用を例示する図である。
電子デバイス及びシステムの幅広いアレイにおいて電力消費を低減するため、一組の新たな構造及び方法が提供される。それらの構造及び方法の一部は、概して既存のバルクCMOSプロセスフロー及び製造技術を再利用することによって実現されることができ、半導体産業及びより広範な電子装置産業がコスト及びリスクを伴って代替技術に切り替わることを回避することを可能にする。
後述するように、構造及び方法の一部は、深く空乏化される深空乏化チャネル(Deeply Depleted Channel;DDC)設計に関する。DDCは、従来のバルクCMOSと比較して低減されたσVを有するCMOSデバイスを可能にするとともに、チャネル領域にドーパントを有するFETの閾値電圧Vを遙かに正確に設定することを可能にし得る。DDC設計はまた、従来のバルクCMOSトランジスタと比較して強いボディ効果を有することができ、それにより、DDCトランジスタの電力消費の大幅な動的制御を可能にし得る。DDCを構成して様々な利益を達成することには数多くの手法が存在し、また、ここで提示される更なる構造及び方法を、単独で、あるいはDDCとともに使用して、更なる利益を生み出すことが可能である。
例えば、改善されたチップ電力消費を提供するためにDDCを利用することが可能な実装例を含め、チップ上にトランジスタを集積するための有利な方法及び構造も提供される。さらに、一部の実施形態におけるトランジスタ及び集積回路は、低熱放散化、信頼性向上、小型化、及び/又は更に好適な製造経済性を含む多様なその他の利益をもたらし得る。静的及び動的の双方で、新たなトランジスタ構造の利点の一部又は全てを増強することには多様な手法が存在する。集積回路レベルでの展開の多くは、ここに記載される新たなトランジスタが存在しない場合にも利点をもたらす。方法及び構造の多くは、例えばチャネル及び/又はボディにドーパントを有するその他の種類のトランジスタを含む、バルクCMOSトランジスタ以外のデバイス種類でも有用であり得る。
一部の実装例において改善されたシステムレベルでの電力消費、向上されたシステム性能、改善されたシステムコスト、向上されたシステム製造性、及び/又は向上されたシステム信頼性を含む利益を提供するように、ここに記載されるイノベーションを例えばエレクトロニクス製品などのシステムに組み入れたり、システム内で使用したりする方法及び構造も提供される。後に例証するように、一部の実施形態において例えばパーソナルコンピュータ、携帯電話、テレビジョン、デジタル音楽プレイヤー、セットトップボックス、ラップトップ型若しくはパームトップ型のコンピューティング装置、電子書籍リーダー、デジタルカメラ、GPSシステム、フラットパネルディスプレイ、可搬式データ記憶装置、及びタブレットなどの家庭用機器、並びに多様なその他電子装置を含む広範な電子システムで本イノベーションが有利に採用され得る。これらの実装例の一部において、トランジスタ及び集積回路は、電子システム全体としての動作、ひいては、商業的な適合性を実質的に高めることができる。一部の実施形態において、ここに記載される革新的なトランジスタ、集積回路、及びそれらを含むシステムはまた、その他の手法より環境に優しい実装形態を可能にし得る。
一実施形態において、従来の短チャネルデバイスと比較して正確に制御された閾値電圧を有する新たな電界効果トランジスタ(FET)構造が提供される。該FET構造はまた、向上された移動度及びその他の重要なトランジスタ特性を有し得る。この構造及びその製造方法は、従来デバイスと比較して低い動作電圧を有するFETトランジスタを可能にし得る。それに加えて、あるいは代えて、そのようなデバイスの閾値電圧を動作中に動的に制御することが可能にされ得る。一部の実装例におけるFETは、集積回路の動作中に動的に調整可能なFETデバイスを有する集積回路を設計する能力を設計者に提供する。集積回路内のFET構造は、一部の実施形態において、名目上同一の構造を有するように設計されることができ、それに加えて、あるいは代えて、異なるバイアス電圧に応答して異なる動作電圧で動作するよう制御、調整あるいはプログラムされることが可能なように設計されることができる。これらの構造は、回路が効率的且つ信頼性ある手法で動作モードを静的に指定し且つ/或いは動的に変更することを可能にし得る。また、一部の実装例において、これらの構造は、或る回路内で、様々な用途に合わせて製造後に設定されることが可能である。
これら及びその他の利益は、設計者、製造者及び消費者の数多くの要求を満たすような、デジタル回路における進展を提供する。これらの利益は、集積回路の引き続いての更なる進展を可能にする新たな構造を有するシステムを提供し、それにより、向上された性能を有する装置及びシステムをもたらし得る。一部の実装例において、バルクCMOSは、更なる期間にわたってムーアの法則のペースを保ち続けることができ、バルクCMOSに基づく回路及びシステムにおける更なるイノベーションは、先端性能の速度を向上させ続けることができる。ここでは、実施形態及び例は、トランジスタ、集積回路、電子システム及び関連方法を参照して説明され、新たな構造及び方法が、エレクトロニクス製品のエンドユーザへのものを含め、様々なレベルの製造プロセス及び商業連鎖で提供する特徴及び利益を強調する。これらの例における構造並びに集積回路及び電子システムを製造する方法に特有の概念の適用は広範囲であることが判明するであろう。従って、理解されるように、発明の精神及び範囲は、これらの実施形態及び例に限定されるものではなく、本願及び同一出願人の関連出願に添付の請求項によってのみ限定されるものである。
90nm未満のゲート長を有するナノスケールの電界効果トランジスタ(FET)が、従来のナノスケールFETデバイスより正確に制御可能な閾値電圧を有するように提供される。更なる利益は、改善されたキャリア移動度、及び低減された、RDFによる閾値変動を含む。一実施形態は、ゲート長の1/2より大きく設定されるゲート下方の深さまで延在する空乏ゾーン又は空乏領域を有するように動作可能なナノスケールFET構造を含む。このFET構造は、ゲート下方のこの空乏ゾーン又は空乏領域にDDCを画成する助けとなる異なるドーピング濃度を有する少なくとも2つの領域を有する。一例において、ゲート近くの第1の領域は、該第1の領域から離隔され且つゲートの下方に或る距離を置いて配置された第2の領域より低いドーパント濃度を有する。これにより、閾値電圧又はそれより大きい電圧がゲートに印加されるときにゲートから生じる電界を終端させることによってDDCを画成するように作用することが可能な第2のドープされたスクリーニング(遮蔽)領域と対を為す、第1の低濃度ドープされたチャネル領域(典型的に、実質的にアンドープのエピタキシャル成長チャネル層)が提供される。深く空乏化される領域は、別称でDDC又は深空乏化ゾーンと呼ぶことができ、トランジスタ構造及び電気的な動作条件に応じて空間的な広がり及び特徴において様々となり得る。これらの構造及び領域の正確な幾何学形状及び配置には数多くの変形例が存在するが、以下では、その一部を詳細に説明する。
これらの構造、及び構造の製造方法は、従来のナノスケールデバイスと比較して低い動作電圧と低い閾値電圧との双方を有するFETデバイスを可能にする。さらに、これらは、そのようなデバイスの閾値電圧が動作中に動的に制御されることを可能にする。最終的に、これらの構造、及び構造の製造方法は、集積回路が動作している間に動的に調整されることが可能なFETデバイスを有する集積回路を設計することを提供する。故に、集積回路内のトランジスタ群は、名目上同じ構造を有するように設計されながら、異なるバイアス電圧に応答して異なる動作電圧で動作するように、あるいは異なるバイアス電圧及び動作電圧に応答して異なる動作モードで動作するように、制御、調整あるいはプログラムされることができる。また、これらは回路内で異なる用途に合わせて製造後に設定され得る。
ここでは、トランジスタを参照して特定の実施形態及び例を説明し、新たな構造及び方法がトランジスタに提供する特徴及び利益を強調する。しかしながら、これらの実施形態における構造及び集積回路の製造方法に特有の概念の適用可能性は、広範囲であり、トランジスタ又はバルクCMOSに限定されない。従って、技術的に理解されるように、発明の精神及び範囲は、これらの実施形態及び例、又は本願及び同一出願人の関連出願に添付の請求項に限定されるものではなく、その他のデジタル回路の状況にも有利に適用され得る。
以下の説明においては、発明が実施され得る好適な手法のうちの一部の数多くの具体的な詳細事項が与えられる。直ちに明らかになるように、本発明はこれらの具体的詳細事項を用いずに実施されることも可能である。また、不必要に詳細にして発明を不明瞭にしないよう、周知の回路、構成要素、アルゴリズム及びプロセスについては詳細には示しておらず、あるいは模式図又はブロック図の形態で図示している。また、大抵の部分に関して、材料、工具、処理タイミング、回路レイアウト及びダイ設計については、当該技術の当業者の理解の範囲内であると考えられるので、発明の完全なる理解を得るためにそのような細部が必要でない限りは省略している。以下の説明及び特許請求の範囲の全体を通して、特定のシステム構成要素を参照するために特定の用語を使用する。同様に、認識されるように、構成要素は異なる名称で呼ばれることもあり、ここでの説明は、名称で異なるが機能で異ならない構成要素間で区別することを意図するものではない。以下の説明及び特許請求の範囲において、用語“含む”及び“有する”は、終わりのないオープンエンド的なものとして使用され、故に、例えば“含むが、それらに限定されない”などを意味するように解釈されるべきものである。
上述の方法及び構造の様々な実施形態及び例を説明する。認識されるように、この詳細な説明は、単に例示的なものであり、何らかの限定を意図したものではない。この開示の恩恵を受ける当業者には、その他の実施形態も示唆される。添付の図面に図示される実施形態が詳細に参照される。図面及び以下の詳細な説明の全体を通して、同一あるいは同様の部分には同一の参照符号を用いる。
明瞭化のため、ここに記載される実装例及び実施形態の通常機能の全てを図示して説明するようなことはしない。認識されるように、当然ながら、本発明を実際に実装する開発においては、開発者の具体的な目的を達成するために、典型的に数多くの実装特有の決定が為されることになる。また、認識されるように、そのような開発努力は、複雑で時間を消費するものとなることがあるが、そうは言っても、この開示の恩恵を受ける当業者にとっての通常のエンジニアリング作業であろう。
また、半導体の物理的特性及び電気的特性を変化させるために半導体の基板又は結晶層に注入される、あるいはその他の方法で存在する原子の濃度が、物理的及び機能的な領域又は層(レイヤ)との関連で説明される。これらは、当業者によって、特定の平均濃度を有する3次元の材料の集まりとして理解され得る。あるいは、それらは、異なる濃度又は空間的に変化する濃度を有する部分領域又はサブレイヤとして理解され得る。それらはまた、ドーパント原子の小集団、実質的に同様のドーパント原子の領域若しくはそれに類するもの、又はその他の物理的形態として存在し得る。これらの特性に基づく領域の記述は、形状や正確な位置又は向きを限定することを意図するものではない。それらはまた、これらの領域又は層を、特定の、プロセス工程の種類若しくは数、層の種類若しくは数(例えば、複合層若しくは単一層)、使用される半導体堆積技術、エッチング技術若しくは成長技術に限定することを意図したものではない。これらのプロセスは、エピタキシャルに形成される領域若しくは原子層成長、ドーパント注入方法、又は線形、単調増加、レトログレード若しくはその他の好適に空間変化するドーパント濃度を含む特定の縦方向若しくは横方向のドーパントプロファイルを含み得る。ここに含まれる実施形態及び例は、例えば図14A−14Iに示されて後述されるエピタキシャルプロセス及びその他のプロセスなどの、使用される具体的なプロセス技術又は材料を示すことがある。それらの例は、単に例示的な例として意図されたものであり、限定的なものとして解釈されるべきではない。ドーパントプロファイルは、異なるドーパント濃度の1つ以上の領域又は層を有することができ、プロセスにかかわらずに、濃度バラつき、及び領域若しくは層がどのように定義されるかは、赤外線分光法、ラザフォード後方散乱(RBS)、二次イオン質量分析法(SIMS)、又は様々な定性的あるいは定量的なドーパント濃度決定法を用いるその他のドーパント分析ツール、を含む技術によって検出可能であってもよいし、検出可能でなくてもよい。
図2Aは、一実施形態に従って構成された電界効果トランジスタ(FET)100を示している。FET100は、ゲート電極102、ソース104、ドレイン106、及びチャネル110上に位置するゲートスタック108を含んでいる。チャネル110は、深く空乏化されることができ、すなわち、より詳細に後述するように、概してゲートスタックからスクリーニング(遮蔽)領域112までで測定されるチャネルの深さは従来のチャネル深さより顕著に深い。チャネル110は、スクリーニング領域112上に図示されているが、後述のように、ドーパントの変化はスクリーニング領域を含む領域から領域へ(又は、層から層へ)の相対的なものとして、相異なるドーパント濃度で積層化されてもよい。動作時、バイアス電圧VSS122がソース104に印加され、P+端子126が接続124にてPウェル114に接続されて回路を閉じる。ゲートスタック108は、ゲート電極102、ゲートコンタクト118及びゲート誘電体128を含んでいる。ゲートをソース及びドレインから分離するようにゲートスペーサ130が含められている。ソース/ドレインエクステンション(SDE)132が、誘電体128の下までソース及びドレインを延在させている。
FET100は、N型ドーパント材料で形成されたソース及びドレインを有するNチャネルトランジスタとして示されており、ソース及びドレインは、基板116上に形成されたPウェル114を提供するP型ドープトシリコン基板としての基板上に形成されている。しかしながら、理解されるように、基板又はドーピング材料を適切に変更して、例えばガリウム砒素ベースの材料などのその他の好適基板から形成された非シリコンのP型半導体トランジスタが代用されてもよい。
ソース104及びドレイン106は、従来のドーパント注入プロセス及び材料を用いて形成されることができ、また、例えば、応力誘起ソース/ドレイン構造、隆起型あるいは凹部状のソース/ドレイン、非対称ドープされたソース/ドレイン、カウンタードープされたソース/ドレイン、結晶構造変化されたソース/ドレイン、又はHDD(高濃度ドープトドレイン)技術に従ったソース/ドレインエクステンション領域の注入ドーピングなどの変更を含み得る。エクステンション領域132は一般的に基板内に形成され、ドレインに結合されるポテンシャルの一部の吸収を促進する。ソース/ドレインの動作特性を変更する様々なその他の技術も使用可能である。そのような技術は、ソース/ドレイン(S/D)領域付近に、チャネルの下に延在し得るように局所的なドーパント分布を作り出すことによってデバイスチャネル長のスケーリングを容易にする、ソースドレインチャネルエクステンション(チップ(tips))又はハロー(halo)注入を含む。特定の実施形態において、複数の異種ドーパント材料が、電気特性を変化させる補償ドーパントとして使用され得る。
ゲート電極102は従来材料から形成されることができ、それら材料は、以下に限られないが、特定の金属、金属合金、金属窒化物及び金属シリサイド、並びにそれらの積層体及びそれらの混合物を含む。ゲート電極102はまた、ポリシリコン(例えば、高濃度ドープされたポリシリコン及びポリシリコン−ゲルマニウム合金を含む)から形成されてもよい。金属又は金属合金は、アルミニウム、チタン、タンタル、及び例えば窒化チタンなどのチタン含有化合物を含むそれらの窒化物を含み得る。ゲート電極102の形成は、シリサイド法、化学気相成長法、並びに、以下に限られないが例えば蒸着法及びスパッタリング法などの物理気相成長法を含み得る。典型的に、ゲート電極102は約1nmから約500nmまでの総厚を有する。
ゲート誘電体128は、例えば酸化物、窒化物及び酸窒化物などの従来の誘電体材料を含み得る。他の例では、ゲート誘電体128は、概して一層高い誘電率の誘電体材料を含むことができ、それら誘電体材料は、以下に限られないが、酸化ハフニウム、ケイ酸ハフニウム、酸化ジルコニウム、酸化ランタン、酸化チタン、チタン酸バリウムストロンチウム、チタン酸ジルコン酸鉛、金属ベースの誘電体材料、及び誘電性を有するその他の材料を含む。好適なハフニウム含有酸化物は、HfO、HfZrO、HfSiO、HfTiO及びHfAlOなどを含む。組成及び利用可能な堆積処理装置に応じて、ゲート誘電体128は、熱酸化若しくはプラズマ酸化、窒化物形成法、化学気相成長法(原子層成長法を含む)、及び物理気相成長法などの方法によって形成され得る。一部の実施形態において、誘電体材料の、複数の層若しくは複合層、積層体及び組成混合物が使用され得る。例えば、ゲート誘電体は、約0.3nmと1nmとの間の厚さを有するSiOベースの絶縁体と、0.5nmと4nmとの間の厚さを有する酸化ハフニウムベースの絶縁体とから形成され得る。典型的に、ゲート誘電体は約0.5nmから約5nmまでの総厚を有する。ゲート誘電体128の下には、チャネル領域110がスクリーニング層112の上に形成される。チャネル領域110は、ソース104及びドレイン106と接触し、且つそれらの間に延在する。好ましくは、チャネル領域は、実質的にアンドープのシリコン、又は例えばSiGeファミリーからの材料などの先端材料、又は非常に低いレベルにドープされたシリコンを含む。チャネルの厚さは典型的に、5nmから50nmの範囲内とし得る。
すぐ下に続く議論は、バルクCMOSデバイスに焦点を当てている。多くのナノスケールバルクCMOS FETデバイスにおいて、キャリア移動度は、閾値電圧VTを設定するために必要とされる高濃度のチャネルドーパントによって悪影響を受ける。高いドーパント濃度レベルは有意な電力リークを防止し得るが、ドーパントが高濃度に存在するとき、それらのドーパントは、例えば電子などの移動キャリアのチャネル移動度を大幅に低下させてしまう散乱中心として作用し得る。そのような場合、チャネル領域内の電子は、散乱され、ソースとドレインとの間でチャネル内を効率的に移動しない。これは、実効的に、チャネルが運ぶことが可能な最大電流量(Idsat)を制限してしまう。また、非常に薄いゲート及び結果としてゲート誘電体/チャネル界面に生じる高い電界は、所与のゲート電圧での反転層電荷密度を低下させる深刻な量子機械効果を生じさせ得る。反転層電荷密度の低下は、移動度の低下及び閾値電圧Vの大きさ増大を伴い、やはりデバイス性能を劣化させる。これらの特徴のため、より小さい所望サイズへのバルクCMOSデバイスの従来のスケーリングは、ますます困難であることが分かる。
更なる利益として、実質的にアンドープのチャネル領域の使用は、トランジスタ性能を向上させるためにしばしば使用される特定の従来技術の有効性を高めることができる。例えば、チャネル領域110を挟んで反対側に位置するソース104及びドレイン106は、チャネル領域に印加される応力を変更するように構造化されることができる。他の例では、チャネル領域は、チャネルの面内方向に圧縮歪みを生じさせるように配置された格子整合歪みシリコンゲルマニウム(SiGe)結晶の薄膜格子によって変更を加えられ得る。これは、真性Siと比較して正孔移動度が高まるようにバンド構造に変化を生じさせることができる。応力条件は、ゲルマニウム(Ge)組成を変えることによって変化され得る(Geが多いほど歪みが増大し、正孔移動度が高くなる)。引張歪みの場合、チャネル領域のSiは、より大きい格子定数を有する格子緩和SiGe上に形成され得る。これは、歪みのないSiチャネル領域と比較して、電子移動度及び正孔移動度の双方を増大させることになる。この場合も、ベースのSiGeのゲルマニウム組成が多くされるにつれて、歪みSiチャネル領域内の応力の量及びキャリア移動度が高くなる傾向がある。理解されるように、チャネル領域への応力の印加には、連続した応力層は必ずしも必要でない。上、下若しくは横に配置される、あるいは隣接される、複数の応力層を含め、不連続あるいは複数の別々の応力層が、チャネル領域に沿った様々な箇所に圧縮力又は引張力を印加するために使用可能であり、印加する応力の一層大きな制御を実質的に可能にする。
特定の実施形態において、応力層は、チャネルに隣接あるいは接触して設けられるときにチャネル領域に応力を印加するのに適した如何なる材料の層をも意味し得る。一例として、特定の実施形態において、応力層は、半導体基板のその他の部分の一部又は全てに対して異なる熱膨張率を有する材料を含み得る。このような実施形態の製造において、半導体基板の温度が低下されるにつれて、特定の部分群が相異なるように収縮し、チャネル領域の伸張又は圧縮を生じさせる。結果として、チャネル領域の少なくとも一部が歪まされ、キャリア移動度を高める。特定の実施形態において、応力層は、半導体基板の一部又は全てより高い熱膨張係数を有する例えば窒化シリコンなどの材料を含み得る。それに加えて、あるいは代えて、チャネル領域における正孔又は電子の何れかの移動度を選択的に高めるために、複数の異なる応力層がFET100の様々な部分に設けられてもよい。例えば、n型トランジスタとp型トランジスタとの相補対が適当なp型及びn型ウェル構造によって互いに分離される特定の実施形態において、n型トランジスタのチャネル領域に引張応力を印加するように、n型トランジスタに応力層が設けられ得る。この引張応力は、チャネル領域中の電子の移動度を高める歪みをチャネル領域に誘起し得る。他の応力層が、p型トランジスタのチャネル領域に圧縮応力を印加するようにp型トランジスタに設けられ得る。この圧縮応力は、正孔の移動度を高める歪みをp型チャネル領域に誘起し得る。
実質的にアンドープのチャネルを有するトランジスタを設けることは、応力が印加されるときに更なる利点をもたらす。例えば、応力は、ソース/ドレイン又はチャネル応力技術により印加される圧縮応力又は引張応力によって印加され得る。均一あるいは高濃度にドープされたチャネルを有する従来のナノスケールトランジスタと比較して、歪みチャネル領域FETトランジスタは、ゲート誘電体近くでの低いドーパント濃度(抑制されたイオン化不純物散乱)と低い電界(抑制された表面ラフネス散乱)とにより、より大きな歪み増強移動度を提供する。散乱の抑制により、応力により高められる移動度は、従来デバイスにおいてより有意に高くなる。歪みに起因するこの移動度の利点は、実際に、トランジスタの微細化スケーリングとともに大きなものとなる。
図2Aは、一実施形態に従って構成されるトランジスタの模式図であり、スクリーニング領域112及びチャネル110を示している。図2Bは、空乏化されるチャネルとスクリーニング領域との間の相対的なドーパント濃度を概略的に示している。図2C及び2Dは、図2Aのチャネル110及びスクリーニング領域112と置き換えられ得るDDCトランジスタチャネルの2つの異なる例を更に示す模式図である。他の領域及び層も可能であり、当業者に理解されるように、ここに図示・説明される概略構造が与えられると、領域、層へのその他の変更、異なるドーパント濃度、並びにその他の濃度及び幾何学構成も可能である。異なる領域が、ゲート誘電体(例えば、図2Aに示した誘電体128)の近傍に位置するチャネル内の深空乏化領域、閾値電圧調整領域、及び高濃度ドープされたスクリーニング領域を含み得る。図2Bは、ゲート誘電体に隣接して位置するとともに、チャネル領域110及びスクリーニング領域112である異なるドーパント濃度の2つの領域を有した、DDCトランジスタチャネルの断面の一例を示している。このチャネル断面のプロファイルは、ゲート誘電体(図示せず)とスクリーニング領域204との間に位置する空乏領域202を含んでいる。ドーパント原子206は、スクリーニング領域204内のドーパント密度を、スクリーニング領域204と比較した空乏領域202内の相対的なドーパント密度に対応付けて示している。
図2Cは、他の一例に係るチャネル領域208を示しており、このチャネル領域は、ドーピング濃度が異なる3つの領域を有している。この例において、空乏化されるドーパントチャネル領域214は、最も少ない量のドーパント206を有し、閾値調整領域212は概して、空乏化ドーパントチャネル領域214より高いドーパント原子濃度を有し、スクリーニング領域210は最も高いドーパント原子濃度を有している。
図2Dは、更なる変形例を示しており、チャネル断面は、頂部のチャネル領域から底部へと増大していくドーパント原子濃度224を有している。様々な用途及び実施形態において、チャネルの頂部におけるドーパント範囲は様々となり得るが、典型的に、チャネルの頂部に向かって、プロセス及びアニールの条件が許容する限りできるだけ低くされる。ドーパント範囲はチャネルの中央に向けて増大されることができ、より高いドーパント濃度で、チャネルの底部を介してスクリーニング領域へと進む。
これらの構成の何れかにおいて、閾値電圧調整領域は別個のエピタキシャル成長シリコン層として形成されることができ、あるいは、空乏チャネル領域をも含む単一のシリコンエピタキシャル層の一部として形成されてもよい。閾値調整領域の厚さは典型的に、5nmから50nmの厚さの範囲内とし得る。実質的にアンドープであるとき、領域の厚さの適切な選定それ自体で閾値電圧が僅かに調整されるが、より典型的な用途においては、閾値電圧調整領域は、5×1017原子/cmと2×1019原子/cmとの間の範囲内の平均濃度を有するようにドープされる。特定の実施形態において、チャネル領域への、あるいはスクリーニング領域から閾値電圧調整領域への、ドーパントのマイグレーションを防止するため、炭素、ゲルマニウム又はこれらに類するものなどからなるドーパント耐マイグレーション層が、閾値電圧調整領域の上及び/又は下に設けられ得る。
スクリーニング領域は、チャネル領域及び設けられる場合には閾値電圧調整領域の下に埋め込まれた、高濃度ドープされた領域である。スクリーニング層は概して、ソース及びドレインとの直接的な接触を回避するように、或る距離をおいて位置付けられる。スクリーニング領域は、他の特定の実施形態において、複数のソース/ドレイン/チャネル領域の下に延在するシートとして形成されてもよく、他の実施形態において、チャネル領域と同じ広がりを有するセルフアラインされた注入又は層であってもよい。スクリーニング領域の厚さは典型的に、5nmから50nmの範囲内とし得る。スクリーニング領域は、チャネル、閾値電圧調整領域(設けられる場合)及びPウェルより高濃度にドープされる。実用上、スクリーニング領域は、1×1018原子/cmと1×1020原子/cmとの間の濃度を有するようにドープされる。特定の実施形態において、閾値電圧調整領域へのドーパントマイグレーションを防止するため、炭素、ゲルマニウム又はこれらに類するものなどからなるドーパント耐マイグレーション層が、スクリーニング領域の上に設けられ得る。
動作時、閾値電圧より高い所定の電圧が導電性のゲートに印加されると、ゲートスタックとスクリーニング領域との間に深空乏化領域が形成される。導電性ゲートの下で、深空乏化領域は典型的にはスクリーニング領域内まで下方に延在するが、特定の高濃度ドープの実施形態においては、深空乏化領域は、設けられる場合の閾値電圧調整領域内で終わり得る。認識されるように、導電性ゲートの下での空乏領域の正確な深さは、FETの設計によって調整可能な多数の因子によって決定される。例えば、空乏領域の深さは、FETのその他要素の空間位置及び絶対的あるいは相対的なドーパント濃度によって決定される。例えば、FETは、ソース領域とドレイン領域との間、且つゲート長Lを有するゲートの下、に画成されるチャネルを有し得る。DDC深さ(X)は、ゲート長の半分より、場合によってゲート長の1/2の因数だけ、あるいはそれに近い割合だけ、深くなるように設定され得る。一例において、このDDC深さは、チャネル長の1/2にほぼ等しく設定されてもよく、動作時に、1V未満の低い動作電圧であっても閾値電圧の正確な設定を可能にする。特定の用途の要求に応じて、異なる深さが異なる有利な結果をもたらし得る。この開示によれば、理解されるように、異なる用途、異なるデバイス構成、及び特定の設計の様々なパラメータにおいて、異なるDDC深さが可能である。特定の用途のパラメータに応じて、DDCトランジスタを形成する際に使用される異なる領域厚さ、ドーパント濃度及び動作条件が、異なる有利な結果をもたらし得る。
例えば、他の一実施形態によれば、空乏深さはゲート長の1/3からゲート長にほぼ等しい深さまでに維持され得る。しかしながら、当業者に認識されるように、トランジスタの構造及び動作が、空乏深さがゲート長の1/2より小さくなるようなものである場合、電力消費に関するデバイスの性能は徐々に低下し、DDCの利益が減少することになる。例えばゲート下の空乏深さがおよそ0.4×Lに設定されるDDCトランジスタなど、空乏深さXがゲート長の1/3と1/2との間であるとき、デバイスは依然として従来デバイスに対する少量の改善を達成することができる。この例において、スクリーニング領域の好適な厚さ範囲は、ドーパント濃度を1×1018原子/cmから1×1020原子/cmの範囲として、5nmから50nmの間である。閾値電圧調整領域の好適な厚さ範囲は、ドーパント濃度を5×1017原子/cmから2×1019原子/cmの範囲として、5nmから50nmの間である。アンドープのチャネル領域は、X>1/2×Lなる制約を満たすのに十分な深さとなるように選択され、且つ5×1017原子/cm未満の濃度を有する。
実際に、DDCトランジスタの深空乏化領域を設けることは、複数のトランジスタ及び関連デバイスを有する回路において閾値電圧を設定する公差を有意に狭いものにし、RDFによるバラつきを更に抑制することができる。結果として、集積回路の複数のデバイスにわたって、より予測可能で信頼できる閾値電圧を設定することができる。この利益は、デバイス又はシステムにおける電力を低減するために用いられることができ、より良好な全体性能をもたらすことができる。
この実施形態によって実現され得る他の1つの利益は、静的に設定されることが可能な、あるいは、ここに記載されるトランジスタ構造のうちの1つ以上を用いて構成される装置又はシステムの動作中に動的に変化されることが可能な、調整可能な閾値電圧である。やはり図2Aに示すように、トランジスタのソース104と、反対導電型のPウェル114に接続されたドーパント材料126との間にバイアス電圧を印加することができる。従来の回路は典型的に電源電圧にバイアスされ、その結果、動作電圧がゲートに印加されるときに、ソースからドレインへと電流が流れることができる。閾値電圧を動的に設定するための調整可能なボディバイアス印加の使用が以前に提案されているが、それは、有意なチップ面積の不利益を引き起こし、故にオンチップ集積のレベルを阻害してしまう傾向があるため、実用的であるとは一般に証明されていない。この実施形態によれば、1つの集積回路若しくはシステム内に構成されていようと、別々の回路内に構成されていようと、ウェルに印加するバイアス電圧を変化させることによってトランジスタ(又は、一群のトランジスタが共通のウェルを共有する場合には、トランジスタ群)の閾値電圧を変化させるように回路が構成され得る。更に詳細に後述するように、密な範囲内で閾値電圧を信頼性高く制御することができることは、チップ面積の不利益を低減しながら動作中に閾値電圧を信頼性高く且つ動的に変化させることができることと相俟って、デバイス又はシステム内のトランジスタ又はトランジスタ群の動作モードを動的に変化させることが可能なデバイス又はシステムをもたらす。
図3は、一実施形態に従ったゲート誘電体下方のチャネル深さに対するドーパント原子濃度のグラフ300を示しており、チャネル内の様々な深さ範囲でのドーパント濃度の範囲を例示するものである。より実際的な曲線308と理想曲線310との2つの曲線が示されている。見て取れるように、最初の5−20nmのチャネル領域と、チャネル領域の次の5−20nmの閾値電圧調整領域と、閾値電圧調整領域の次の5−20nmのスクリーニング領域との、3つのレベルが表されている。これら異なるレベルの濃度は各々、特定のレベル312、314、316に達している。これらの特定のレベルは、必ずしもそうではないが、場合により、それぞれの濃度レベルのグラフの変曲点であり、これらは、5×1017原子/cm未満のチャネルドーパント濃度“d”を持つ特定のドーパント濃度レベル302、5×1017原子/cm未満との5×1018原子/cmとの間の閾値電圧調整領域濃度“d”を持つ特定のドーパント濃度レベル304、及び5×1018原子/cmより高いスクリーニング領域ドーパント濃度“d”を持つ特定のドーパント濃度レベル306に相当する。一部の実施形態によれば、これらのドーパント濃度範囲内で、深空乏化領域の動作をサポートするナノスケールFETにおける特定の最適な利益を実現することができる。
様々な実施形態に従ったドーパントプロファイルは、2つの領域が生じるように定められる。該3つの領域を表1に規定する。領域1はゲート誘電体の近傍に位置するチャネル領域に相当し、領域2は閾値電圧調整領域に相当し、領域3はスクリーニング領域に相当する。ただし、Lはゲート長である。理解されるように、ゲート長はチャネル長に実質的に等しく、t、t及びtは該3つの領域それぞれの厚さである。これらの領域の各々は、代表的な厚さと、1立方センチメートル当たりの原子数として測定されるドーパントドーズ量とによって表されることができる。これらの厚さ及びドーズ量の値を表1に提示する。
Figure 2013520798
レイヤ(層)厚はプロセスノードに依存し、それらそれぞれの厚さt、t及びtは、関心あるデバイスのゲート長(L)及びプロセスノードに関係する。表2は、90nmから15nmまでのプロセスノードについての代表的な数値を含んでおり、これらの領域の厚さ要求に対するLスケーリングの影響を例示している。
Figure 2013520798
図4は、一実装例におけるデバイス深さに対するボロン濃度(原子/cm)の変化のグラフ400である。この例において、ドーパント濃度は、ゼロからおよそ20nmまでの深さのトランジスタゲート付近の低ドーパント領域において最低(1×1017未満)であり、およそ20nmから45nmまでの閾値電圧調整領域で少々高い(およそ5×1018)。この例は、およそ45nmから75nmまでのスクリーニング領域において、更に高い値(およそ5×1019)でピークとなる。この特定例は、異なるプロセスを用いて為された3つの異なる模擬デバイスを示しており、それらが重ね合わせグラフとして示されている。1つは975℃で15秒のアニールを使用し、1つは、800℃で15秒のアニールを使用し、3つ目はアニールを全く使用していない。グラフの結果は実質的に同様であり、これら異なるプロセス環境におけるドーパント濃度の信頼性を示している。当業者に理解されるように、異なる設計パラメータ及び用途は、異なるドーピング濃度を有する領域の異なる変形又は個数を要求し得る。
実際には、設計者及び製造者は、数学モデルから統計データを集め、且つ現実の回路からの測定値をサンプリングして、回路設計の閾値電圧の分散を決定する。トランジスタ間での電圧の不一致が、製造バラつき又はRDFの何れに由来したものであろうと、σVとして決定される。そのような統計表示の一例として、様々なデバイスからの異なる閾値電圧を電源電圧に対してプロットしたものを図5に示す。回路が全体として動作するためには、σVを考慮して動作電圧VDDが選定されなければならない。一般に、バラつきが大きいほどσV大きいので、動作電圧VDDはトランジスタが適切に動作するように高く設定されなければならない。1つの回路内に複数のデバイスが実装される場合、該回路が適切に動作するようにするために、VDDは最も高い全体値に設定される必要があり得る。
σVを低減し、集積回路にわたる複数のトランジスタの閾値電圧の変動範囲を縮小する構造及びその製造方法が提供される。低減されたσVを用いて、Vの静的な値は、より正確に設定されることができ、さらには、バイアス電圧を変化させることに応答して変化されることが可能である。一実施形態に従った改善されたσVの一例を図6に示す。図6は、異なるデバイスから取得された閾値電圧の低い側におけるバラつきから明らかな、改善された閾値電圧範囲の表示を示している。回路内の名目上相等しいデバイスの閾値電圧は、低減されたσVを用いて、より正確に設定されることができ、故に、デバイスが、より低い動作電圧VDDを用いて動作し、ひいては、一層少ない電力のみを消費することを可能にする。また、所与のトランジスタ又はトランジスタ群に対してVを変化させるためのスペースがより多くあり、デバイスは、特定のモード用の異なるバイアス電圧に対応する異なるモードで動作されることができる。これにより、多くのデバイス及びシステムに機能が追加され、特に、デバイスの電力モードのきめ細かい制御が有用なデバイスに利益がもたらされ得る。
図7Aは、従来のプロセス及び構造に従って製造されるトランジスタ700の一例を示している。この例は、ソース702と、ドレイン704と、導電性ゲート706及び絶縁層708を含むゲートスタックとを有するN型FETとして示されている。典型的に、ゲート706は高濃度ドープされたポリシリコンから形成され、絶縁層708は例えば酸化シリコンなどのゲート誘電体で形成される。ゲートスタック706は、ソース702とドレイン704との間を流れる電流を電気的に制御する。チャネル710は典型的に、ドーパントを含み且つPウェル712まで下方に延在しており、ソース及びドレインの双方を包囲し得る。チャネル深さX714は、ゲート誘電体708から下方にチャネルの底面720までの距離である。動作時、このチャネル深さ714内を下方に延びてソース702及びドレイン704に向かって屈曲する例えばE716などの複数の電気力線が存在する。これらの力線は典型的に、図示のような直線状ではなく、デバイスの構造及び動作の結果として屈曲し得る。例えば電子e718などの移動キャリアが、電界E716を介してソース702とドレイン704との間を進行する。ゲートスペーサ724及びSDE722も図示されている。
対照的に、図7Bは、図7Aの従来デバイス700と比較して有意に深い空乏領域を有して動作するDDCトランジスタ700’の一実施形態を示している。これは、応力誘起層を用いることなく改善された移動度の特徴及び利益を提供するとともに、改善された閾値電圧設定を提供する。この例は、ソース702’と、ドレイン704’と、ゲート706’とを有するN型FETとして示されている。このトランジスタは、ゲート誘電体708’上に形成されたゲート706’を含んでおり、ゲート706’は、ゲート−ソース電圧が閾値電圧より高くまでバイアスされるときに空乏領域710’を作り出し、ソース702’とドレイン704’との間の電流を制御する。空乏領域710’は、図示のように、Pウェル712’内の層としてイオン注入されたスクリーニング層720’まで下方に延在し、ソース702’及びドレイン704’の双方を包囲し得る。ゲートスペーサ724’及びSDE722’も図示されている。空乏深さX’714’は、ゲート誘電体から下方にスクリーニング領域720’までの距離であり、図7Aの従来デバイスの空乏領域より有意に深い。図7Aの従来デバイスと異なり、デバイス700’のスクリーニング領域720’が、下方に当該スクリーニング層まで延在する例えばE716’などの電界に対する高濃度ドープされた終端部を提供する。より深い空乏化X’により、これらの力線は、従来構造700における電界E716より概して長く且つ真っ直ぐになる。従来デバイスと同様に、バイアスされるとき、電流がドレイン704’からソース702’へと流れ、電子e718’が、電界E716’を介してソース702’からドレイン704’の間を進行する。しかしながら、従来デバイスとは対照的に、電子がこれらの電界E716’を横切って、より自由に流れ、改善された電流及び更に良好な性能が提供される。また、この構造は、短チャネル効果を抑制して、ランダムドーパント揺らぎによって生じるバラつきを抑制することにより、σVを改善する。
図8Aを参照するに、図7Aに示した従来構造に対応するFET800が示されている。トランジスタ構造全体内の様々な箇所で、FETがアクティブにスイッチングされていないときにも電力損失を生じさせるリークが発生する。図8Aは特に、ソース702とウェル712との間で発生するリークの概念を示している。陽イオン802がウェル712内に存在するとき、それら陽イオンはリーク経路X806を介して正孔804まで移動する傾向にある。比較的短い経路806により、従来のナノスケールデバイス内にはリークが広まっている。
図8Bは、図7Bに示したものと同様の、深い空乏領域を有して動作するFET800’を示しており、さらに、ソース702’とウェル712’との間で発生するリークの概念を示している。陽イオン802’がウェル712’内に存在している。しかしながら、より深いウェルを有する新たな構造により、経路X806’は有意に長くなっており、陽イオン802’はリーク経路X806’を介して正孔804’まで移動する傾向が低い。この場合、比較的長い経路806’により、従来デバイスと比較して、リークはあまり広まらない。また、新たな構造における低い電界E716’により、ゲート706’及び絶縁体708’におけるリークについても、電子を励起する能力が大幅に低減される。結果として、ゲートにおけるリークが実質的に低減される。故に、DDCを有する新たな構造は、従来デバイスの多くの箇所で発生していたリークの有意な低減をもたらす。
DDCトランジスタはまた、好ましいことに、産業界における大きな関心事であるキャリア移動度の増大を提供する。移動度は、閾値電圧Vより高い電圧がゲートに印加されるときにトランジスタのチャネルを横切ってソースからドレインに移動キャリアが移動する能力の定量的な指標である。最適化デバイスの1つの目標は、典型的に、ユニバーサル移動度カーブとして知られるゲート印加電界と測定移動度との間の関係に従って、ソースからドレインに最小の障害で電子又は移動キャリアを移動させることである。このユニバーサル移動度カーブは、MOSFETデバイスにおいて見られる、チャネルの反転領域内でのキャリア移動度と該反転領域(又は反転電荷)を誘起する電界との間の十分に確立された関係である。図9は、NMOSトランジスタのこのユニバーサルカーブ(実線)を示しているが、PMOSの場合にも同様のカーブが存在する。この図には、アンドープのチャネルのユニバーサル移動度カーブがプロットされている。領域Aは、典型的な現行技術に係るMOSFETトランジスタの移動度/電界動作レジームに対応し、これらのデバイスが高電力領域では、低電界/低電力領域における移動度に対して低下された移動度で動作することを示している。
第2の移動度カーブ(破線)は、高濃度ドープされたチャネル(スケーリングの影響を補償するためにしばしば必要である)と、比例的にスケーリングされたゲート電圧及びその結果の低電界とを有するナノスケールゲート長トランジスタに適当なものである。これらのカーブは、チャネルで高電界を支持する動作条件で一致し得る。ゲート誘電体とチャネルシリコンとの間の界面に伴う表面ラフネスによって移動度が支配されるためである。より低いゲート電圧(及びその結果の、より低い電界)でトランジスタを動作させるとき、これら2つのカーブは、電子移動度を低下させるように作用するドーパント原子の存在及びチャネルドーパント散乱(一般に、イオン化不純物散乱と呼ばれる)の優勢化のために分岐する。これは、領域Cとして図示される。領域Cに入る電界で動作する低電力デバイスも構築することは可能であるが、必要とされる高濃度チャネルドーピングが、図9の領域Aとして印した領域におけるドーパント散乱に起因して、移動度の低下を生じさせる。
DDCトランジスタの動作点は、図9に領域Bとして示すように、ユニバーサル移動度カーブに沿って位置する。DDCトランジスタは、低い電界を用いて低電力レジームで動作するだけでなく、その移動度を低下させるドーパント散乱が実質的に低い深空乏化デバイスであることの恩恵を受けることができる。DDCトランジスタは、故に、一部の好適実施形態において、従来の高電力デバイスに対して最大で120%の移動度増大を達成することができる。
これらの新たな構造及びその作製方法を用いると、Vを動的に変化させる能力を有するように回路を製造・構成することができる。この構造は好ましくは、従来デバイスと比較して小さいσVを有するように構成され、デバイスが、より低い公称閾値電圧V及びより低い動作電圧VDDのみでなく、バイアス電圧に応答して変更可能な正確に調整可能なVをも有することができるようにする。動作時、デバイスのVを上下させるように動作するトランジスタにバイアス電圧を置くことができる。これは、特に、動作電圧VDDも動的に制御される場合に、回路が効率的且つ信頼性をもって、動作モードを静的に指定し、且つ/或いは動的に変更することを可能にする。さらに、Vの調整は、回路の1つ若しくは複数のトランジスタ、一群のトランジスタ、及び異なる区画若しくは領域について行われることができる。このブレイクスルーは、回路内で異なる機能を供するように調整されることが可能な汎用トランジスタを、設計者が使用することを可能にする。また、これらの集積回路構造の特徴及び利益からもたらされる回路レベル及びシステムレベルのイノベーションが数多く存在する。
一実施形態において、ソース領域とドレイン領域との間にチャネルが形成される、DDC深さを有するDDCを備えた半導体構造が提供される。一例において、DDC深さはデバイスのチャネル長の大きさの少なくとも1/2である。これらの構造は、従来デバイスより低い電圧で動作することができ、デバイスチャネルにおけるRDFの影響によって制限されない。この新たな構造はまた、従来のバルクCMOSプロセスツール及びプロセス工程を用いて製造されることが可能である。
一実施形態によれば、トランジスタのチャネル領域は、異なるドーパント濃度を有する複数の領域を有するように構成され得る。一例において、DDCトランジスタは、3つの区別可能な領域がゲートの下に延在するように構成される。ゲート誘電体から基板内のより深い方向へ、これらの領域は、チャネル、閾値電圧調整領域、及びスクリーニング領域を含む。当業者に認識されるように、これらの領域の異なる組み合わせ又は置換が存在しうる。
チャネル領域は、集積回路の動作中に小数キャリアがソースからドレインへと進行する領域である。これは、デバイスを流れる電流を構成する。この領域のドーパントの量は、不純物散乱を介して、デバイスの移動度に影響を及ぼす。より低いドーパント濃度が、より高い移動をもたらす。また、ドーパント濃度が低下するにつれてRDFも低減する。このアンドープ(低濃度ドープ)のチャネル領域は、DDCトランジスタが高移動度及び低RDFの双方を達成することを可能にし得る。
閾値電圧調整領域は、例えばPMOSにおけるN型ドーパント及びNMOSにおけるP型ドーパントなどの相補的なドーパントがチャネル領域の下に導入されることを可能にする。このV調整領域の導入は、チャネル領域へのその近接性及びドーパントのレベルと合わさって、好ましいことに、チャネルを直接的にドーピングすることなく、閾値電圧調整領域がチャネル内の空乏領域を変化させることを可能にする。この空乏制御は、所望の結果を達成するようにデバイスのVを変化させることを可能にする。また、V調整領域は、サブチャネルパンチスルー及びリークを防止する助けとなり得る。一部の実施形態において、これにより、改善された短チャネル効果、DIBL及びサブスレッショルド勾配が実現される。
従来プロセスにおいて、当業者は、特定の構造及び濃度を変化させることによって、トランジスタの様々な性能指標に対処してきた。例えば、短チャネル効果又はその他のパラメータを改善するようにドーピング濃度を調整するために、ゲートの金属合金又はポリシリコンが使用されることがある。ゲートの上且つチャネルの上に位置するゲート誘電体も調整され得る。トランジスタのチャネル内又はその付近のドーパント濃度を設定することが可能なその他のプロセスも存在する。短チャネル効果及びデバイスのその他のパラメータを改善するためのこれらの従来の試みと異なり、ここで開示する実施形態の一部は、デバイスの更に多くのパラメータを改善するだけでなく、デバイスの閾値電圧を設定する際の正確性及び信頼性を向上させることも可能である。さらに、一部の実装例において、改善されたデバイスはまた、性能向上のための、また採用されるときの装置及びシステムの新たな機能及び動作を提供するための、デバイスの閾値電圧の動的制御を可能にし得る。
一実施形態において、ゲート近くのチャネル頂部から下方にチャネル内までドーパント濃度を単調増加させるトランジスタデバイスが提供される。一例において、ゲート誘電体側から始めて線形増加されたドーパントが存在する。これは、ゲートから距離を置いてスクリーニング領域を形成し、該スクリーニング領域とゲートとの間に空乏化領域を有するようにすることによって成し遂げられ得る。この空乏化領域は、異なるドーパント濃度の1つ以上の領域を含む異なる形態を取り得る。これらの領域は、特定の閾値電圧を設定することの信頼性を向上させること、トランジスタチャネルにおける移動度を高めること、及びデバイスの異なる複数の動作モードを改善あるいは拡張するように閾値電圧の動的な調整を可能にすること、を含むトランジスタデバイスにおける様々な改善に対処するものである。これらのドーパント濃度は、例えばデバイスのチャネル深さに関連して図4に示して上述したものなど、ゲート付近の構造頂部から始めて異なる複数の層を通って下方にスクリーニング層内へと進む濃度グラフにて表現され得る。
空乏化されるチャネル領域は、トランジスタのソースからドレインへと電子が自由に移動する領域を提供し、故に、移動度及び全体性能を向上させる。閾値電圧調整領域がスクリーニング領域とともに用いられ、デバイスの名目上の固有の閾値電圧が設定される。スクリーニング領域は、FETデバイスのボディ係数を増大させる高濃度ドープされた領域である。より高いボディ係数は、FETの閾値電圧を動的に変化させることにおいてボディバイアスが一層大きい効果を奏することを可能にする。これら3つの領域は、複数の特殊化されたデバイスを達成するように調和して使用され得る。これらの領域のうちの2つ又は3つの複数の組み合わせが、様々な設計上の利益を達成するために使用され得る。例えば、様々な固有のV値(閾値電圧調整ドーピングによって達成される)と動的な動作モード(ボディ効果による)とを備えた低電力デバイスを達成するよう、これらの領域の全てが、ポリゲート、バンドエッジ金属ゲート、及びオフバンドエッジ(off-band edge)金属ゲートとともに使用され得る。
チャネル領域及びスクリーニング領域が、オフバンドエッジ金属ゲートスタックとともに使用されて、超低電力デバイスが達成され得る(オフバンドエッジ金属は、閾値電圧調整領域の助けなしで、閾値電圧を高めるように機能する)。他の例では、超低電力デバイスを達成するために、チャネル領域及びスクリーニング領域が、二重仕事関数金属ゲートスタックとともに使用され得る。また、これらの領域の形成は、複数の手法で達成されることができる。一部の実装例において、単一のエピタキシャルフローを使用することができ、それにより、成長中に制御・変調されるその場(in-situ)ドーピングが、更なる注入なしで、所望のプロファイルを達成することができ、また、アンドープのエピタキシャル領域に続かれる複数回の注入を用いてプロファイルを達成することができる。他の例では、所望の濃度と同等の注入を用いた二重のエピタキシャルフローが使用され得る。あるいは、任意の数のエピタキシャルとイオン注入との組み合わせで構成される複数のエピタキシャルフローを用いて、所望プロファイルを達成することができる。しかしながら、このようなバリエーションは、添付の請求項の精神及び範囲を逸脱するものではない。
デバイスの他の一例において、基板上に形成されるDDC領域に加えて、チャネル領域上で基板の頂部に、酸化物領域又はその他のゲート絶縁体が形成され得る。このデバイスは、該酸化物領域上に形成された金属ゲート領域を含み得る。この例において得られるデバイスは、チャネル領域でのRDFに依然として鈍感でありながら、動的に制御可能な閾値電圧を有するトランジスタである。この例においては、動作時、DDC領域は非常に低いσVを有し、低いVDDが深い空乏領域におけるリークを低く維持する。また、1V以上でのトランジスタ動作を要求するレガシーデバイスを可能にするためのイオン注入が用いられてもよい。
以下の例では、様々なデバイス構成、そのようなデバイスを組み入れたシステム、及びそのようなデバイス及びシステムを製造する方法を図示して説明する。これらの例は、そのようなデバイス、システム及びそれらの製造方法の当業者によって十分に理解される図形的な手法で説明される。これらの例は、デバイスの細部を、基礎となるシステムの実現可能性並びに考え得る動作特性及び性能の議論とともに記述して例示するものである。
従来構造との更なる比較を図10及び11に示す。図10は、低濃度ドープ(約1×1017原子/cm)されたチャネルを有するDDCトランジスタの閾値電圧及びボディバイアスを、スクリーニング領域を有しない均一ドープされたチャネルを有する同等サイズの従来トランジスタに対して比較した一例を示している。見て取れるように、DDCトランジスタは、大きいボディ係数のために通常は必要とされるかなりのチャネルドーパントを有しないものの、DDCにおけるボディバイアスによる閾値電圧変調は、均一ドープされたチャネルのMOSとなおも同等である。
故に、特定の実施形態において、DDC構造は、短チャネルデバイスの実用的な置き換えではない長チャネルデバイスにおいてのみ現段階で実現されているのと同等の利益を、短チャネルデバイスにおいて提供することができる。図11を参照するに、ボディバイアス電圧に対するσVについて、均一チャネルMOSデバイスとDDCデバイスの一例との間で比較したものが示されている。短チャネルデバイスの閾値電圧の、長チャネルデバイスに対する有意な劣化が示されている。このDDCデバイスにおいては、ボディバイアス電圧を増大させても、有意に小さい閾値電圧の劣化のみが存在する。この低減は、短チャネル効果を大幅に抑制する高濃度ドープされたスクリーニング領域によって促されるものである。
背景技術にて述べたように、特定のトランジスタは、超急峻レトログレードウェル(SSRW)プロファイルに従ってドープされたチャネル層を有するように形成されることができる。この技術は、特別なドーピングプロファイルを用いて、低濃度ドープされたチャネルの下に、高濃度ドープされた領域を形成する。図12を参照するに、DDC構造の一例のプロファイルと従来のSSRWとの間での比較が示されている。見て取れるように、SSRWは、チャネルの頂部を定めるゲート誘電体(図示せず)の近くで、チャネルに隣接して非常に高いドーパント濃度を有する。このような、チャネル及びゲート誘電体の近くに位置する高いドーピング濃度は、典型的に、従来デバイスにおいて乏しいリーク性能を生じさせ、この手法をナノスケールのゲート長のトランジスタまでスケーリングすることには深刻な困難性が存在する。故に、それは、電子デバイスにおける電力低減及び性能向上の要求全体に対する、十分な商業的解決策を提供しない。DDCトランジスタの実施形態は、深く空乏化されるチャネル、及び高濃度ドープされ且つチャネルから離隔されたスクリーニング層を含むことができる。このような構造は、回路性能に対する顕著な改善を提供するとともに、SSRWを実現する回路より製造が単純となり得る。
DDCトランジスタを製造することには、数多くの従来CMOS製造プロセスが使用され得る。図13は、従来デバイスを製造するための従来CMOSプロセスの、ここで開示する実施形態に従って構成される構造の製造プロセスとの比較1300を、図形的に示したものである。新CMOSデバイスの一実施形態において、シャロートレンチアイソレーション(STI)1302、1302A、ウェル・チャネル注入1304、1304A、コンタクト1308、1308A、及びメタルインターコネクト(金属相互接続)1310、1310Aは標準的なものとし得る。従来CMOSゲートスタックプロセス1306のみが、改良構造のゲートスタック1306Aと異なっている。これは、例えばDDCデバイスなどの新たなCMOS構造を導入するための有意な利点を提供する。第1に、これは、新デバイスを製造するためにリスク又はコストを伴って新たな処理工程を開発することを不要にする。故に、既存の製造プロセス及び関連するIPライブラリを使用することができ、それにより、コストが削減されるとともに、製造者がこのような新たな先端デバイスを、より早く市場に持ち込むことが可能にされる。
図13の例に従ったDDCトランジスタプロセスは、DDCドーピングプロファイルを作り出すために、高濃度ドープされたN型及びP型の領域の頂部上にアンドープのエピタキシャルシリコン領域を形成する。アンドープのエピタキシャルシリコン領域の厚さは、一部の実施形態において、デバイス性能における重要な因子である。他の一例において、高濃度ドーピング、中濃度ドーピング及び低濃度ドーピング(又は、ドーピングなし)を備える最終的なゲートスタックを実現するために、二重のエピタキシャルシリコン領域が使用される。代替的に、基板レベルに近い1つの高濃度ドーピング領域を備えた最終スタックのために1つのエピタキシャルシリコン領域が成長され、その後、ゲートと高濃度ドープされたスクリーニング領域との間でエピタキシャル成長層の中濃度から低濃度のドーピングが行われてもよい。レイヤ間でのドーパントのマイグレーション又は拡散を防止するため、一部の実装例において、様々な耐ドーパントマイグレーションの技術又は層が用いられ得る。例えば、P型エピタキシャルシリコン内では、炭素ドーピングを用いてボロン(B)拡散を抑制することができる。しかしながら、N型エピタキシャルシリコン内では、炭素はAsドーピングに悪影響を有することがある。炭素は、シリコンエピタキシーの全体に配されるか、あるいは各界面の薄い領域に閉じ込められるかし得る。その場ドープされた炭素又はイオン注入された炭素を用いることが可能である。その場ドープされた炭素が使用される場合、炭素はN型及びP型の双方に存在し得る。炭素が注入される場合、一部の実施形態において、それはP型でのみ使用されることができる。
DDCトランジスタは、利用可能なバルクCMOSプロセス技術を用いて形成され得る。そのような技術には、耐ドーパントマイグレーション層を堆積する技術、先端エピタキシャル層成長、ALD、先端CVD及びPVD、又はアニールが含まれる。これらは全て、例えば65nm、45nm、32nm及び22nmなどの先端集積回路プロセスノード技術で利用可能である。これらのプロセスノードは概して、STIアイソレーション、ゲートプロセス及びアニールに関して低いサーマルバジェットを有するが、DDCトランジスタの形成に依然として適している。
図14A乃至14Iは、DDCドーピングプロファイルを持つチャネルを有するデバイスを製造するためのプロセスフローを示している。これらの図は、新たなDDCトランジスタ及びデバイスの先端的な特徴及び動作を提供するDDC及びスクリーニング領域を有するNMOSトランジスタ及びPMOSトランジスタの各々がどのように構成されるかを示すために、2つのデバイスの製造の一例を示すものである。各工程における構造を進行的に示して、これら2つのトランジスタデバイスを形成するサンプルプロセスを説明する。他の例では、DDCデバイスを製造するためにその他のプロセスフローが使用されてもよく、この特定のプロセス及び関連する工程群は例示のために示されるものである。このプロセスは、トランジスタ構造を作り出すために形成、堆積あるいはその他の方法で作成される“領域”に関連して説明されるが、様々な形状、大きさ、深さ、幅及び高さの領域群、並びに様々な形態又は輪郭のレイヤ群を含むことが意図される。
先ず、図14Aを参照するに、構造1400は、例えばP型基板1406である基板から開始する。このP型基板上にNMOSデバイス又はPMOSデバイスを作り出すことができる。これら及びその他の図においては、単純化のため、また、取り得る実施形態及び例を説明するため、DDCデバイスのプロセスフローのこの例は、特定の複数の構造を分離するためのシャローレンチアイソレーション及びパーシャルトレンチアイソレーションを併せ持つNMOSデバイス及びPMOSデバイスの例に関して記述される。そうは言うものの、その他の開示構造又はデバイスに関する対応するフローも容易に理解されるであろう。また、図示しないが、これらのプロセスは、例えば、異なる領域として隣り合った構造、及び相互に積み重ねた領域を形成する際に使用されるマスキングなど、技術的に知られた様々な技術を用いて実行されることができる。
P基板1406上に、必要に応じてのNウェル注入領域1402及びPウェル注入領域1404が形成される。そして、Nウェル1402上に浅いPウェル注入領域1408が形成され、Pウェル1404上に浅いNウェル注入領域1410が形成される。これらの異なる領域は、先ず、P基板1406上にパッド酸化膜を形成し、その後、フォトレジストを用いてNウェル1402の第1のNウェル注入を行うことによって形成され得る。別のフォトレジストを用いてPウェル1404が注入され得る。別のフォトレジストを用いたイオン注入によって、浅いNウェル1410が形成され得る。そして、別のフォトレジストを用いて、浅いPNウェル1408が注入され得る。このプロセスは、その後、アニール処理によって続かれ得る。
図14Bに進んで、このプロセスは、浅いPウェル1408上へのNMOS用RDFスクリーニング領域1412の形成へと続く。この実施形態によれば、NMOS用RDF領域1412は、高いドーパント濃度のスクリーニング領域であり、例えば、RDFを抑制して改善された閾値電圧設定及び信頼性の数多くの利益を提供し且つトランジスタの閾値電圧の動的調整を可能にすることに関して上述したものなどである。このスクリーニング領域は、別のフォトレジストを用いてRDFスクリーニング注入領域として形成され得る。浅いNウェル1410上にPMOS用RDFスクリーニング領域1414が形成される。この領域は、別のフォトレジストを用いてPMOS用RDFスクリーニング注入領域として形成され得る。
続いて図14Cを参照するに、イニシャル酸化膜の除去後、フォトレジストを用いて、スクリーニング領域1412上にNMOS閾値電圧調整領域1416が形成される。この閾値電圧調整領域は、エピタキシャル成長法又はその他の同様の技術を用いて堆積され得る。同様に、フォトレジストを用いて、PMOS用RDFスクリーニング領域1414上にPMOS閾値電圧調整領域1418が形成される。その後、NMOSのV調整領域1416及びPMOSのV調整領域1418上でドープされたこれら閾値電圧調整領域の各々上に、アンドープあるいは低濃度ドープの領域1420、1422が堆積される。これらのアンドープあるいは低濃度ドープされた領域を形成することには、エピタキシャル成長法又はその他の同様の技術が用いられ得る。以上の工程群によって、DDCに適ったチャネルが形成される。これらの例では、各トランジスタに所望のDDCプロファイルを作り出すために2つのエピタキシャル領域が使用されているが、その代わりに、各々に単一のエピタキシャル領域を用いてDDCデバイスを作り出してもよい。
以上のプロセスフローにより、チャネルを作り出すことによって、2つのトランジスタ又はその他の更に複雑な回路を作製するために後に処理されるデバイスが準備される。しかしながら、以下のプロセスプローは、図14D乃至14Eに示されるようなnチャネル及びpチャネルのトランジスタを作り出すための残りの工程の例を開示するものである。
図14Dを参照するに、その後、シャロートレンチアイソレーション(STI)プロセスを適用して、トランジスタを隣接トランジスタから分離することによって、STIトランジスタ境界1424が形成される。ここで、各STI1424、1426及び1428の深さは、STIがPウェル内に入るように適切に設定される。見て取れるように、STIトレンチは、浅いPウェル1408及び浅いNウェル1410の各々の下方まで延在している。これは、トランジスタ間の改善されたアイソレーションを可能にする。
さらに、必要に応じて、パーシャルトレンチアイソレーション(PTI)1430、1434が適用され、ウェルタップを接続することが可能な領域が作り出され得る。PTI1430、1434の深さは、PTIが浅いPウェル内に部分的に入るように設定される。その後、図14Eに示すように、チャネルが形成されることになる領域に、例えば酸化物領域1438、1442などの絶縁体が堆積される。ここでは絶縁体として二酸化シリコンが使用され得るが、その他の種類の絶縁体も使用可能である。そして、それぞれのゲート絶縁体にゲート電極1436、1440が付着され、動作中にゲート電圧を供給することが可能にされる。
図14Fを参照するに、NMOS及びPMOSの各々のゲート及び絶縁体の領域の側面上と、ソース及びドレインのエクステンション1448、1450の上とに、スペーサ1446が形成される。後述するように、レガシーモードのデバイスでは、必要に応じて、NMOS及びPMOSのハロー(halo)プロセスが実行され得る。また、トランジスタのボディへのコンタクトを作り出すために、ボディコンタクト領域1444及び1464が、それぞれ、p+型ドーピング及びn+型ドーピングに掛けられる。ソース領域及びドレイン領域が形成されるとNMOSトランジスタ及びPMOSトランジスタが作り出され、デバイスを動作させるためにソース領域及びドレイン領域に必要な電圧を供給するためのコンタクトが設けられ得る。これは図14Gに示されており、そこでは、ソース/ドレイン領域1454/1456及び1458/1460がそれぞれ形成されている。図14Gには、ソース/ドレイン1454/1456及び1458/1460の境界を定める第2のスペーサ1452も示されている。その後、フォトレジストを用いてコンタクト及びメタルが形成され、デバイスとの電気接触が実現される。このプロセスがどこにソース及びドレインを配置するかに応じて、電界に大きな影響が及ぼされ得る。
DDCデバイスを製造する特定の工程を説明したが、デバイスの性能を更に向上させるために、あるいは様々な用途仕様に適合させるために、その他のオプション工程が含められてもよい。例えば、図14Gに示すように、ソース/ドレインエクステンションとして技術的に知られた技術を適用して、リーク電流を低減し得る。当業者に認識されるように、異なる領域の数多くの組み合わせが可能であり、領域の組み合わせが、ここでの教示に従う異なる領域を用いて再編成あるいは置換されてもよい。
閾値電圧調整領域及びスクリーニング領域のドーピングレベルは、チャネルの下方のスペーサエッジ間の領域に制限される。一手法において、それぞれのゲート1436及び1440の周りのスペーサとゲート上のハードマスクとによって定められるマスクを用いて、スペーサ1452の外側でシリコンがエッチングされる。エッチングされるシリコンの深さは、スクリーン領域の深さより大きくされる。この例において、シリコンは、同一あるいは異なる工程にて、NMOS及びPMOSの双方でエッチングされる。シリコンエッチングの後、図14Hに示すように、ゲート誘電体より僅かに高さまでシリコン1446がエピタキシャル成長される。エピタキシャル成長されたシリコンのドーピングは、その場ドーピングで行われてもよいし、図14Iに示すソース/ドレイン領域1468、1470、1472及び1474を形成するためのソース/ドレイン注入マスクを用いて行われてもよい。第1のゲート誘電体1438及び第2のゲート誘電体1437が層状に重ねられている。層1435及び1436は、適切なN+又はP+の仕事関数を有するように設計されたメタルゲート電極である。図14Iにおいては、ゲート誘電体と一体化されたメタルゲート電極でポリシリコンが置換されている。ポリをメタルゲートで置換するには、適切な仕事関数を有する2つの別々の金属が必要とされる。NMOSデバイス及びPMOSデバイスのVをCMOSプロセスで伝統的に使用されるN+/P+ドープトポリと同等に調整するには、〜4.2eV及び〜5.2eVの仕事関数の金属が必要である。ゲートの周りのスペーサ1452及びゲート上のハードマスクにより、セルフアラインされたソース/ドレイン領域が形成される。これは、より小さいソース/ドレイン−ボディ間キャパシタンスをもたらす。他の一手法において、補償ソース/ドレイン注入が実行されてもよい。この手法において、ゲート周囲のスペーサ及びゲート上のハードマスクにより、ゲートがセルフアラインすることが可能にされる。
認識されるように、複数の電力モードで効率的に回路を動作させられることは望ましいことである。また、異なる電力モード間で迅速且つ効率的に切り替えられることは、トランジスタ、及びそのようなトランジスタを用いて作成されるチップや、そのようなチップを実装するシステムの電力節減能力及び全体性能を有意に向上させ得る。動作モードを効率的に変化させることができる能力により、デバイスは、必要時に高い性能を届けることができるとともに、非アクティブ時にスリープモードに入ることによって電力を節減することが可能である。一実施形態によれば、個々の部分回路、及び更には個々のデバイスを、動的に制御することができる。デバイスの閾値電圧を動的に変化させられることにより、デバイスのモードも動的に変化され得る。
深空乏化チャネルデバイスは、広範囲の公称閾値電圧を有することができ、広範囲の動作電圧を用いて動作されることが可能である。一部の実施形態は、1.0Vから1.1Vまでの現行の標準バルクCMOSの動作電圧の範囲内で実現されることができ、また、例えば0.3Vから0.7Vといった更に低い動作電圧で動作することも可能である。これらは、低電力動作のための回路構成を提供する。また、DDCデバイスは、その強いボディ効果により、従来デバイスより応答に優れたものになり得る。この点において、強いボディ効果は、デバイスが共通の共有ウェルを介したその他のデバイスとの実質的な直接接続によって回路に変化をもたらすことを可能にし得る。一例において、共有ウェルは、一群のデバイスの下に位置する共通のPウェル又はNウェルを含み得る。動作時、これらのデバイスは、それぞれのボディバイアス電圧及び/又はそのデバイスの動作電圧の設定を変更することによって、モードを変化させることができる。これは、単一のデバイス又は1つ以上のグループのデバイスの切替を、従来デバイスより遙かに高速で少ないエネルギーを使用するものにし得る。故に、モードの動的な変更が迅速に行われ、システムは電力節減及び全体的なシステム性能を、より良好に管理することができる。
また、一部の用途においては、DDCに基づくデバイスが従来デバイスと一体となって動作し得るように、既存の環境との後方互換性が要求されることがある。例えば、新たなDDCに基づくデバイスと従来デバイスとが混合されたものが1.1Vの動作電圧で動作することがある。DDCに基づくデバイスと従来デバイスとのインタフェースをとるためにレベルシフトを実行する必要性が存在し得る。DDCに基づくデバイスがレガシーデバイスと一体となって動作することは非常に望ましいことである。
スクリーン領域は、トランジスタにおける応答性のよいマルチモード切替に利用される高いボディ効果を提供する。スクリーン領域を有するトランジスタの応答は、ボディバイアスの変化に対して、より広い範囲内で変化することができる。より具体的には、高濃度ドーピングのスクリーニング領域は、デバイスのオン電流及びオフ電流が様々なボディバイアスの下で一層広範囲に変化することを可能にし、それにより、動的なモード切替を支援することができる。これは、DDCデバイスは、従来デバイスより低いσV、すなわち、設定閾値電圧の小さいバラつきを有するように構成されることができるためである。故に、閾値電圧Vは異なる複数の値に設定されることが可能である。さらに、デバイス又は一群のデバイスは、閾値電圧を変化させるようにボディバイアスされることができ、故に、ボディバイアス電圧を変化させることに応答してV自体が変化することができる。故に、より低いσVは、より低い最小動作電圧VDDと、より広範囲の利用可能なV公称固有値とをもたらす。増大されたボディ効果は、そのような広い範囲内でのVの動的制御を可能にする。
さらに、最高性能が電力消費の増大をもたらし得る場合であっても、必要に応じて性能を最大化させるようにデバイスを構成することが望ましいことがある。他の一実施形態において、デバイスが高性能なアクティブ動作条件にないときにデバイスを有意に低い電力モード(スリープモード)に置くことが望ましいことがある。回路にDDCトランジスタを利用する際、全体的なシステム応答時間に影響を及ぼさないように、モード切替に十分に高速な切替時間を与えることができる。
ここで図示して説明する様々なDDCの実施形態及び例に従って構成されるトランジスタ又は一群のトランジスタに望ましいものとなり得る幾つかの異なる種類のモードが存在する。1つのモードは、ボディとソースとの間のバイアス電圧VBSがゼロである低電力モードである。このモードにおいて、デバイスは、低い動作電圧VDD及び非DDCデバイスより低いアクティブ/パッシブ電力で動作するが、従来デバイスと同等の性能を有する。他の1つのモードは、デバイスのバイアス電圧VBSが順バイアスされるターボモードである。このモードにおいて、デバイスは低いVCC及び高性能に合わせられたパッシブ電力で動作する。他の1つのモードは、バイアス電圧VBSが逆バイアスされるスリープモードである。このモードにおいて、デバイスは低いVCC及び低いパッシブ電力で動作する。レガシーモードでは、非DDC型のMOSFETがレガシーデバイスと実質的に同じように動作することを可能にするよう、プロセスフローが変更される。
DDC構造にされたデバイスは、従来デバイスに対する性能上の大きな利点を有しながら、スクリーン領域によって利用可能にされる強いボディ効果の結果として、強化された動的モード切替をも可能にする。ボディタップが、所望のモードを達成するために所望のボディバイアスをデバイスに印加することを可能にする。これは、上述のような低濃度ドープされたチャネルとスクリーニング領域とを有するDDCを用いて、あるいは代替的に、異なるドーパント濃度を有する複数の領域又は層を有するDDCを用いて達成され得る。例えばメモリブロック又はロジックブロックなどの一群のトランジスタに対してマルチモード切替が使用されるとき、従来のバルクCMOS技術を用いた個々のトランジスタ制御は、実用的でなく、また、制御回路に実質的なオーバーヘッドを生じさせ得る。追加の制御回路や異なるデバイス若しくは異なるデバイス群を制御するための大規模な専用配線を実装する必要があり、全てが、集積回路の総コストへの有意な追加となる。故に、動的モード切替用の一群のトランジスタ又はより多くグループのトランジスタを作り出すために使用可能な、部分回路又はユニットを開発することが望ましい。また、レガシーデバイスも、独立して、あるいは混合された環境で、動的制御の恩恵を受け得るように、レガシーデバイスにボディバイアス制御技術を提供することが可能な解決策を提供することが望ましい。
さらに、従来のバルクCMOSデバイスは物理的な設計変更を必要とし得るが、スクリーン領域を有するトランジスタの比較的高いボディ効果は、設計によって静的にであろうと、あるいは動的にであろうと、特定の実施形態において、様々なモードで動作するようにデバイスを制御する手段としてボディバイアスを使用することに関して、該トランジスタを適したものにする。
高濃度ドープされたスクリーン領域とボディバイアス電圧をボディに印加するための機構とを有する基本的なマルチモードデバイスを、異なる複数のモードを示す対応する表を添えて図2Aを複製した図15に示す。図2Aに関連して説明したように、ソースとデバイスボディとの間の電界を含むデバイスの電界を制御するために、バイアス電圧VBSをウェルタップとソースとの間に印加し得る。図15は、nチャネル四端子MOSFETのサンプル構造を示している。端子106はドレインとして指定され、端子104はソースとして指定されている。動作中、これら2つの端子間に電流が流れる。端子102はゲート電極と呼ばれ、この端子にはしばしば、ドレインとソースとの間の電流を制御する電圧が印加される。端子126は、この例ではPウェルであるトランジスタのボディへの接続を提供する。ドレインに印加される電圧は正の電源電圧であり、VDDと呼ばれており、ソース端子に印加される電圧は低い方の電源電圧である。電界がデバイスの特性に影響を及ぼす。ここに記載される様々な実施形態によれば、バイアス電圧VBS及び電源電圧VDDを適切に選択することにより、デバイスを複数の区別可能なモードに設定することができる。
従来のバルクCMOSデバイスにおいては、同じソースボディ電圧を維持するように、基板はしばしばソースに接続される。故に、ボディバイアスは典型的に、基板上の全てのデバイスに対して同一である。これは、通常の動作電圧とゼロバイアス電圧(VBS=0)が印加される上述の通常の低電力/低リークモードでDDCデバイスが使用される状況と同様である。しかしながら、ここに記載される様々な実施形態に従って構成されるマルチモードデバイスは、ボディタップの代わりに、効果的なモード制御手段を提供し得る。これは特に、上述のようにデバイスが高濃度ドープされたスクリーン領域をゲートから距離を置いて含む場合である。低いボディ効果を有するシリコン・オン・インシュレータ(SOI)ベースのデバイスと異なり、DDCベースのデバイスはバルクシリコン上に構成されて、高いボディ効果を有するデバイスを作り出すことができる。故に、DDC構成のデバイスは、マルチモード動作を実現する手段として可変ボディバイアスを利用することができる。図15の例に示すマルチモードトランジスタはPウェル上にnチャネルを有し得る。P+型領域がPウェル上に形成される。後述するボディタップ(図示せず)がP+領域に結合されて、nチャネルデバイスのボディであるPウェルへの導電コンタクトを生成する。ボディタップはp+ドープされているので、ボディタップへの接続はデバイスのPウェル(すなわち、デバイスのボディ)への接続を実現することになる。そして、ボディバイアス電圧がソースとボディタップとの間に印加され得る。ボディバイアス電圧は、nチャネルデバイスの動作モードを効率的に制御することが可能である。nチャネルデバイスにおいてのように、この動的モード切替技術は、Nウェル上のpチャネルデバイス(ボディタップを提供するようにn+領域が形成される)にも適用されることができる。また、ここに記載される強いボディバイアスを有する新構造は、同一の基板又はウェル上にnチャネルデバイス及びpチャネルデバイスの双方が存在するCMOSデバイスにも適用可能である。
ソースとボディとの間に印加されるボディバイアス電圧は、CMOSデバイスの挙動を実効的に変化させることができる。ボディタップを有する上述のデバイスでは、ソース−ボディ電圧は、ゲート−ソース電圧及びドレイン−ソース電圧とは独立に印加されることができる。マルチモード制御の制御手段としてボディバイアスを用いることの利点の1つは、デバイスがあたかも従来デバイス、例えば、ゲート−ソース電圧及びドレイン−ソース電圧が同じように設定されるデバイスであるようにデバイスが接続され得ることである。この場合、モード選択は、ボディバイアスに応答して為されることができる。故に、デバイスはゼロバイアスで通常に動作されることができ、これは従来デバイスと同じである。より高性能なモード(ターボモード)が望まれるとき、ウェルタップとソースとの間に順バイアス電圧、すなわち、VBS>0が印加され得る。ターボモードの動作電圧は、ノーマルモードの動作電圧と同じ、あるいはそれより僅かに高くされ得る。一方で、スリープモードが望まれるとき、ウェルタップとソースとの間に逆バイアス電圧、すなわち、VBS<0が印加され得る。スリープモードの動作電圧は、ノーマルモードの動作電圧と同じ、あるいはそれより僅かに低くされ得る。
ゼロボディバイアスが印加されるとき、マルチモードデバイスは通常の低電力モードで動作される。ボディバイアスは、デバイスの性能を高めるために、図15の例に示すようにボディとソースとの間に正電圧を印加して、順バイアスされることができる。この順バイアスモードは、高い駆動電流の形態の高められた性能のための“ターボモード”と称される。しかしながら、性能の増強はリーク電流の増大という犠牲の下で成り立つ。深いスリープモードにおいては、リーク電流を抑制するために、図15の例に示すようにボディとソースとの間に負電圧が印加され、ボディが逆バイアスされる。このモードは、デバイスがアイドル状態又は非アクティブ状態にあるときに望ましい。
図16は、nチャネルDDCデバイスの一例と従来のnチャネルデバイスとの間での、バイアス電圧VBSに対する閾値電圧Vの比較を示している。カーブ1610はDDCデバイスを表し、カーブ1612は従来デバイスを表す。図16は、一部の実装例において、DDCデバイスの閾値電圧が従来デバイスより遙かにバイアス電圧に敏感であることを示している。DDCデバイスはまた、ボディバイアスに応答して広い遅延範囲を提供することができる。従来デバイスでは、デバイス間の閾値電圧のバラつきは、図17Aに示されるように、遅延時間の大きい広がりを生じさせる。バンド1702、1704及び1706は、それぞれ、−0.5V、0.0V及び+0.5Vのバイアス電圧VBSについての遅延のバラつきを表している。ただし、遅延時間は、VDD=1.1V、VBS=0.0V、σV=0.0V且つ温度=85℃での従来デバイスの遅延時間を1に正規化した相対スケールで示されている。横軸は3σV値に対応している。従来デバイスのσVは典型的におよそ15mVであり、これは3σV=45mVをもたらす。図17Aに示されるように、3つのバンド1702、1704及び1706は実質的に重なりを有し、このことが、遅延時間に従ってモードを区別することを困難にする。図17Bは、DDCデバイスの例での改善された遅延時間を示している。図17Bにおいて、3つのバンドは重なりを有しないのみでなく、遙かに小さい広がりを有している。−0.5V、0.0V及び+0.5V(逆バイアス、ゼロバイアス及び順バイアス)の3つの異なるバイアス電圧において、DDCデバイスは3つの容易に区別可能なバンド1708、1710及び1712を示す。これらの区別可能なバンドは、DDCデバイスが一部の実施形態において、複数の動作モードでの使用に非常に効果的であることを示している。
低減されたσV、ひいては、より正確に制御可能なVを提供することができるトランジスタがもたらし得るその他の1つの利益は、Vを動的に制御可能なことである。従来デバイスにおいては、σVが非常に多きいためVは広範囲にわたって考慮される必要がある。ここに記載される実施形態によれば、ボディバイアス電圧を調整することによってVを動的に変化させることができる。Vの動的な調整は、増大されたボディ効果によって実現され、動的制御の範囲は、低減されたσVによって与えられる。図18を参照するに、デバイスに対して設定される静的VであるVT0と、そのデバイスが調整されることが可能な複数のVとを示すグラフの一例が示されている。各々が対応するΔV、すなわち、対応するV値ごとに個別のσVを有する。ここに記載される実施形態によれば、デバイスは、要求される電圧範囲内且つ好適な電圧調整速度でボディバイアス電圧を調整することによって動的に調整可能なVを有するように構成されることができる。特定の実施形態において、電圧調整は、所定の刻みで行われることができ、あるいは連続的に可変にされることができる。
他の一実施形態によれば、図15は様々なモードの下で動作することがかのうなマルチモードデバイスのサンプルを示しているが、デバイスが一群のトランジスタのためのボディをアイソレートするための構造を含むことも有用である。これは、デバイスが実効的に様々なモードの下で独立に動作することを可能にする。マルチモードトランジスタのグループのボディが接続される場合、そのグループ全体が同時に切り替えられることになり、モード切替を促進する能力を制限する。一方で、2つのグループのマルチモードトランジスタのボディが接続されない場合、それら2つのグループは個々に制御されることができる。故に、図15に示した基本のマルチモードトランジスタは更に、グループごとに個別のボディバイアスを用いる多数のブロックに分割されることが可能なトランジスタのグループを提供することができる。これについては後述する。
故に、例えば図14A乃至14Iに図示して上述したトランジスタ構造などのDDC構造を利用して、改善されたシステムを構成することができる。そのような構造についての変形例が、性能上の強力な進展を有する集積回路及びシステムに実装され得る。これらの構造がどのようにして、トランジスタをスケーリングするために構成され得るかを示してきた。ここでは、これらの構造がどのようにして、より広い集積回路及びシステムに拡張するための構成ブロックとして使用されるかを示す。例えば集積回路及びシステムに組み込まれたDDC構造、STI、PTI、浅いウェル及び/又は共有ウェルを用いることは、新たな向上されたシステム性能に合わせて構成され得る。また、集積回路及びシステムの新たな機能及び利益を実現するために、DDCはさておき、ボディタップ及び/又はボディアクセストランジスタを利用する新たなイノベーションが利用され得る。故に、バルクCMOS並びにその他の新たな構造及びプロセスにおけるこれらのイノベーションを用いて、大いに改善された動作を有する新たにスケーリングされた集積回路チップが構築され得る。
以上にて説明したトランジスタの実施形態は概して、バルクCMOSトランジスタ及びその他のデバイスの引き続いての電力スケーリングを提供し得るが、とりわけ、チップレベルでDDC構造の利益及び特徴の一部を完全に活用することを望む者は、ここに記載されるトランジスタの実施形態に従ったチップ上の回路ブロックのレイアウト及びルーティングの適切な変更によってそうすることができる。例えば、上述のように、トランジスタの閾値電圧を調整するためにトランジスタのボディバイアス電圧を動的に調整するという概念は知られてはいるが、ナノスケールデバイスにおける実装に実用的であるとは一般に証明されていない。その理由には、一部の実装例において、(1)従来のバルクCMOSナノスケールデバイスの大きいσVにより、既存のナノスケールデバイスに関するトランジスタ間での十分な区別が実現されないこと;(2)従来のバルクCMOSナノスケールデバイスの比較的低いボディ係数により、チップ動作への影響を回避するのに十分な迅速さで動作モード間の切替えを行うことができないこと;及び(3)ボディバイアス配線を各トランジスタ又は各回路ブロックにルーティングすることが、チップ上に集積可能なトランジスタ数を有意に減少され、故に、チップレベルでのスケーリングを妨げてしまうこと:が含まれる。一部のDDCトランジスタの実施形態は、最初の2つの問題を、(1)有意に低減されたσVを提供し、それにより、同じトランジスタが、異なる閾値電圧のみでなく異なる動作電圧でも動作するように設計されることを可能にすること;及び/又は(2)トランジスタ及び回路ブロックが動作モード間で迅速且つ効率的に切り替わることを可能にする有意に増大されたボディ係数を提供すること;によって解決することができる。DDCトランジスタは、一部の実施形態において、一部又は全てが、名目上同じ構造及び特性を有するが、従来バルクCMOSにおいては異なるように製造されなければならなかったトランジスタとして動作するように独立に設定可能であるという、カメレオンのようなフィールドプログラマブルトランジスタ(field programmable transistor;FPT)として取り扱われることができる。ボディバイアス配線の改善されたルーティングは、以下の議論における別の要素であり、それも、マルチモードトランジスタがどのように使用され得るかの更なる例を提供する。
図19は、各々のブロック又は回路が、それに供給されるボディバイアス電圧及び動作電圧に基づいて、異なるモードで動作し得るという、トランジスタのグループのマルチモード動作の概念を簡略化して示している。一部の実装例において、個々のブロックに別々のボディバイアスを印加することは、その閾値電圧を動的に調整することによって、共通に接続されたコンポーネントが共通のモードで動作し、且つ別々に接続されたコンポーネント又はシステムが別々に制御されたモードで動作することを可能にするように、システムを制御することを可能にし得る。図19に示す例示的な状況において、デバイス1900は、別個のボディバイアスコンタクトを有する5つのグループのトランジスタ又は回路ブロック1910、1920、1930、1940及び1950に分割されている。ここに記載される実施形態によれば、これら5つの回路ブロックのボディは、各ブロックに独立に異なるボディバイアスが印加され得るように互いに分離(アイソレート)されている。この例において、これらの回路ブロックの各々は、その他のグループから分離された自身のボディを有し、そのボディはそれぞれのボディタップ(1915、1925、1935、1945及び1955)に接続されている。これら5つのブロックは、分離されたブロックを作り出すためにトランジスタのグループ間にアイソレーションを設ける必要があることを例示ためのものである。図19はまた、各ブロックがそれぞれ個別のボディバイアスVB1、VB2、VB3、VB4及びVB5に接続されることを示している。当業者に理解されるように、各ブロックはまた、例えばドレインのVDD、ソースのVSS、ゲートのV及びその他の信号などのその他の供給電圧を必要とする。また、各回路ブロックに別々に異なる動作電圧VDDが与えられてもよい。各回路ブロックのモードは、設計によって静的に設定されることができ(例えば、互いに独立に動作モードを設定するために異なる回路ブロックを異なるボディバイアス電圧に接続することによる)、且つ/或いは動作中に各回路ブロックのボディバイアス及び/又は動作電圧をその動作モードを設定するために調整する制御回路及びアルゴリズムを介して動的に設定されることができる。低いσVと、比較的広範囲の値にわたって閾値電圧Vを調整する能力とにより、個々のトランジスタ又はトランジスタのグループの動作モードが別々に制御され得る。
以下の例では、様々なトランジスタを説明する。これらのトランジスタは、トランジスタの1つグループを、アイソレートされたボディを有する複数のブロックに形成するための構成ブロックとして使用されるものである。例えば、再び図14Gを参照するに、新DDC構造を有するように構成された一対のCMOSトランジスタの一実施形態が示されており、これらのトランジスタはボディタップを有し、これらのnチャネルデバイス及びpチャネルデバイスは同一の基板上にある。これらの構造は、以下にて説明する実施形態を含む大いに向上された性能の回路及びシステムを開発するために使用され得る。その他のトランジスタが新DDC構造のトランジスタと組み合わせて使用されてもよく、また、ここでの実施形態の一部はDDC構造のトランジスタを用いずに構成されてもよい。
図20は、P基板2080上に単一のPウェル2060があるウェル構造を有するnチャネル四端子トランジスタレイアウトの一例を示している。この四端子トランジスタのレイアウト2000は、ソース/ドレイン対2020及び2030、ゲート2040及びボディタップ2050を示している。位置2010における断面図も示されており、シャロートレンチアイソレーション(STI)2070の深さはPウェルの深さより小さい。Pウェル2060は、P基板2080上の全てのnチャネルトランジスタに共通である。故に、この四端子トランジスタは、nチャネルトランジスタ間にアイソレーションを設けなくてもよい。この例に示すように、ボディタップはP+(P-plus;PP)ドープされ且つトランジスタの横隣(図示のゲート方向を基準にして)に配置されている。さらに、ボディタップはSTI2070によってトランジスタからアイソレートされている。
図21は、新たな浅いPウェル(shallow P-well;SPW)を有するnチャネル四端子トランジスタの一例を示しており、SPWの深さはSTIの深さより小さくされている。この四端子nチャネルトランジスタのレイアウト2100は、ソース及びドレインの対2020及び2030、ゲート2040及びボディタップ2050を示している。断面図2180は位置2110を示し、断面図2190は位置2112を示している。浅いウェルはボディアイソレーションを可能にし、従って、特定の実装例において、例えばメモリセル又はその他のデジタル回路などのデバイスのグループの動的モード切替、ひいては、集積回路上で引き回されなければならないボディバイアス電圧配線の数を削減することを可能にする。断面図2180及び2190に示すように、トランジスタは、相補的なNウェル2164上に浅Pウェル2160を有する。p−n接合により、Nウェル2164は浅Pウェル2160に導通的には接続されず、該NウェルはP基板2080に導通的に接続されない。故に、このトランジスタは、同一基板上のNウェル2164上に浅Pウェル2160を有するその他のnチャネルトランジスタからアイソレートされることが可能である。アクティブ領域はゲートの下まで延在されている。ゲート下に延在されたアクティブ部には最小のアクティブ限界寸法(クリティカルディメンジョン;CD)が用いられる。延在されたアクティブエッジは、シリサイド化による短絡を回避するために、スペーサエッジ間に配置されてもよい。ボディコンタクトは、ゲートの外側に延在されたアクティブ領域の上に形成され得る。N+注入領域のエッジは、ゲート延在(エンドキャップ)領域の下とし得る。この例はnチャネル四端子トランジスタを作成する一手法を例示するものであるが、このレイアウトはpチャネル四端子トランジスタを作成するのにも適用され得る。図21に示すように、一部の実装例において、STIはSPWより深くし得る。一部の実施形態において、2つの隣接し合うトランジスタが共通のSPWを有しない場合、それらは互いに独立にバイアスされることができる。他の例では、隣接するトランジスタのグループは、共通のSPWを有していてもよく、同じボディバイアスを印加することによって同じモードで動作され得る。
動的マルチモードトランジスタの更なる他の一実施形態において、図22に示すように、実際のトランジスタとボディタップとの間にボディアクセストランジスタが形成され得る。図22は、nチャネル四端子トランジスタレイアウト2200及び関連する断面図2280を示しており、浅Pウェル(SPW)2160はSTI2070によってアイソレートされている。ボディアクセストランジスタはボディタップをトランジスタからアイソレートすることができる。ボディアクセストランジスタは、ゲート2041がボディアクセストランジスタのゲートとして機能し且つボディタップがソース/ドレインとして扱われるトランジスタであるかのように作成されることができる。これは、プロセスを単純化するとともに、ボディタップ接続を形成するのに必要な面積を減少させ得る。浅いウェルと組み合わされたボディアクセストランジスタの使用は、細かい粒度での動的モード切替を可能にするのに有用な構成ブロックとなる。一緒に切り替えられるトランジスタ又は回路のグループに関し、それらは同一の浅ウェルを共有するように配置されることができる。また、ボディアクセストランジスタを用いてボディへの接続を提供し且つボディバイアスを供給することによって、1つ以上のゲートタップが作成され得る。
上述のように、パーシャルトレンチアイソレーション(PTI)は、ボディタップをトランジスタからアイソレートするのに好適な別の一手法である。図23に示す他の一実施形態によれば、nチャネル四端子トランジスタの例示的なレイアウト2300及び断面図2380は、浅Pウェル(SPW)及びパーシャルトレンチアイソレーション(PTI)を含んでいる。断面図2380は位置2310での断面に相当する。SPWの深さはSTIの深さより小さくし得る。PTI酸化物は、n型ソース/ドレインとp型バルクタップとの間のシリサイド短絡を防止することができる。PTIの深さは、トランジスタ内の浅ウェルの連続性が維持されるように、浅ウェルの深さより小さくされ得る。PTIによる手法は、一部の実装例において、ボディタップとソース/ドレインとの間で考えられるシリサイドによる短絡に対する優れた保護を提供することができる。しかしながら、PTIは、デバイスの製造において1つ以上の追加プロセス工程を必要とする。PTIの深さは、一部の実施形態において、好ましくは、P+バルクタップとN+ソース/ドレインとを離隔させることでN+/P+接合リークを最小化するように、ソース/ドレイン接合より深くされる。
ソース/ドレインのアクティブ領域及びウェルタップのアクティブ領域の相対的な平面位置は、図24の例に示すようなPTIを有する四端子トランジスタ2400を作り出すように、異なるように配置されてもよい。断面図2480及び2490は、それぞれ、位置2410及び2412に対応する。図示のように、浅PウェルはSTIによってアイソレートされる。
以上の例は、ボディバイアス電圧を印加するためのボディタップを提供する四端子トランジスタを示していたが、ボディバイアス用の第4の端子を不要とし得る状況も存在する。例えば、CMOSトランジスタが共通のNウェル上に浅いPウェル及びNウェルを有するとき、Nウェル上に浅いNウェルを有するpチャネルトランジスタは、常に共通のNウェルを有することになる。そのような実装例においては、ボディに接続する別個の第4の端子を設ける必要がないことがある。従って、ここでは、ボディがアイソレートされた複数のブロックを有するトランジスタのグループを作成するための構成ブロックとして使用され得る三端子トランジスタについて、幾つかの例を説明する。他の一状況において、トランジスタが相補的なウェル上に浅いウェルを有し、該トランジスタがボディをフローティングにして動作するよう意図されることがある。そのような実装例においては、第4の端子を使用する必要がないことがある。
図25に示すように、一例に係る三端子構造2500においては、端子数を4から3に削減するため、ローカルインターコネクトがゲートとボディとを接続する。断面図2580及び2590は、それぞれ、位置2510及び2512に対応する。断面図2580において、ローカルインターコネクト(LI)コンタクト2551が、延在されたゲートにボディコンタクトを接続するために使用されている。この例において、ゲート−ボディコンタクトは、メタルコンタクトを用いて、延在されたアクティブ領域上で為されている。SRAMセルで使用される矩形コンタクトも、ゲートをボディに接続するために使用され得る。
更なる他の一実施形態において、三端子動的マルチモードトランジスタは、ポリの下のボディコンタクトを用いることによって形成される。GA(Gate to Active)コンタクトマスクを用いて、ゲートの下の酸化膜が除去される。このゲート誘電体除去領域上で、SPWと同じ極性を有するポリシリコンゲートコンタクト(PGC)注入が行われ得る。図26の構造2600に示すように、PGC2650の使用により、ボディがゲートに接続される。断面図2680及び2690は、それぞれ、位置2612及び2614に対応する。このレイアウト方式には、ボディへのセルフアラインされたゲートコンタクトを形成可能なこと、及び/又はセルフアラインされたGC(ゲートコンタクト)注入を実行可能なことを含む幾つかの潜在的な利点が存在し得る。GC注入はSPW(P+ドーピング)と同じ極性を有し得るので、一部の実施形態において、アクティブ領域に屈曲が存在しないようにすることができ、これは製造適合設計(design-for-manufacturing;DFM)フレンドリーである。接続にPGCを使用することは、ボディに対して、より高いコンタクト抵抗をもたらし得る。しかしながら、一部の実施形態における静的モード制御では、コンタクト抵抗は極めて重要なものではない。故に、PGCは、静的制御が要求されるときに使用するようにしてもよい。
他の例では、ボディコンタクトは、図27に示す三端子単一ゲートトランジスタ2700と同様に、ゲートエクステンションの下に延在されたアクティブ領域に形成されることができる。断面図2780及び2790は、それぞれ、位置2712及び2714に対応する。延在されたアクティブ部には最小アクティブ限界寸法(CD)が用いられ得る。延在されたアクティブエッジは、ゲートの下のアクティブ領域のスペーサエッジ間に配置され得る。ゲートの下の酸化膜が、GAコンタクトマスクを用いて除去され得る。ゲートが除去された領域上で、SPWと同じ極性を有するGC注入が行われ、ボディを用いてボディがゲートに結合され得る。一部の実装例において、この手法は、GC注入がSPW(P+ドーピング)と同じ極性を有するので、ボディへのセルフアラインされたゲートコンタクト、又はセルフアラインされたGC注入を使用可能なことを含む同様の利点を提供することができる。
図27の例に示すように、ゲートのコンタクト及びウェルタップのコンタクトは、ポリに沿った相異なる位置にすることができるが、それらは、図28の構造2800に示すように、同じ位置に置かれてもよい。断面図2880及び2890は、それぞれ、位置2812及び2814に対応する。
他の一実施形態において、レイアウトは、プログラム可能な四端子/三端子トランジスタを可能にする。図29の構造2900に示すように、ゲート及びボディは、金属領域2950を用いて切断あるいは接続され、それにより、それぞれ、四端子又は三端子が得られる。断面図2980及び2990は、それぞれ、位置2912及び2914に対応する。従って、金属領域接続により、プログラマブル四端子/三端子トランジスタレイアウトが容易にされる。
様々なトランジスタを説明してきたが、多くの例において、従来システムに対して改善された性能を有する有用なシステムを作り出すために、様々な実施形態及び例で説明された異なる構造が、異なる組み合わせ及び基礎構造で用いられてもよい。これらのトランジスタ構造はまた、動的モード切替のために複数のブロックに分割され且つ個々のボディバイアス接続を有するトランジスタグループを作り出すための構成ブロックとして使用され得る。幾つかの例を以下にて説明する。
ここに記載される実施形態の一部に従って構成されるトランジスタの利点のうちの1つは、動的モード切替が可能なことである。これは、制御されたボディバイアス電圧を印加して可変動作電圧を設定あるいは調整することによって実現されることができる。図30は、四端子トランジスタを用いて動的モード切替を行うことが可能な回路3000の一例を示している。この図には、様々なバイアス電圧及び動作電圧が示されている。回路ブロックa1−a4は、それぞれ、標準モード、低リークモード、及び2つのターボモードに対応している。これらの回路ブロックは各々、一対の四端子トランジスタ、すなわち、4つの端子がS(ソース)、D(ドレイン)、G(ゲート)及びB(ボディ)として指定されたpチャネル四端子トランジスタ3010及びnチャネル四端子トランジスタ3020を使用している。ブロックa1では、ボディタップを有する四端子トランジスタが従来のトランジスタとして使用される。nチャネルデバイス(図示した下側のトランジスタ)のボディはソース電圧VSSに結合されている。pチャネルデバイス(図示した上側のトランジスタ)のボディは動作電圧VDDに接続されている。ブロックa2では、デバイスがアクティブに使用されていないときに低リークを達成するように、デバイスは逆バイアスされている。この逆バイアスは、nチャネルデバイスのボディを、VSSより低いnチャネル用の逆バイアス電圧VBBNに接続し、且つpチャネルデバイスのボディを、VDDより高いpチャネル用の逆バイアス電圧VBBPに接続することによって達成され得る。より高い性能が望まれる場合、デバイスは、ブロックa3及びa4に示すような順バイアス状態に置かれることができる。a3(i)では、pチャネルのボディ及びnチャネルのボディは、それぞれ、専用の順バイアス電圧VFBP及びVFBNに接続されている。ただし、VFBPはVDDより低く、VFBNはVSSより高い。他の例では、順バイアス電圧用に必要な追加電源を排除することによってシステムコストを削減するために、ソース電圧及びドレイン電圧が順バイアスに使用され得る。a3(ii)に示すように、pチャネルのボディはVSSに結合され、nチャネルのボディはVDDに結合される。a4(i)及びa4(ii)の回路は、高い動作電圧VDDHが接続されていることを除いて、a3(i)及びa3(ii)の回路と同様である。
図31に示すように、動的切替環境に四端子デバイスを使用することにはその他の変形例も存在する。図31において、回路ブロックa1は、ボディをフローティングにするために四端子デバイスのボディが未接続のまま残される状況を示している。図31に示すフローティングボディ3100には2つのバージョンが存在し、サブブロックa1(i)は動作電圧としてVDDを使用し、サブブロックa1(ii)は動作電圧としてVDDHを使用している。これは中間の性能を届けることになる。回路ブロックa2では、pチャネルデバイス及びnチャネルデバイスのボディ及びドレインが全て互いに結合されて、ターボモードを達成している。ここに記載される一実施形態によれば、同じ動的モード切替機能が、より多数のトランジスタを有する大規模な回路に拡張される。
図32Aは、簡略化したケースを用いて動的モード切替の実装例を示している。図32Aは、2つの回路ブロック3220及び3230が、独立したボディバイアスが印加され得るようにアイソレートされたボディを有する回路3200を示している。回路ブロック3220のボディバイアスはボディコンタクト3225を介して印加されることができ、回路ブロック3230のボディバイアスはボディタップ3235を介して印加されることができる。図30に示したもののような、その他の電圧のための電源ラックは示していない。しかしながら、図32におけるシステムの電源ラックの実装は当業者に容易に理解されるであろう。このような回路ブロックの例示的な断面3250を図32Bに示す。図32Bは、回路ブロック3220及び3230に対応して、Nウェル上に浅いPウェル3260及び3261を有するnチャネルデバイスを示している。浅いPウェル3260及び3261はSTI3263によって2つの回路ブロックの間でアイソレートされ、2つの回路ブロック用の別々の浅いウェルが作り出されている。2つの浅いPウェル3260及び3261は、p−n接合効果のため、その下の、P基板3266上に位置するNウェル3264によって接続されてはいない。ボディアクセストランジスタが、タップを作り出し、且つSPWウェルを共有するアクティブトランジスタからタップをアイソレートするために使用されている。浅いPウェルへの接続を提供するボディコンタクトのためにp型コンタクト領域3210が使用される。図32Bの例は、動的モード切替のためにアイソレートされた複数の回路ブロックを作り出すための、ボディタップに沿った浅いチャネルSTI3262の使用を示している。この例はnチャネルデバイスに関して示されているが、pチャネルデバイスにも容易に適用され得る。
また、これは、構造3310内にpチャネルデバイスとnチャネルデバイスとを併せ持つ図33Aの例に示されるデバイス3300にも拡張され得る。図33Bは、CMOSデバイスが2つの浅いPウェル3260、3261と浅いNウェル3360とを有する状況を表している。これらの浅ウェル3260、3261及び3360は、それぞれのボディコンタクト3325、3335及び3345を備えている。これらの浅ウェルは全てNウェル3264上にある。3つの回路ブロックが示されており、回路ブロック3320及び回路ブロック3330はnチャネルデバイスであり、回路ブロック3340はpチャネルデバイスである。これらの回路ブロックの各々は同一のNウェル3264を共有することができる。p−n接合効果により、回路ブロック3320及び3330の浅いPウェルは、一部の実装例において、pチャネルデバイスから常にアイソレートされることができる。2つ以上のpチャネル回路ブロックが存在してもよい。しかしながら、浅いNウェルはその下のNウェルに常に接続されるので、pチャネルデバイスの各々は同一のボディバイアスを有し得る。故に、一部の用途において、pチャネルデバイス用の例えば3360などの浅いNウェルは、その他の浅Nウェルデバイスと共通のNウェルを共有することができない。そのような用途においては、共通のウェルが使用されるとき、Nウェルデバイスは、アイソレートされた複数の浅ウェルへと分割されることができない。故に、動的電力モード切替の観点からは、pチャネルデバイス用の個別の回路ブロックを形成する必要がない場合がある。一部の実施形態において、単一のNウェルの状況において、nチャネルデバイスのみがボディバイアス機構を介して別々に制御され得る。基礎をなすトランジスタが、ここに記載されるように高いボディ効果を有するように構成されるとき、ボディバイアスを使用することが、動的モード切替を容易にする効果的な手法となり得る。pチャネルデバイスの場合、Nウェル内の浅いNウェルは必要に応じてのものである。
以下の図は、ここに記載される実施形態に従った集積回路の構成ブロックとして使用され得る多数の回路例を示すものである。これらの回路は、多数の方法及び構造を用いて形成され得る。産業界で現在使用されている一部の構成ブロックのプロセス及び構造を用いる例を用いて、説明を始めることとする。その後に説明する図は、従来手法を大いに改善する構成ブロックのプロセス及び構造を用いる例を示すものである。
図34Aは、動的モード切替の実装例を示す後述の図にて使用されることになる一般的に使用される相異なる回路要素を用いて構成された回路の一例を示している。図34Aには、NANDゲートNAND2 3402と、インバータINV3404と、ボディタップTAP3406とを有する結合回路3410が示されている。これらの有用な構造は、より良好に構成され且つ有用な、新たな強化機能を有する回路を提供するために、ここで開示される様々な実施形態に従って使用され得る。
図34Bにおいて、レイアウト3420は、トランジスタのグループを実装する従来手法を示しており、それぞれのウェル内にタップ3427及び3429を作成するためにダミーポリ3428を用いている。このボディタップは、全てのデバイスに共通のウェル又は基板への接続を提供する。図34Bは、ウェル内に延在するボディタップを示している。このレイアウトの下部は、Nウェル上に浅いPウェルを有するnチャネルにて実装されるデバイス部分を示している。浅いPウェルは、その深さがSTIの深さより小さいので、STIによって隣接デバイスからアイソレートされる。このレイアウトの上部は、Pウェル上に浅いNウェルを有するpチャネルにて実装されるデバイス部分を示している。やはり、浅いNウェルはSTIによって隣接デバイスからアイソレートされる。2つの別々のウェル(Pウェル及びNウェル)及びそれぞれの浅ウェルが使用されるので、完全に相補的なデバイスによって、nチャネルデバイス及びpチャネルデバイスに対する別々の個別動的制御が可能にされる。NANDゲートNAND2 3422、インバータINV3424及びTAP3426を含む図34Bにおいて、デバイスの上部及び下部は、それらそれぞれのボディ結合3427及び3429を有している。このレイアウトの下部は、Pウェル上に浅いPウェルを有するnチャネルにて実装されるデバイス部分を示している。このレイアウトの上部は、Nウェル上に浅いNウェルを有するpチャネルにて実装されるデバイス部分を示している。NANDゲートNAND2 3422、インバータINV3424及びボディアクセストランジスタTAP3426を含む図34Cは、単一のボディタップ3437及び3439が新たなボディアクセストランジスタに基づいて実装されることを除いて、図34Bと同様である。これら新たなボディアクセストランジスタは、トランジスタのボディへのアクセスを可能にする新たな構成を提供する。従来のデバイス設計と異なり、これらの構造は、デバイス及び回路に有意義な動作能力を提供する。
図34Dは、NANDゲートNAND2 3422、インバータINV3424及びボディアクセストランジスタTAP3446を含む回路レイアウト3440の一例を示している。回路レイアウト3440は、それぞれのウェルへの接続を実現するために、ボディアクセストランジスタ3450を用いて、STIによって分離された2つのボディタップ3437又は3439を作成している。図34Dでは、ボディアクセスポリを用いてボディへの接続を実現している。2つの別々のボディタップを有するボディアクセストランジスタはSTIによってアイソレートされている。すなわち、STIの左側及び右側は、アイソレートされた浅ウェルを有し、該左側及び右側に個別のボディバイアスが接続されることが可能にされている。図34Eは、それぞれ位置3482及び3484に対応する断面図3490及び3495を示している。断面図3490において、STI3464及び3465によって両側でアイソレートされた浅いPウェル3462上にnチャネルトランジスタ(例えば、3460)がある。浅いPウェル3462はNウェル3466上にあり、該NウェルはP基板3468上にある。ボディタップ3439が浅Pウェル3462に接続されている。デバイス3440の上部は、STI3474及びSTI3475によってアイソレートされた浅いNウェル3472上のpチャネルトランジスタ(例えば、3470)を含んでいる。浅いNウェル3472はPウェル3476上にあり、該Pウェルは同一のP基板3468上にある。ボディタップ3437が浅Nウェル3472への接続を提供している。デバイス3440は、動的モード制御のための、別個のボディタップ(3439及び3437)を備えた、アイソレートされた浅いウェル(3462及び3472)を有する、完全に相補的な複数のトランジスタを用いる実施形態の一例を示している。
図34Dは、DDCを有するように構成されたトランジスタに基づく動的モード切替実装を示しているが、動的モード切替は、レガシーデバイスと新デバイスとを有する混合環境にも適用可能である。図35は、浅いウェルを分離するSTI3524及び3534を含むNANDゲートNAND2 3502、INV3504及びTAP3506で構成された同じ回路に関して、レガシーデバイスと新デバイスとを混合して用いる一実装例を示している。この場合も、Nウェル及びPウェルの双方が使用される。しかしながら、NAND2及びTAPが何れも、浅ウェルが同じドーピング型のウェル上にあるレガシー手法を用いて実装される。NAND2 3502及びTAP3506は常に、Nウェル又はPウェルの何れかの上に共通のウェルを有する。故に、NAND2 3502及びTAP3506のための浅ウェルはSTIによってアイソレートされることができない。この構成は、INV3504用の浅ウェルをアイソレート可能にするのみである。設計に応じて、INV3504のボディは、フローティングにされてもよいし(すなわち、それぞれの浅ウェルに接続するためのボディタップが設けられない、あるいはボディタップが接続されない)、ボディバイアスに接続されてもよい。しかしながら、2つの別々のウェルが使用されるので、Pウェル上のnチャネルデバイスとNウェル上のpチャネルデバイスとに、2つの別個のボディバイアス電圧を印加することができる。
図35はまた、それぞれ位置3510及び3512における断面図3550及び3560を示している。断面図3550は、浅いPウェル3522及び3521上のnチャネルトランジスタ及びタップ3516の双方を示している。浅いPウェル3522及び3521は何れもPウェル3526上にあり、Pウェル3526はP基板3528上にある。ボディタップ3516は、nチャネルトランジスタのボディへの接続を提供する。下部内のpチャネル用の浅いNウェル3532は、アイソレートされてフローティングのままにされている。断面図3560は、浅いNウェル3533及び3535上のpチャネルトランジスタ及びタップ3514の双方を示している。浅いNウェル3533及び3535は何れもNウェル3536上にあり、Nウェル3536はP基板3538上にある。ボディタップ3514は、pチャネルトランジスタのボディへの接続を提供する。上部内のnチャネル用の浅いPウェル3523は、アイソレートされてフローティングのままにされている。上述のボディアクセストランジスタを用いて、浅いNウェル3532内のpチャネルデバイス用のボディタップ、及び浅いPウェル3523内のnチャネルデバイス用のボディタップが追加されてもよい。
図36は、2つの別個のウェルが用いられるレガシー手法に基づく一実装例を示している。nチャネルトランジスタは、STI3623及び3624によってアイソレートされた浅いPウェル3622上にある。全てのnチャネルトランジスタ用のこの浅Pウェル3622はPウェル3626上にあるので、浅いPウェル3632は、STI3624とSTI3625との間の隣接回路からアイソレートされることにある。Pウェルが、その他の浅いPウェル上のnチャネルトランジスタ間の接続を提供するためである。Pウェル3626及びNウェル3636は何れも深いNウェル3628上にあり、深いNウェル3628はP基板3630上にある。ボディアクセスコンタクト3612及び3614も図示されている。
以上の例は、バルクCMOSを用いる様々な動的モード切替の実装例を示している。しかしながら、新たなボディ結合設計は、非バルクCMOSデバイスを用いる半導体デバイスにも適用可能である。例えば、ボディタップは、図37に示すような部分空乏化(partially depleted;PD)SOI技術上にも形成されることができる。図37は、NAND2 3722、INV3724及びTAP3746を含んでいる。回路3700は、図34Dと同様であり、別々のボディタップ3712及び3714を作り出すためにボディアクセストランジスタが使用されている。図37はまた、位置3716及び3718に沿ったレイアウトに対応する断面図3740及び3760を示している。回路3700の下部は、STI3743及び3745によってアイソレートされたPウェル3744上のnチャネルデバイスに関するものである。故に、それぞれの回路ブロックに独立にボディバイアスが印加され得るように、SOI上に複数のアイソレートされたPウェルを形成することが可能である。回路3700の上部は、STI3747及び3749によってアイソレートされたNウェル3764上のpチャネルデバイスに関するものである。故に、それぞれの回路ブロックに独立にボディバイアスが印加され得るように、SOI上に複数のアイソレートされたNウェルを形成することが可能である。Pウェル3744及びNウェル3764は何れも埋め込み酸化膜(BOX)3748上にある。この構造は、ここに記載される様々な実施形態に従ってトランジスタ又は関連する切替可能デバイスのグループを別々にバイアスすることを容易にする。
例えば中央演算処理ユニット(CPU)、マイクロプロセッサ/マイクロコントローラ、デジタル信号プロセッサ(DSP)、フィールドプログラマブルゲートアレイ(FPGA)及びその他のデバイスなどの、様々なデジタルプロセッサの内部で、あるいはそれに結合されて、スタティックランダムアクセスメモリ(SRAM)が広く使用されている。産業界で、幾つかのデバイス構造が広く使用されている。それらの中で、6T−SRAM(6トランジスタSRAM)セルが最もよく使用されている。6T−SRAMセルは汎用CMOSプロセスを用いて実装されることができるためである。従って、それは、デジタルプロセッサに容易に埋め込まれることができる。上述の新構造を利用して、より良い性能及び縮小された回路面積を有する改良SRAMが構成され得る。新ボディタップ、ボディアクセストランジスタ、及び/又は新DDC構造を用いることにより、周知の処理装置及び設備を用いて、有意に改善されたSRAMを製造することができる。また、これらのSRAM回路の実施形態の一部は、新たなDDC構造のトランジスタを用いて、また、新たなDDC構造のトランジスタと組み合わせてその他の種類のトランジスタを用いて製造され得る。実施形態の一部は、ここでは、改善されたSRAM性能及び機能の恩恵を依然として受けながら、DDC構造のトランジスタと用いずに構成され得る。
一実施形態において、基本の6T−SRAMセルは、1ビットのデータを格納する2つのプルアップ(PU)トランジスタ及び2つのプルダウン(PD)トランジスタと、ビットライン及び反転ビットラインを制御する2つのパスゲート(PG)トランジスタとを含む。この一例を図38の構造3800に示す。パストランジスタのスイッチングは、低い動作電力消費及び低リーク電流を有するSRAMの設計を可能にするように、ワードラインによって制御され得る。図38の6T SRAMの例において、PUトランジスタはpチャネル四端子トランジスタ3010を用いて実装され、その他はnチャネル四端子トランジスタ3020を用いて実装される。図38はまた、ワードライン(WL)、ビットライン(BL)、ビットライン否定(BLN)、VSS及びVDDを含む6T−SRAM用の様々な信号及び電源を示している。図38はまた、nチャネルトランジスタのボディ(浅いPウェル、SPW)及びpチャネルトランジスタのボディ(Nウェル、NW)への接続が設けられ得ることを示している。
メモリアクセスは、電子システムにおいてかなりの量の電力を消費し得る。メモリアクセス中及びデータ保持中の電力消費を低減する実装及びシステムを開発するための努力が為されてきた。SRAMは典型的に、コンピュータシステムにおいてプログラム及びデータ記憶のために使用される。プログラムの実行又はデータアクセスの間、メモリの一部はアクティブにアクセスされ、その他の部分はアイドル状態となり得る。SRAMの動作モードが細かい粒度で動的に切り替えられるとしたら、それは有利である。一実施形態において、各セルのボディは、該セルのバイアスが個別に制御され得るように構造的にアイソレートされ得る。実際には、1つのロウ(行)のセル群が、該ロウのソース電圧を接続することによって一緒に制御され得る。上述のVSSに基づく6T SRAMモード切替制御並びにボディタップ及びボディアクセストランジスタに加えて、これは、マルチモード化SRAMを作り出す別の一手法である。この手法は、例えばボディアクセストランジスタ技術を用いてセルのブロックの浅いウェル拡散を分割することによって、SRAMでの使用にために実装され得る。所望の動作モードを決定するために、所望のボディバイアスがボディタップを介してSRAMセルのブロックに選択的に印加され得る。
動的なマルチモードSRAMアレイを作り出すため、コンポーネント構成ブロックを使用する実施形態例が提供される。これらのブロックは、様々な四端子トランジスタ、三端子トランジスタ、及びプログラム可能な三/四端子トランジスタを含む。これらの構成ブロックが、様々なボディ接続構造と一緒に組み合わされることで、より効率的に動作する改良SRAM回路が構築され得る。例えば、ボディアクセストランジスタは、ボディタップをソース/ドレイン対の一方として扱いながらSTI上のポリをトランジスタに転換することによって形成されることができる。ボディアクセスセルは、SRAMアレイに個別にボディバイアスを印加することができるよう、SRAMアレイの浅ウェルをアイソレートするように周囲領域に付加され得る。以下、6T SRAM実装及び付随するボディアクセストランジスタの一例を、動的マルチモードSRAMアレイを作り出すようにSRAMセルとボディアクセスセルとを接続するプロセスとともに説明する。
図39は、図38の6T SRAMのレイアウト例を示している。6T SRAMセルは6個のトランジスタを含んでおり、PGはパスゲートトランジスタの位置を指し示し、PDはプルダウントランジスタの位置を指し示し、PUはプルアップトランジスタの位置を指し示す。PDトランジスタ及びPGトランジスタは、nチャネルトランジスタであり、N+(NP)注入領域3910内に形成され、PUトランジスタは、pチャネルトランジスタであり、P+(PP)注入領域3920内に形成される。nチャネルトランジスタは浅いPウェル3940上に形成され、pチャネルトランジスタはNウェル3950上に形成される。Nウェル3950内に浅いNウェルを使用することは、この実施形態の実装例ではオプションである。信号配線及び電源配線が図38及びその他の図に示される。
SRAMセル構造3900の一好適レイアウト例の断面を図40Aに示す。断面図4010は、PGトランジスタ及びPDトランジスタが位置する直線4015に対応する。更なるPG及びPDトランジスタが、SRAMセルの他方の端部側に配置され、同様の断面図を有する。断面図4010はまた、これらのトランジスタがNウェル4040上に浅いPウェル3940を有することを示している。NウェルはP型基板4050上にある。断面図4020は、PUトランジスタが位置する直線4025に対応する。この断面図は、PUトランジスタがNウェル4040上に浅いNウェル3950を有することを示している。pチャネルトランジスタの浅いNウェル3950は、同じドーパント型を有するウェル(Nウェル)上にある。故に、浅Nウェル及びNウェルは導通的に接続され得る。Nウェル内の浅Nウェルは必要に応じてのものである。しかしながら、nチャネルデバイスでは、浅いPウェル3940は、その下のNウェル4040からアイソレートされ得る。図39に対応する6T SRAMセルを3Dで見たものを、ウェル構造及びトランジスタ種類を付した図40Bに示す。
図41Aは、1つの好適ウェル構造の上面図の一例を示している(Nウェルはセル領域全体を延在しているので示していない)。図39の6T SRAMレイアウトでは、浅いPウェルはy方向で端から端まで延在している。ただし、x及びyは、6T SRAMセルの相対的な向きを特徴付けるための恣意的な方向である。図41Bは、一実施形態に従った2×2アレイを形成するように敷き詰められた6T SRAMセルを示しており、y方向で隣接し合う2つのセルのうちの一方は、セルの鏡像を形成するようにy方向に反転されている。図41Bに示すように、浅いPウェル3940はy方向でセルを跨いで連続となる。故に、多数のセルがy方向に接続される場合、それらのセルの全てが同一の浅いPウェルを共有することになる。動的モード切替のきめ細かさを増すためには、浅いPウェル3940の連続性と断ち切る構造を使用する必要がある。タップセルは、浅いPウェルをアイソレートし且つ浅いPウェルへの接続を提供する目的を果たす。
図42は、ここに記載される実施形態とともに使用され得るタップセルのレイアウト例を示している。このレイアウトは、後述のSRAMセルレイアウトと一致するように設計されている。タップセルの上部及び下部は、アイソレートされた浅Pウェルを有しており、故に、それぞれのボディバイアス源(VSPW0及びVSPW1として示す)を個別に接続されることができる。図43は、破線で示した2つの位置での断面図の例を示している。なお、この図は回転されている。断面図4210は位置4215での切断図に相当する。左側の浅いPウェル3940は、STIの右側の浅いPウェル3940からアイソレートされることができる。この浅ウェルアイソレーションは、2つの浅ウェルに異なるボディバイアスを印加することを可能にする。浅いPウェルへのコンタクトを作り出すため、p型注入がボディアクセストランジスタのソース/ドレイン領域に用いられる。このp型ソース/ドレイン領域は浅Pウェルと同じドーピング型を有するので、p型ソース/ドレイン(すなわち、ボディタップ)から浅Pウェルへの導通が生成される。断面図4220は位置4225に対応する。ボディタップ領域は、浅いNウェルと同じドーピング型でドープされるので、ボディタップによって浅Nウェルへの接続が生成される。断面図4210及び4220のウェル構造は、それぞれ、断面図4010及び4020のそれと同様である。
図44は、図42のタップセルの上面図の一例を示している。浅いPウェル3940が端から端まで延在するSRAMセルとは異なり、上側のタップセルのウェル構造3940は、分割ライン4480で、下側のそれからアイソレートされることができる。上述のように、浅いNウェルのアイソレーションは重要ではない。浅いNウェルはその下の、セル全体にわたって延在した、Nウェルに導通接続されているためである。タップセルはまた、SPWタップ4460を介した浅Pウェル3940への接続と、SNWタップ4470を介した浅Nウェル3950への接続とを提供する。図45は、ここに記載される実施形態に従った動的モード制御機能を利用する2×2SRAMアレイ4500の一形成例を示している。このSRAMアレイは、2×2のSRAMセルと、y境界の各々の側に2つの、SPWタップ及びアイソレーションを形成するタップセルとで構成されている。この場合も、x−y方向はアレイの向きを示すための相対的な方向である。図45に示すように、y方向に隣接し合う2つのSRAMセルは、連続したSPWを有する。y方向での、それら2つの隣接セルを超えてのSPWの連続性は、タップセル4200内のSTIによって終端される。故に、この2×2SRAMアレイにボディバイアスVSPWnを印加し、頂部で隣接するアレイ(完全には図示せず)にボディバイアスVSPW(n−1)を印加し、且つ底部で隣接するアレイ(完全には図示せず)にボディバイアスVSPW(n+1)を印加することが可能である。図46は、SPWアイソレーションにタップセルを用いる4×4のSRAMアレイ4600の一例を示している。図45及び46は、y方向においてSPWの連続性を有するSRAMセルの使用と、SPWの連続性を終端するボディアクセスセル(タップセルとも称する)の使用とを例示している。故に、これに従って、所望のサイズを有する動的モード切替式のSRAMアレイを形成することができる。
図45及び46はSPWの連続性及びアイソレーションの例に焦点を当てているが、上述のように、完全なるアレイを形成するためには、数多くのその他の信号及び電源電圧が必要とされる。これらの信号及び電源電圧のSRAMアレイへの接続は、当業者には技術的に周知であり、ここでは詳細には説明しない。図46に対応する完全に接続された4×4SRAMアレイにおいては、SRAMアレイの各ロウ(行)にワードライン(WL)信号が接続され、SRAMアレイの各コラム(列)にビットライン(BL)信号が接続され得る。
ボディ制御信号(VSPWn)はワードラインと平行に走ることができる。SRAMアレイの動作中、選択されたワードグループのボディバイアスが、該選択されたワードラインのワードが選択される場合に正に切り替えられ得る。これは、読出し性能及び書込み性能を向上させる助けとなる。特定のワードグループに対する読出し又は書込み時、サブアレイ内のその他全てのワードグループは、リーク抑制のために逆バイアス(あるいはゼロバイアス)されたボディを有することができる。
モード切替を容易にするためにボディタップ/ボディアクセスセルを用いる6T SRAMの一部の使用例において、浅いPウェルボディが動的切替のために使用され、pチャネルボディ(Nウェル)が静的バイアスのために使用され得る。グループ内で選択されたワードは、選択されたワードグループ内の全てのnチャネルトランジスタの浅Pウェルボディを切り替えさせ得る。pチャネル及びnチャネルのバイアスはゼロに設定され、その後、所望のモードに従って順バイアスあるいは逆バイアスされることができる。上述のボディアクセスセルに基づく動的モード切替式SRAMアレイは、スケーリング可能な細かい粒度制御において利点を有する。しかしながら、この手法はSRAMセルに加えてボディアクセスセルを必要とする。追加のボディアクセスセルを必要としないその他の手法及びシステムが存在する。そのような手法の1つは、ボディアクセスセルに基づく手法においてはSRAMアレイの全てのセルが共通のVSSを共有するのに対し、ロウごとのVSS(VSS per-row)を使用する。VSSがロウごとに個別に制御可能な場合、各ロウに固有のVSSを印加して、該ロウに所望のボディバイアスを生成することができる。この状況においては、ボディ電圧は制御可能でなくてもよい。しかしながら、異なるVBS電圧(ボディとソースとの間の電圧)を生じさせて動的モード切替を達成するように、VSSを個別に制御することができる。
図47は、ロウごとのVSSに基づくマルチモードスイッチの6T−SRAM回路4700の一例を示している。この場合も、SRAMセルは、2つのプルアップ(PU)トランジスタと、2つのプルダウン(PD)トランジスタと、2つのパスゲート(PG)トランジスタとで構成されている。図47に示す例と図38の6T SRAMセルとの間の相違の1つは、図47で使用されるパスゲート(PG)がnチャネル三端子デュアルゲートトランジスタ4710であることである。三端子デュアルゲートトランジスタのレイアウト及び対応する断面図は、図26及び図27に示されている。デュアルゲートトランジスタは、ボディに接続されたゲートを有する。すなわち、PGトランジスタのゲート(すなわち、WL)がセルのボディに接続される。PUトランジスタ及びPDトランジスタは、図38の例においてと同じ種類である。図48は、図47のSRAMセルのレイアウト4800の一例を示しており、セル境界4860が示されている。PGトランジスタ及びPDトランジスタには浅いPウェル内のnチャネルデバイスが使用され、PUトランジスタにはpチャネルデバイスが使用される。このSRAMセルのウェル構造は、図39のそれと非常に類似したものであるので、断面図は示さない。SPW及びSNWは何れも、セル全体で使用される共通のNウェル上にある。
図49Aは、図48のSRAMレイアウトのSPW及びSNWを示す構造4900を示している。このレイアウトでは、VSSコンタクト4910がはっきりと示されている。複数のSRAMセルを接続するとき、コンタクトはしばしば、メタル領域を用いて接続される。図49Bは、図48のSRAMセルを用いた2×2のSRAMアレイ4920を示している。SPW3940は、図45又は図46のSRAMアレイのような連続性を形成していない。図49Bはまた、各ロウに個別にVSS(VSS04921及びVSS14922)が接続されることを示している。図49Cは、ロウごとのVSS技術に基づく4×4のSRAMアレイ4930を示しており、各ロウに固有のVSS(VSS04931、VSS14932、VSS24933及びVSS34934)が使用されている。
図49Cに対応する4×4SRAMアレイの完全なるレイアウトにおいては、ボディアクセスセル技術に基づく動的モード切替式4×4SRAMアレイと同様に、ロウごとにワードライン(WL)が接続され、コラムごとにビットライン(BL)が接続され得る。各ロウのワードラインはSPW(すなわち、それぞれのデバイスのボディ)に接続され得る。VSSもロウごとに接続され得る。故に、ロウごとに個別のボディバイアスを達成することができる。Nウェルボディタップは16(又は32)本のワードラインごとに設けられ得る。
6T SRAM5000のVSSベースモード切替の他の一実装例を図50に示す。三端子デュアルゲートトランジスタのエピボディコンタクトがPG上に形成されており、また、セル境界5060が示されている。図51Aは、図50のSRAMレイアウトのSPW及びSNWを示している。このレイアウトでは、VSSコンタクト4910がはっきりと示されている。図51Bは、図50のSRAMセルを用いた2×2のSRAMアレイ5120を示している。SPW3940は、図45又は図46のSRAMアレイのような連続性を形成していない。図51Bはまた、構造5100において各ロウに個別にVSS(VSS04921及びVSS14922)が接続されることを示している。図51Cは、ロウごとのVSS技術に基づく4×4のSRAMアレイ5130を示しており、各ロウに固有のVSS(VSS04931、VSS14932、VSS24933及びVSS34934)が使用されている。このセルの特徴及び面積は、図48の例においてと同じである。
セルの動作モードは、VSS、nチャネルバイアス、ワードライン(WL)状態、ビットライン(BL)状態、VDD及びpチャネルボディバイアスを含む複数の条件に従って決定される。VSS、nチャネルバイアス、ワードライン(WL)状態、ビットライン(BL)状態は動的モード切替のために使用され、VDD及びpチャネルボディバイアスは静的モード制御のために使用され得る。このSRAMアレイでは、ロウごとに基づいて、専用のVSS(VSS0−VSS2、VSS3)が使用される。同様に、nチャネルボディバイアスを動的に制御するために浅いPウェルに接続されるWLも、ロウごとに1つのWL(WL0−WL3)を有するように編成される。BL及びのVDDのラインは、縦方向に複数のセルを接続するように使用される。図示のように、BL及びVDDはともに、コラムごとに1つのBLと1つのVDDとを提供するように編成される。典型的なSRAMは、Read/Write(リード/ライト)、NOP(ノー・オペレーション)及び深いスリープモードを含み得る。以下、これらのモードの更なる詳細について説明する。
スタンバイ及びデータ保持モード(深いスリープモードに対応する)において、VSSは、nチャネルデバイスのボディを逆バイアスして実効的なVDSを低減するように、正にバイアスされる。この設定はスタンバイリークを低下させる。例えば、VDS≦0.3Vとなるように、VSSが0.3Vに設定され、且つVDDが0.6V未満に設定され得る。この条件下では、PGトランジスタ及びPDトランジスタの双方が逆バイアスされることになる。pチャネルデバイスはゼロバイアスあるいは逆バイアスされ、PDオフ電流の1000xのPUトランジスタ電流が維持される。NOPモードにおいて、PG及びPDの双方のnチャネルデバイスは、逆バイアスされたボディを有し、PUのpチャネルデバイスのボディはゼロバイアス又は逆バイアスでバイアスされる。一例として、VDS≦0.4Vとなり且つ低いスタンバイ電流が達成されるように、VDDが1.0Vに設定され、且つVSS及びBLが0.6Vに設定される。
Readモードにおいて、PG及びPDの双方のnチャネルデバイスは順バイアスを有することができる。動的なVSS切替は、選択されたワード(又はロウ)に制限され得る。PGデバイスでは、VGS=VBS≦0.6V、且つVDS≦0.6Vにされる。PDデバイスでは、VGS=1.0V、且つVBS≦0.6Vにされる。より大きいPDのVDSによって、好適なPD/PGベータ比が達成され得る。PGデバイスの幅はPDデバイスの幅と同じにし得る。これは、好ましい静的読出しノイズマージン及び低い読出しセル電流を達成し得る。
Writeモードにおいて、PG及びPDの双方のnチャネルデバイスは順バイアスを有することができる。動的なVSS切替は、選択されたワード(又はロウ)に制限され得る。PGデバイスでは、VGS=VBS≦0.6Vにされる。この例においては浅いPウェル内のnチャネルのPGトランジスタ及びPDトランジスタ並びにpチャネルPUトランジスタが使用されているが、同じ設計目標を達成するために、浅いNウェル内のpチャネルのPGトランジスタ及びPDトランジスタ並びにnチャネルPUトランジスタも使用され得る。
ロウごとのVSS技術は、浅ウェルアイソレーションにボディアクセスセルを必要とせず、各SRAMセルは、ボディアクセスセルに基づく技術のSRAMセルより大きい。セルを隣接セルからアイソレートしてVSSに基づくロウごとのボディバイアス制御を容易にするため、セルの周囲に非アクティブ領域が追加され得る。従って、この例においては、セルの高さが130nmだけ増加され得る。これは、セル面積の約38%の増加に相当する。全てのトランジスタが同じ方向に向けられる。一設計例として、トランジスタの寸法は以下のようにし得る:
パスゲート(PG):W/L=70nm/40nm
プルダウン(PD):W/L=85nm/35nm
プルアップ(PU):W/L=65nm/35nm
この例は、45nmプロセスノードにおいて、x×y=0.72μm×0.475μm=0.342μmの面積をもたらす。
図52は、必要に応じてインターコネクト5210を用いて相互接続される多数の機能ユニットを含んだシステム5200を示している。例えば、一部のケースにおいて、インターコネクト5210は、機能ユニット5204−1、5204−2、5204−3乃至5204−nの全ての間での通信のための共通パス(経路)を提供する。他のケースにおいて、インターコネクトは、一組の機能ユニット間でのポイント・ツー・ポイント通信を提供しながら、他の組の機能ユニット間に共通の通信パスを提供する。故に、インターコネクト5210は、例えば有線、無線、ブロードキャスト及びポイント・ツー・ポイントを含む従来の通信技術を用い、ターゲットシステムで利用可能な機能ユニットを用いてシステム設計者の目的を満足することに適した如何なる手法で構成されてもよい。0nの“n”は、システム設計者が必要であると考える数の機能ユニットが存在し得ることを伝えるためのものであり、最大で9個(nine)の機能ブロックが存在することを示唆するものではない。
一部の実施形態によれば、システム5200は、複数の独立にパッケージングされた構成要素及び/又はサブアセンブリを有する電子システムである。今日のそのようなシステムの例は、パーソナルコンピュータ、携帯電話、デジタル音楽プレイヤー、電子書籍リーダー、ゲーム機、可搬式ゲームシステム、ケーブルセットトップボックス、テレビジョン、ステレオ機器、及び、ここに開示される技術によって提供される増強された電力消費量制御の恩恵を受け得るその他の電子的に同様の電子システムを含む。このようなシステムにおいて、機能ユニット5201、5202、5203、5204−1乃至5204−nは、このようなシステムの典型的なシステムコンポーネントであり、インターコネクト5210は典型的に、プリント配線基板又はバックプレーン(図示せず)を用いて実現される。例えば、パーソナルコンピュータの場合、機能コンポーネントは、CPU、システムメモリ、及び例えばハードディスクドライブ又はソリッドステートディスクドライブなどの大容量記憶装置を含み、これらの全てが、必要に応じて、マザーボード上に実装されたシステムインターコネクトによって相互接続される。同様に、携帯電話は例えば、多様な1つ以上のチップとディスプレイパネルとを含み、これらの全てが典型的に、フレキシブルコネクタを含み得る1つ以上のプリント配線基板(PWB)を用いて相互接続される。
他の実施形態によれば、システム5200はシステム・イン・パッケージ(SIP)であり、機能ユニットの各々が集積回路であって、その全てが一緒に単一のマルチチップパッケージ内にパッケージングされる。SIPシステムにおいて、インターコネクト5210は、例えばワイヤボンド、リードボンド、はんだボール若しくは金のスタッドバンプなどの直接的なチップ間相互接続によって、また、共通のバス型インターコネクト、二点間インターコネクト、電源プレーン及びグランドプレーンを含み得るパッケージ基板によって提供される相互接続によって実現され得る。
更なる他の実施形態によれば、システム5200は、例えばシステム・オン・チップ(SOC)などの単一チップであり、機能ユニットは、共通の半導体基板又は半導体・オン・インシュレータ基板(例えば、SOI基板上にバルクCMOS及びSOI構造が実装されるとき)上のトランジスタ群として実装される。このような実施形態において、インターコネクト5210は、集積回路内の複数の回路ブロックを相互接続するために利用可能な如何なる技術を用いて実現されてもよい。
上述のように、説明したトランジスタ及び集積回路の技術は、共通の半導体基板上での、設計によって静的に、且つ/或いはボディバイアス及び/又は動作電圧を調整することによって動的に、独立に指定されることが可能なマルチモードトランジスタの製造及び使用を可能にする。これらの同じ技術はまた、複数の機能ユニットのうちの1つのみがこの技術を実装する場合であっても、同様の利益をシステムレベルで提供し得る。例えば、機能ユニット5202は、自身のDDCトランジスタの動作モードを動的に調整して電力消費を低減するロジック(図示せず)を含み得る。これは、例えば、機能ユニット5202上に実装されるデジタル又はアナログの技術によって行われ得る。他の例では、機能ユニット5202は、例えば機能ユニット5201などの別の機能ユニットからの外部制御信号に応答して電力消費量を制御し得る。各機能ユニットにおける電力消費が、その機能ユニットによってローカルに制御されようが、コントローラ機能ユニットによって中央的に制御されようが、あるいは複合的な手法によって制御されようが、典型的に、より多くの電力消費制御が達成され得る。
電力消費のシステムレベルでの制御は、時折、特にコンピューティングシステムにおいて、既に知られている。例えば、電力制御インタフェース(Advanced Configuration and Power Interface;ACPI)仕様は、オペレーティングシステムによるシステムコンポーネントの電力管理のオープン規格である。上述の深空乏化チャネル型のトランジスタ及び集積回路の技術は、システム内の各機能ユニット内の個々の回路ブロックのシステム制御を可能にすることによって、そのような電力管理アプローチの可能性を補完・拡張する。例えば、ACPIによって提供される制御のうちの最低のレベルは、デバイスレベルであり、それはパーソナルコンピュータなどのマルチコンポーネントシステムの機能ブロック(例えば、チップ又はハードドライブ)に相当する。1つのデバイス内の個々の回路ブロックの電力消費に対する粒度の細かい個別制御を提供することにより、デバイス及びシステムの更に多くの電力状態が可能になる。
システムレベルの電力管理は、DDC構想を用いるSOCシステムにおいて特に有益となり得る。上述のように、DDC構造はナノスケールのトランジスタにおける高いレベルでのプログラム可能性を可能にする。DDC構造の、比較的広範囲にわたる利用可能な公称閾値電圧Vと、比較的低いσVと、比較的高いボディ係数とにより、全てが同一の固有Vを有し且つ同一の動作電圧VDDで動作されるように製造されたトランジスタ群が、その後に、回路ブロックごとに異なる実際のV及び場合により異なる実際の動作電圧VDDを用いて異なる動作モードで動作するように強化設定され得る。この種の柔軟性は、同一のチップが、多様なターゲットシステム及び動作条件で使用されるように設計されること、及びその場の動作に応じて動的に設定されることを可能にする。これは、SOCであるかにかかわらず、時々AC電源に接続されるがその他の時には電池を使用するシステムにとって特に有用となり得る。
図53は、必要に応じてインターコネクト5310を用いて相互接続される多数のシステム5301、5302及び5303を含んだネットワーク5300を示している。例えば、一部のケースにおいて、インターコネクト5310は、システム5304−1乃至5304−nの全ての間での通信のための共通パスを提供する。他のケースにおいて、インターコネクトは、一組のシステム間でのポイント・ツー・ポイント通信を提供しながら、他の組のシステム間に共通の通信パスを提供する。故に、インターコネクト5310は、例えば有線、無線、ブロードキャスト、ポイント・ツー・ポイント及びピア・ツー・ピアを含む従来の通信技術を用い、ターゲットネットワークに接続されることが可能なシステムを用いてネットワーク設計者の目的を満足することに適した如何なる手法で構成されてもよい。5304−nの“n”は、ネットワークが許す限りの数のシステムが存在し得ることを伝えるためのものであり、最大で9個(nine)のシステムが存在することを示唆するものではない。
上述の深空乏化チャネル型のトランジスタ、集積回路及びシステムの技術は、ネットワークに結合されるシステムの粒度の細かい制御能力を提供する。ネットワーク化された複数のシステムに対してこのような高いレベルの制御を有することは、企業ネットワークにおいて、オンになっているが使用されていない機器によって被るエネルギーコストを低減することに特に有用となり得る。このような制御はまた、電力消費量を制御し、申込条件に応じてシステム能力をオンあるいはオフに切り換え、性能を上げるために特定の機能ユニット又はその一部を選択的に高性能動作モード(例えば、“ターボモード”)に置くことの支援になるかにかかわらず、例えばセル方式電話ネットワークを含む加入者ベースの無線ネットワークのものとし得る。
図54は、例えば図53を参照して説明したものなどのネットワークとともに用いるか、あるいは単独で用いるかにかかわらず、システ例えば図52を参照して説明したものなどのシステムを用いる例示的な方法を示している。ステップ5410でシステムの電源がオンにされた後、システムは。システムコンポーネント(例えば、機能ユニット)の電力モードを設定する。これは、ネットワーク上で提供される外部信号、システム内の機能ユニットによって提供される中央のモード制御信号、又はマルチモード動作が可能な各機能ユニットにて別々に生成されるローカルなモード制御信号、の何れかに応答して、ここに記載された種類のトランジスタ、トランジスタグループ及び/又は集積回路を用いて行われる。上述のように、単一のコンポーネントが、相異なるモードで動作するように設定される相異なる部分を有することができる。例えば、或るコンポーネントの一部がレガシーモードで動作するように設定され、同じコンポーネントの他の一部が低電力・低リークモードで動作するように設定されることができる。ステップ5430にて、システムはその使用状況を監視し、その電力モードを変更すべきかを決定する。この監視機能は、1つの機能ユニットによって中央的に実行されてもよいし、各々が特定の状態を監視することに基づいてモードに関するローカルな決定を行い得る複数の機能ユニットに分散されてもよいし、これらの双方であってもよい(例えば、システム全体を深いスリープモードに置くことを中央監視が決定していないにもかかわらず、1つの機能ユニットがそれ自身の基準に基づいて自身がスリープモードに入るべきことを決定し得る。同様に、1つのコンポーネントが、初期モード設定後に、性能を上げるために自身をターボモードに置くことを決定しているにもかかわらず、中央モニタがシステム全体を深いスリープモードに置くことを決定してもよい。)。ステップ5430は、システム又は機能ユニットの状態が変化して新たな電力モードが要求されるまで繰り返される。新たな電力モードが要求される場合には、ステップ5440が実行される。図示のように、ステップ5440にて、システムの電源停止が要求される場合、システムはステップ5450でシャットダウンされる。その他の場合、どのような状態変化が要求されたかに応じて、1つ以上の機能ユニットに対してステップ5420が繰り返される。斯くして、ここに記載の技術を用いて製造されたシステム又はチップのユーザは、その利益の恩恵を受け得る。
特定の例示的な実施形態を図面に図示して説明したが、理解されるように、様々なその他の変形が当業者に明らかになり得るのであり、これらの実施形態は、単なる例示であって広範囲の発明を限定するものではなく、また、本発明は、図示して説明された具体的な構造及び構成に限定されるものではない。従って、本明細書及び図面は、限定的なものではなく、例示的なものと見なされるべきである。

Claims (21)

  1. 電界効果トランジスタであって:
    ドープされたウェルと、
    前記ドープされたウェルの上方に位置し、ドレインとソースとの間の導通を制御するゲートと、
    5×1017原子/cmより低いドーパント濃度を有するアンドープのチャネルであり、前記ドレインと前記ソースとの間且つ前記ゲートの下方に位置するアンドープのチャネルと、
    前記アンドープのチャネルのドーパント濃度の10倍より高いドーパント濃度を有する遮蔽領域と、
    前記アンドープのチャネルと前記遮蔽領域との間に位置し、当該電界効果トランジスタの閾値電圧を調整する閾値電圧調整領域と、
    を有する電界効果トランジスタ。
  2. 前記ドープされたウェルは、前記遮蔽領域の下方に絶縁層を有しておらず、前記閾値電圧調整領域は、前記遮蔽領域のドーパント濃度の1/50から1/2の間のドーパント濃度を有する、請求項1に記載の電界効果トランジスタ。
  3. 前記遮蔽領域は、前記ドレインと前記ソースとの間に延在し、且つ前記ドレイン及び前記ソースそれぞれに接触している、請求項1又は2に記載の電界効果トランジスタ。
  4. 前記遮蔽領域は、前記ドレイン及び前記ソースに接触していない、請求項1又は2に記載の電界効果トランジスタ。
  5. 前記遮蔽領域は、約2.5nmから50nmの間の厚さであり、前記ゲートの下方の空乏深さを設定する、請求項1乃至4の何れかに記載の電界効果トランジスタ。
  6. 前記閾値電圧調整領域は第1のエピタキシャル層として形成され、前記アンドープのチャネルは第2のエピタキシャル層として形成されている、請求項1乃至5の何れかに記載の電界効果トランジスタ。
  7. 前記閾値電圧調整領域及び前記アンドープのチャネルは単一のエピタキシャル層から形成されている、請求項1乃至5の何れかに記載の電界効果トランジスタ。
  8. 前記アンドープのチャネルは、30nm未満の厚さであり、且つシリコンから形成されている、請求項1乃至7の何れかに記載の電界効果トランジスタ。
  9. 前記閾値電圧調整領域は更に、5nmより大きく50nmより小さい厚さを有する実質的に平坦な層を有する、請求項1乃至8の何れかに記載の電界効果トランジスタ。
  10. 電界効果トランジスタを製造する方法であって、
    バルクシリコン内に、ドープされたウェルをドーピング形成する工程と、
    前記ドープされたウェル内にドーパントを注入して、前記ドープされたウェルに接触した遮蔽領域を形成する工程と、
    前記遮蔽領域のドーパント濃度の約1/50から1/2の間のドーパント濃度を有する閾値電圧調整領域をエピタキシャル成長させる工程と、
    アンドープのチャネルをエピタキシャル成長させる工程であり、該アンドープのチャネルは、アニール後のドーパント濃度で、前記遮蔽領域のドーパント濃度の1/10未満のドーパント濃度を維持する、工程と、
    前記ドープされたウェル、前記遮蔽領域、及び前記アンドープのチャネルの上方に、ドレインとソースとの間の導通を制御するゲートを形成する工程と、
    を有する方法。
  11. 前記閾値電圧調整領域を第1のエピタキシャル層にて形成する工程と、前記閾値電圧調整領域へのドーパント注入の後にのみ、前記アンドープのチャネルを成長させる工程と、前記アンドープのチャネルに、アニール後のドーパント濃度で、5×1017原子/cm未満のドーパント濃度を維持させる工程と、を有する請求項10に記載の方法。
  12. 前記アンドープのチャネル及び前記閾値電圧調整領域を単一のエピタキシャル層にて形成する工程、を有する請求項10又は11に記載の方法。
  13. 電界効果トランジスタであって:
    ドープされたウェルと、
    少なくとも部分的にゲートの下方及び前記ドープされたウェルの上方に延在するように配置された遮蔽領域と、
    ソース及びドレインであり、これらの間に、アニール後のドーパント濃度で5×1017原子/cm未満のドーパント濃度を有するアンドープのチャネル領域が延在している、ソース及びドレインと、
    前記遮蔽領域のドーパント濃度の約1/50から1/2の間のドーパント濃度を有し、且つ前記アンドープのチャネル領域と前記遮蔽領域との間に位置し、当該電界効果トランジスタの閾値電圧を調整する閾値電圧調整領域と、
    を有し、動作時に、
    少なくとも所定の閾値電圧が前記ゲートに印加されたとき、前記ゲートと前記遮蔽領域との間に深空乏化チャネル(DDC)を形成可能であり、前記ゲートの近傍に反転領域が形成されたとき、前記ソースと前記ドレインとの間の電流導通が可能にされる、
    電界効果トランジスタ。
  14. 前記ドープされたウェルは、バルクシリコン内に形成されており、前記遮蔽領域の下方に絶縁層を支持していない、請求項13に記載の電界効果トランジスタ。
  15. 複数のPMOS及びNMOSの電界効果トランジスタを支持するダイであって:
    絶縁層を有しないバルクシリコン内のドープされたウェルと、
    前記少なくとも1つのPMOS及びNMOS電界効果トランジスタ対の下方に延在し、前記ドープされたウェルに接触し、且つPMOS及びNMOS電界効果トランジスタそれぞれのゲートの下方に位置する遮蔽領域と、
    少なくとも1つのPMOS及びNMOS電界効果トランジスタ対にわたって延在するようにエピタキシャル成長されたアンドープのチャネル層であり、該アンドープのチャネル層は、アニール後のドーパント濃度で5×1017原子/cm未満のドーパント濃度を有し、該アンドープのチャネル層からPMOS及びNMOS電界効果トランジスタそれぞれのアンドープのチャネルが形成されており、前記PMOS及びNMOS電界効果トランジスタはシャロートレンチアイソレーションによって互いに分離されている、アンドープのチャネル層と、
    を有し、
    前記遮蔽領域は、前記アンドープのチャネル層の前記アニール後のドーパント濃度の10倍より高いドーパント濃度を有する、
    ダイ。
  16. 前記遮蔽領域のドーパント濃度の1/50から1/2の間のドーパント濃度を有する閾値電圧調整領域を更に有し、該閾値電圧調整領域は、前記アンドープのチャネル層と前記遮蔽領域との間に位置する、請求項15に記載のダイ。
  17. 前記アンドープのチャネル層は第1のエピタキシャル層として形成され、前記閾値電圧調整領域は第2のエピタキシャル層として形成されている、請求項16に記載のダイ。
  18. 前記アンドープのチャネル層及び前記閾値電圧調整領域は単一のエピタキシャル層から形成されている、請求項16に記載のダイ。
  19. 前記PMOS及びNMOS電界効果トランジスタそれぞれの前記アンドープのチャネル層は、30nm未満の厚さであり、前記遮蔽領域は、約2.5nmから50nmの間の厚さとなるようにイオン注入及び/又はエピタキシャル成長されている、請求項15乃至18の何れかに記載のダイ。
  20. 前記遮蔽領域は更に、2.5nmより大きい厚さを有する実質的に平坦なイオン注入された層を有する、請求項15乃至18の何れかに記載のダイ。
  21. 前記アンドープのチャネル層は更に、30nmより小さい厚さを有するように成長された実質的に平坦な層を有する、請求項15乃至18の何れかに記載のダイ。
JP2012554028A 2010-02-18 2011-02-17 電界効果トランジスタ、それを支持するダイ、及び電界効果トランジスタの製造方法 Active JP5838172B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/708,497 2010-02-18
US12/708,497 US8273617B2 (en) 2009-09-30 2010-02-18 Electronic devices and systems, and methods for making and using the same
PCT/US2011/025278 WO2011103314A1 (en) 2010-02-18 2011-02-17 Electronic devices and systems, and methods for making and using the same

Publications (2)

Publication Number Publication Date
JP2013520798A true JP2013520798A (ja) 2013-06-06
JP5838172B2 JP5838172B2 (ja) 2016-01-06

Family

ID=43924267

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012554028A Active JP5838172B2 (ja) 2010-02-18 2011-02-17 電界効果トランジスタ、それを支持するダイ、及び電界効果トランジスタの製造方法
JP2012554029A Active JP5934118B2 (ja) 2010-02-18 2011-02-17 電子デバイス及びシステム、並びにその製造方法及び使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012554029A Active JP5934118B2 (ja) 2010-02-18 2011-02-17 電子デバイス及びシステム、並びにその製造方法及び使用方法

Country Status (5)

Country Link
US (10) US8273617B2 (ja)
JP (2) JP5838172B2 (ja)
KR (3) KR20130004909A (ja)
CN (2) CN102918645B (ja)
WO (2) WO2011103318A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170031312A (ko) * 2015-09-10 2017-03-21 삼성디스플레이 주식회사 디스플레이장치 및 이의 제조방법

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8253195B2 (en) * 2004-01-29 2012-08-28 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8253196B2 (en) * 2004-01-29 2012-08-28 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8212316B2 (en) * 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US7230302B2 (en) 2004-01-29 2007-06-12 Enpirion, Inc. Laterally diffused metal oxide semiconductor device and method of forming the same
US8212317B2 (en) * 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8212315B2 (en) * 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8253197B2 (en) * 2004-01-29 2012-08-28 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
WO2009147559A1 (en) * 2008-06-02 2009-12-10 Nxp B.V. Local buried layer forming method and semiconductor device having such a layer
US8164969B2 (en) * 2008-07-01 2012-04-24 Jeng-Jye Shau Ultra-low power hybrid circuits
JP5351274B2 (ja) * 2009-08-25 2013-11-27 株式会社東芝 不揮発性半導体記憶装置
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8421162B2 (en) * 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8530286B2 (en) * 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5531848B2 (ja) * 2010-08-06 2014-06-25 富士通セミコンダクター株式会社 半導体装置、半導体集積回路装置、SRAM、Dt−MOSトランジスタの製造方法
US20120038960A1 (en) * 2010-08-13 2012-02-16 Han Wui Then Electro-optical logic techniques and circuits
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
US8378428B2 (en) * 2010-09-29 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5605134B2 (ja) * 2010-09-30 2014-10-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US10629250B2 (en) * 2010-11-16 2020-04-21 Texas Instruments Incorporated SRAM cell having an n-well bias
US8404551B2 (en) * 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8416009B2 (en) * 2011-07-13 2013-04-09 International Business Machines Corporation Solutions for controlling bulk bias voltage in an extremely thin silicon-on-insulator (ETSOI) integrated circuit chip
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) * 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8643108B2 (en) * 2011-08-19 2014-02-04 Altera Corporation Buffered finFET device
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US8614128B1 (en) * 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US9054221B2 (en) * 2011-08-31 2015-06-09 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with a common back gate isolation region and method for manufacturing the same
US9214400B2 (en) * 2011-08-31 2015-12-15 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with back gate isolation regions and method for manufacturing the same
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8803242B2 (en) 2011-09-19 2014-08-12 Eta Semiconductor Inc. High mobility enhancement mode FET
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9287253B2 (en) * 2011-11-04 2016-03-15 Synopsys, Inc. Method and apparatus for floating or applying voltage to a well of an integrated circuit
US8582352B2 (en) * 2011-12-06 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for FinFET SRAM cells
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
JP5915194B2 (ja) * 2012-01-17 2016-05-11 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8735993B2 (en) * 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9373684B2 (en) 2012-03-20 2016-06-21 Semiwise Limited Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US8863064B1 (en) * 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
US20130277747A1 (en) 2012-04-24 2013-10-24 Stmicroelectronics, Inc. Transistor having a stressed body
CN103456786B (zh) * 2012-06-05 2015-11-25 中芯国际集成电路制造(上海)有限公司 Mos晶体管结构及其制造方法
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8962434B2 (en) 2012-07-10 2015-02-24 International Business Machines Corporation Field effect transistors with varying threshold voltages
CN103545210B (zh) * 2012-07-13 2015-12-02 中芯国际集成电路制造(上海)有限公司 深度耗尽沟道场效应晶体管及其制备方法
US9190485B2 (en) 2012-07-28 2015-11-17 Gold Standard Simulations Ltd. Fluctuation resistant FDSOI transistor with implanted subchannel
US9263568B2 (en) 2012-07-28 2016-02-16 Semiwise Limited Fluctuation resistant low access resistance fully depleted SOI transistor with improved channel thickness control and reduced access resistance
US9269804B2 (en) 2012-07-28 2016-02-23 Semiwise Limited Gate recessed FDSOI transistor with sandwich of active and etch control layers
WO2014020403A1 (en) 2012-07-28 2014-02-06 Gold Standard Simulations Ltd. Improved fluctuation resistant fdsoi transistors with charged subchannel and reduced access resistance
US9947773B2 (en) 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US8946050B2 (en) 2012-10-30 2015-02-03 Globalfoundries Inc. Double trench well formation in SRAM cells
WO2014071049A2 (en) * 2012-10-31 2014-05-08 Suvolta, Inc. Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9331182B2 (en) * 2012-11-07 2016-05-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor devices with a gate conductor formed as a spacer, and methods for manufacturing the same
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9299691B2 (en) 2012-11-30 2016-03-29 Enpirion, Inc. Semiconductor device including alternating source and drain regions, and respective source and drain metallic strips
US9059206B2 (en) 2012-12-10 2015-06-16 International Business Machines Corporation Epitaxial grown extremely shallow extension region
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9093530B2 (en) * 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
JP6100535B2 (ja) * 2013-01-18 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8832619B2 (en) * 2013-01-28 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Analytical model for predicting current mismatch in metal oxide semiconductor arrays
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299699B2 (en) * 2013-03-13 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate and complementary varactors in FinFET process
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
KR102042476B1 (ko) * 2013-03-14 2019-11-08 인텔 코포레이션 나노와이어 트랜지스터에 대한 누설 감소 구조체
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) * 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9142674B2 (en) * 2013-03-15 2015-09-22 GlobalFoundries, Inc. FINFET devices having a body contact and methods of forming the same
FR3003685B1 (fr) * 2013-03-21 2015-04-17 St Microelectronics Crolles 2 Procede de modification localisee des contraintes dans un substrat du type soi, en particulier fd soi, et dispositif correspondant
US8952431B2 (en) * 2013-05-09 2015-02-10 International Business Machines Corporation Stacked carbon-based FETs
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
FR3007577B1 (fr) * 2013-06-19 2015-08-07 Commissariat Energie Atomique Transistors avec differents niveaux de tensions de seuil et absence de distorsions entre nmos et pmos
US9012276B2 (en) 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
US9209094B2 (en) 2013-07-29 2015-12-08 Globalfoundries Inc. Fin field effect transistor with dielectric isolation and anchored stressor elements
DE102014111781B4 (de) * 2013-08-19 2022-08-11 Korea Atomic Energy Research Institute Verfahren zur elektrochemischen Herstellung einer Silizium-Schicht
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US8975679B1 (en) 2013-09-10 2015-03-10 Gembedded Tech Ltd. Single-poly non-volatile memory cell
KR102137371B1 (ko) * 2013-10-29 2020-07-27 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
WO2015077580A1 (en) 2013-11-22 2015-05-28 Mears Technologies, Inc. Semiconductor devices including superlattice depletion layer stack and related methods
US9673192B1 (en) 2013-11-27 2017-06-06 Altera Corporation Semiconductor device including a resistor metallic layer and method of forming the same
US10020739B2 (en) 2014-03-27 2018-07-10 Altera Corporation Integrated current replicator and method of operating the same
US9536938B1 (en) 2013-11-27 2017-01-03 Altera Corporation Semiconductor device including a resistor metallic layer and method of forming the same
CN104810396B (zh) * 2014-01-23 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9379214B2 (en) 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
KR102279711B1 (ko) 2014-03-11 2021-07-21 삼성전자주식회사 반도체 장치의 레이아웃 방법, 포토 마스크 및 이를 이용하여 제조된 반도체 장치
US9484205B2 (en) 2014-04-07 2016-11-01 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US10559469B2 (en) * 2014-04-22 2020-02-11 Texas Instruments Incorporated Dual pocket approach in PFETs with embedded SI-GE source/drain
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
US9209172B2 (en) 2014-05-08 2015-12-08 International Business Machines Corporation FinFET and fin-passive devices
JP6514033B2 (ja) * 2014-05-23 2019-05-15 三重富士通セミコンダクター株式会社 埋込チャネル型深空乏化チャネルトランジスタ
US9472628B2 (en) * 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
KR102101836B1 (ko) * 2014-07-24 2020-04-17 삼성전자 주식회사 딜레이 셀 및 이를 적용하는 지연 동기 루프 회로와 위상 동기 루프 회로
US9710006B2 (en) * 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US20160043092A1 (en) * 2014-08-08 2016-02-11 Qualcomm Incorporated Fin field-effect transistor static random access memory devices with p-channel metal-oxide-semiconductor pass gate transistors
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
KR102277398B1 (ko) 2014-09-17 2021-07-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9859286B2 (en) * 2014-12-23 2018-01-02 International Business Machines Corporation Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
KR102354463B1 (ko) 2015-01-09 2022-01-24 삼성전자주식회사 레트로그레이드 채널을 갖는 반도체 소자 및 그 제조방법
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US10103627B2 (en) 2015-02-26 2018-10-16 Altera Corporation Packaged integrated circuit including a switch-mode regulator and method of forming the same
JP6513450B2 (ja) * 2015-03-26 2019-05-15 三重富士通セミコンダクター株式会社 半導体装置
KR102293245B1 (ko) 2015-03-27 2021-08-26 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9362407B1 (en) 2015-03-27 2016-06-07 International Business Machines Corporation Symmetrical extension junction formation with low-K spacer and dual epitaxial process in FinFET device
US10784372B2 (en) * 2015-04-03 2020-09-22 Magnachip Semiconductor, Ltd. Semiconductor device with high voltage field effect transistor and junction field effect transistor
US9978866B2 (en) * 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN106126762A (zh) * 2015-05-07 2016-11-16 飞思卡尔半导体公司 基于知晓封装状态的泄漏功耗减少
US9899479B2 (en) 2015-05-15 2018-02-20 Atomera Incorporated Semiconductor devices with superlattice layers providing halo implant peak confinement and related methods
US9899514B2 (en) * 2015-05-21 2018-02-20 Globalfoundries Singapore Pte. Ltd. Extended drain metal-oxide-semiconductor transistor
WO2016196600A1 (en) 2015-06-02 2016-12-08 Atomera Incorporated Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US9805987B2 (en) * 2015-09-04 2017-10-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
US10068918B2 (en) 2015-09-21 2018-09-04 Globalfoundries Inc. Contacting SOI subsrates
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US10042967B2 (en) 2015-11-16 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Electromigration sign-off methodology
US9966435B2 (en) 2015-12-09 2018-05-08 Qualcomm Incorporated Body tied intrinsic FET
US9553093B1 (en) 2015-12-11 2017-01-24 International Business Machines Corporation Spacer for dual epi CMOS devices
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
CN105652175B (zh) * 2016-01-11 2018-07-13 北京大学 一种不同涨落源对器件电学特性影响幅度的提取方法
US10114919B2 (en) * 2016-02-12 2018-10-30 Globalfoundries Inc. Placing and routing method for implementing back bias in FDSOI
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
US9646961B1 (en) 2016-04-04 2017-05-09 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9755029B1 (en) 2016-06-22 2017-09-05 Qualcomm Incorporated Switch device performance improvement through multisided biased shielding
CN108093279A (zh) * 2016-11-21 2018-05-29 新疆广电网络股份有限公司 一种面向电视、宽带、wifi终端“烟囱型”通风散热方法
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US10256242B2 (en) * 2016-12-13 2019-04-09 Etron Technology, Inc. Memory circuit with thyristor
US10592698B2 (en) * 2017-03-01 2020-03-17 International Business Machines Corporation Analog-based multiple-bit chip security
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
KR102324168B1 (ko) 2017-06-21 2021-11-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN109119343A (zh) * 2017-06-22 2019-01-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US10211317B1 (en) 2017-08-14 2019-02-19 Globalfoundries Inc. Vertical-transport field-effect transistors with an etched-through source/drain cavity
US10050125B1 (en) 2017-08-14 2018-08-14 Globalfoundries Inc. Vertical-transport field-effect transistors with an etched-through source/drain cavity
US10290639B2 (en) * 2017-09-12 2019-05-14 Globalfoundries Inc. VNW SRAM with trinity cross-couple PD/PU contact and method for producing the same
US10014390B1 (en) 2017-10-10 2018-07-03 Globalfoundries Inc. Inner spacer formation for nanosheet field-effect transistors with tall suspensions
US11329099B2 (en) * 2017-12-30 2022-05-10 Integrated Silicon Solution, (Cayman) Inc. Magnetic memory chip having nvm class and SRAM class MRAM elements on the same chip
US10552563B2 (en) * 2018-01-10 2020-02-04 Qualcomm Incorporated Digital design with bundled data asynchronous logic and body-biasing tuning
US10636869B2 (en) * 2018-03-09 2020-04-28 Xilinx, Inc. Mitigation for FinFET technology using deep isolation
US10622491B2 (en) * 2018-06-21 2020-04-14 Qualcomm Incorporated Well doping for metal oxide semiconductor (MOS) varactor
US11276780B2 (en) * 2018-06-29 2022-03-15 Intel Corporation Transistor contact area enhancement
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
CN110136652B (zh) * 2019-05-24 2020-10-16 深圳市华星光电半导体显示技术有限公司 一种goa电路及阵列基板
US11165021B2 (en) * 2019-10-15 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. RRAM device with improved performance
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram
US20220302129A1 (en) * 2021-03-10 2022-09-22 Invention And Collaboration Laboratory Pte. Ltd. SRAM Cell Structures

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05183159A (ja) * 1992-01-07 1993-07-23 Fujitsu Ltd 半導体装置及びその製造方法
JPH07161974A (ja) * 1993-12-03 1995-06-23 Toshiba Corp 半導体装置の製造方法
JPH09246534A (ja) * 1996-03-06 1997-09-19 Oki Electric Ind Co Ltd pMOSの製造方法、及びCMOSの製造方法
JPH11500873A (ja) * 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
JP2000243958A (ja) * 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
JP2001102582A (ja) * 1999-08-25 2001-04-13 Infineon Technologies Ag Mosトランジスタ及びその製造方法
JP2003031803A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2004214578A (ja) * 2003-01-09 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置
JP2006093507A (ja) * 2004-09-27 2006-04-06 Fujitsu Ltd 半導体装置及びその製造方法

Family Cites Families (526)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1487474A (en) 1921-09-20 1924-03-18 Rhodes Henry James Casing for small cooking stoves
US1681628A (en) 1926-09-16 1928-08-21 Schwarzkopf Erich Electrocardiograph
US1723750A (en) 1927-10-27 1929-08-06 William J Shore Fuse-testing device
US4021835A (en) * 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) * 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
EP0024905B1 (en) * 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
GB2065653B (en) 1979-12-19 1983-03-09 Nat Res Dev Anti-cancer quinazoline derivatives
US4315781A (en) * 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
FR2505676A1 (fr) 1981-05-15 1982-11-19 Rhone Poulenc Spec Chim Catalyseurs constitues de silice contenant du fluor leur procede de preparation et leur application a la preparation de nitriles
JPS5848936A (ja) 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066A (ja) * 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd Mos型半導体装置
JPS59193066U (ja) 1983-06-08 1984-12-21 三菱電機株式会社 エレベ−タの防犯テレビカメラ
JPS6097432U (ja) 1983-12-09 1985-07-03 株式会社小金井製作所 空気圧緩衝器
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) * 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPH0237663Y2 (ja) 1985-08-23 1990-10-11
JPH0770606B2 (ja) * 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
JPS62128175A (ja) * 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
DE3765844D1 (de) 1986-06-10 1990-12-06 Siemens Ag Verfahren zum herstellen von hochintegrierten komplementaeren mos-feldeffekttransistorschaltungen.
JPH0697432B2 (ja) 1986-06-17 1994-11-30 松下電器産業株式会社 浮動小数点乗算回路
JPH0615661B2 (ja) 1986-07-23 1994-03-02 昭和高分子株式会社 不飽和ポリエステル樹脂成形品
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (de) * 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
US5923985A (en) * 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JPS63305566A (ja) 1987-06-05 1988-12-13 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) * 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
US5298435A (en) 1990-04-18 1994-03-29 National Semiconductor Corporation Application of electronic properties of germanium to inhibit n-type or p-type diffusion in silicon
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
JPH04179160A (ja) 1990-11-09 1992-06-25 Hitachi Ltd 半導体装置
JPH04186774A (ja) * 1990-11-21 1992-07-03 Hitachi Ltd 半導体装置
JP2899122B2 (ja) 1991-03-18 1999-06-02 キヤノン株式会社 絶縁ゲートトランジスタ及び半導体集積回路
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JP3146045B2 (ja) 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
JP3503961B2 (ja) * 1992-06-02 2004-03-08 株式会社東芝 半導体装置
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
JPH0697432A (ja) * 1992-09-10 1994-04-08 Hitachi Ltd 半導体装置およびその製造方法
US5422508A (en) 1992-09-21 1995-06-06 Siliconix Incorporated BiCDMOS structure
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) * 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
JP3200231B2 (ja) * 1992-12-14 2001-08-20 株式会社東芝 半導体装置の製造方法
US5298457A (en) 1993-07-01 1994-03-29 G. I. Corporation Method of making semiconductor devices using epitaxial techniques to form Si/Si-Ge interfaces and inverting the material
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
DE69516767T2 (de) 1994-02-14 2000-11-23 Koninkl Philips Electronics Nv Referenzschaltung mit kontrollierter temperaturabhängigkeit
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
JPH07312423A (ja) 1994-05-17 1995-11-28 Hitachi Ltd Mis型半導体装置
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
JP2701762B2 (ja) * 1994-11-28 1998-01-21 日本電気株式会社 半導体装置及びその製造方法
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH08172187A (ja) 1994-12-16 1996-07-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) * 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
JP2780670B2 (ja) * 1995-04-14 1998-07-30 日本電気株式会社 エピタキシャルチャネルmosトランジスタの製造方法
JPH08293557A (ja) * 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
JPH098296A (ja) 1995-06-23 1997-01-10 Hitachi Ltd 半導体装置
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) * 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) * 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) * 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
JPH09121049A (ja) 1995-10-25 1997-05-06 Sony Corp 半導体装置
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
JPH10189766A (ja) 1996-10-29 1998-07-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびに半導体ウエハおよびその製造方法
JPH10135348A (ja) 1996-11-05 1998-05-22 Fujitsu Ltd 電界効果型半導体装置
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JP4521619B2 (ja) * 1996-11-21 2010-08-11 ルネサスエレクトロニクス株式会社 低電力プロセッサ
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
DE19706789C2 (de) 1997-02-20 1999-10-21 Siemens Ag CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
JP4253052B2 (ja) 1997-04-08 2009-04-08 株式会社東芝 半導体装置
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218895B1 (en) * 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6194259B1 (en) * 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
JP4278202B2 (ja) 1998-03-27 2009-06-10 株式会社ルネサステクノロジ 半導体装置の設計方法、半導体装置及び記録媒体
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6380019B1 (en) * 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6184112B1 (en) * 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
JP4193259B2 (ja) 1999-01-07 2008-12-10 神鋼電機株式会社 部品整送装置
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
US6691311B1 (en) 1999-02-12 2004-02-10 Sony Corporation Digital signal receiving apparatus and receiving method
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
JP2000299462A (ja) 1999-04-15 2000-10-24 Toshiba Corp 半導体装置及びその製造方法
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6503801B1 (en) * 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) * 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) * 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) * 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) * 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
EP1231640A4 (en) 2000-06-27 2008-10-08 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
JP2001068674A (ja) 2000-08-10 2001-03-16 Canon Inc 絶縁ゲートトランジスタ及び半導体集積回路
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) * 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
JP2002198529A (ja) 2000-10-18 2002-07-12 Hitachi Ltd 半導体装置およびその製造方法
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
JP3950294B2 (ja) 2000-11-16 2007-07-25 シャープ株式会社 半導体装置
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
WO2002061842A1 (fr) 2001-01-31 2002-08-08 Matsushita Electric Industrial Co., Ltd. Film cristallin a semi-conducteurs
JP2002237575A (ja) 2001-02-08 2002-08-23 Sharp Corp 半導体装置及びその製造方法
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6551885B1 (en) * 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) * 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) * 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6518673B2 (en) 2001-06-15 2003-02-11 Trw Inc. Capacitor for signal propagation across ground plane boundaries in superconductor integrated circuits
US6483375B1 (en) 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
JP2003031813A (ja) 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
US20040207011A1 (en) 2001-07-19 2004-10-21 Hiroshi Iwata Semiconductor device, semiconductor storage device and production methods therefor
JP2003086706A (ja) 2001-09-13 2003-03-20 Sharp Corp 半導体装置及びその製造方法、スタティック型ランダムアクセスメモリ装置並びに携帯電子機器
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
US6995397B2 (en) 2001-09-14 2006-02-07 Matsushita Electric Industrial Co., Ltd. Semiconductor device
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) * 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
KR100794094B1 (ko) 2001-12-28 2008-01-10 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) * 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) * 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
DE10214066B4 (de) * 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
CN100399576C (zh) 2002-03-28 2008-07-02 先进微装置公司 于沟道区域中具有退化掺杂分布的半导体组件及用于制造该半导体组件的方法
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
TWI290328B (en) 2002-05-23 2007-11-21 Nof Corp Transparent conductive laminated film and touch panel
US6893947B2 (en) * 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US7112856B2 (en) 2002-07-12 2006-09-26 Samsung Electronics Co., Ltd. Semiconductor device having a merged region and method of fabrication
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP2004087602A (ja) * 2002-08-23 2004-03-18 Fujitsu Ltd 半導体集積回路装置
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) * 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) * 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) * 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
JP4491605B2 (ja) * 2003-02-19 2010-06-30 株式会社ルネサステクノロジ 半導体集積回路装置
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
SE0300924D0 (sv) * 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) * 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
JP2006524429A (ja) 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Nドープシリコン層のエピタキシャル成長のための方法
AU2003236078A1 (en) 2003-04-10 2004-11-04 Fujitsu Limited Semiconductor device and its manufacturing method
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7652326B2 (en) 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
WO2004112145A1 (ja) 2003-06-10 2004-12-23 Fujitsu Limited パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US20060273299A1 (en) 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
EP1519421A1 (en) * 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
WO2005010946A2 (en) 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
EP1665386A1 (en) 2003-09-03 2006-06-07 Koninklijke Philips Electronics N.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
EP1697978A1 (en) 2003-12-18 2006-09-06 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
CN103199017B (zh) 2003-12-30 2016-08-03 飞兆半导体公司 形成掩埋导电层方法、材料厚度控制法、形成晶体管方法
KR100597460B1 (ko) * 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
JP4744807B2 (ja) * 2004-01-06 2011-08-10 パナソニック株式会社 半導体集積回路装置
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (ja) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) * 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) * 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) * 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US20060049464A1 (en) * 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US8106481B2 (en) * 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
US7425460B2 (en) 2004-09-17 2008-09-16 California Institute Of Technology Method for implementation of back-illuminated CMOS or CCD imagers
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
KR100652381B1 (ko) * 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) * 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
JP2006295131A (ja) * 2005-03-17 2006-10-26 Renesas Technology Corp 半導体装置およびその製造方法
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7170120B2 (en) * 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7271079B2 (en) * 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) * 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) * 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) * 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP2007013025A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 電界効果型トランジスタおよびその製造方法
US7735452B2 (en) * 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
JP2007023979A (ja) 2005-07-21 2007-02-01 Nissan Motor Co Ltd 車両駆動スリップ制御装置
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
US7964921B2 (en) * 2005-08-22 2011-06-21 Renesas Electronics Corporation MOSFET and production method of semiconductor device
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
KR101455404B1 (ko) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를위한 시스템 및 방법
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) * 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) * 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) * 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
WO2007136102A1 (ja) 2006-05-23 2007-11-29 Nec Corporation 半導体装置、集積回路、及び半導体装置の製造方法
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) * 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
CN101351890A (zh) 2006-09-07 2009-01-21 胜高股份有限公司 固态图像传感装置的半导体基板以及固态图像传感装置和其制造方法
US20080067589A1 (en) * 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7764137B2 (en) 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7683442B1 (en) * 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US8137179B2 (en) 2006-11-08 2012-03-20 Igt Gaming device having expanding and rolling wild symbols
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7696000B2 (en) * 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) * 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US7604399B2 (en) 2007-05-31 2009-10-20 Siemens Energy, Inc. Temperature monitor for bus structure flex connector
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) * 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
CN101720463B (zh) 2007-06-28 2012-09-26 萨格昂泰克以色列有限公司 基于设计规则和用户约束的半导体布图修正方法
US7651920B2 (en) * 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) * 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
JP5528667B2 (ja) * 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
JP2009170472A (ja) * 2008-01-10 2009-07-30 Sharp Corp トランジスタ、半導体装置、半導体装置の製造方法
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
DE102008007029B4 (de) 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
EP2248159A4 (en) 2008-02-14 2011-07-13 Maxpower Semiconductor Inc SEMICONDUCTOR COMPONENT STRUCTURES AND SAME PROCESSES
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP5173582B2 (ja) * 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) * 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
CN102165561A (zh) 2008-09-25 2011-08-24 应用材料股份有限公司 使用十八硼烷自我非晶体化注入物的无缺陷接点形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) * 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
CN101661889B (zh) 2009-08-15 2011-09-07 北京大学深圳研究生院 一种部分耗尽的绝缘层上硅mos晶体管的制作方法
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
EP2309544B1 (en) * 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062789A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems,and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8436422B2 (en) 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
TWI522548B (zh) 2012-09-13 2016-02-21 Famosa Corp The electronic control damping structure of fitness equipment
JP6236967B2 (ja) 2013-07-31 2017-11-29 ブラザー工業株式会社 端末装置とプリンタ
EP3098671B1 (fr) 2015-05-27 2019-10-09 Montres Breguet S.A. Mécanisme d'affichage de phase de lune d'horlogerie

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05183159A (ja) * 1992-01-07 1993-07-23 Fujitsu Ltd 半導体装置及びその製造方法
JPH07161974A (ja) * 1993-12-03 1995-06-23 Toshiba Corp 半導体装置の製造方法
JPH11500873A (ja) * 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
JPH09246534A (ja) * 1996-03-06 1997-09-19 Oki Electric Ind Co Ltd pMOSの製造方法、及びCMOSの製造方法
JP2000243958A (ja) * 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
JP2001102582A (ja) * 1999-08-25 2001-04-13 Infineon Technologies Ag Mosトランジスタ及びその製造方法
JP2003031803A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2004214578A (ja) * 2003-01-09 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置
JP2006093507A (ja) * 2004-09-27 2006-04-06 Fujitsu Ltd 半導体装置及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170031312A (ko) * 2015-09-10 2017-03-21 삼성디스플레이 주식회사 디스플레이장치 및 이의 제조방법
KR102556027B1 (ko) 2015-09-10 2023-07-17 삼성디스플레이 주식회사 디스플레이장치 및 이의 제조방법

Also Published As

Publication number Publication date
US10074568B2 (en) 2018-09-11
US20130020638A1 (en) 2013-01-24
US8541824B2 (en) 2013-09-24
US10224244B2 (en) 2019-03-05
KR101922735B1 (ko) 2018-11-27
CN102844869A (zh) 2012-12-26
US20140077312A1 (en) 2014-03-20
JP5934118B2 (ja) 2016-06-15
JP5838172B2 (ja) 2016-01-06
KR20170129971A (ko) 2017-11-27
KR20130004909A (ko) 2013-01-14
US20150255350A1 (en) 2015-09-10
CN102918645B (zh) 2015-09-16
US20210313231A1 (en) 2021-10-07
WO2011103318A1 (en) 2011-08-25
US20190080967A1 (en) 2019-03-14
CN102918645A (zh) 2013-02-06
US20110074498A1 (en) 2011-03-31
US8604530B2 (en) 2013-12-10
US11887895B2 (en) 2024-01-30
US8975128B2 (en) 2015-03-10
US20170117366A1 (en) 2017-04-27
US10217668B2 (en) 2019-02-26
JP2013520799A (ja) 2013-06-06
CN105870060A (zh) 2016-08-17
US8273617B2 (en) 2012-09-25
US20160358918A1 (en) 2016-12-08
US20130020639A1 (en) 2013-01-24
CN102844869B (zh) 2016-04-06
WO2011103314A1 (en) 2011-08-25
US8604527B2 (en) 2013-12-10
KR102068106B1 (ko) 2020-01-20
US20120299111A1 (en) 2012-11-29
KR20120139745A (ko) 2012-12-27
US11062950B2 (en) 2021-07-13

Similar Documents

Publication Publication Date Title
JP6371823B2 (ja) 電界効果トランジスタ及びその製造方法
US11887895B2 (en) Electronic devices and systems, and methods for making and using the same
WO2011062788A1 (en) Electronic devices and systems, and methods for making and using the same
JP6042501B2 (ja) 電界効果トランジスタの製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150714

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20150817

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151013

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151109

R150 Certificate of patent or registration of utility model

Ref document number: 5838172

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250