KR20130004909A - 전자 장치 및 시스템과, 그 제조 및 사용 방법 - Google Patents

전자 장치 및 시스템과, 그 제조 및 사용 방법 Download PDF

Info

Publication number
KR20130004909A
KR20130004909A KR1020127024299A KR20127024299A KR20130004909A KR 20130004909 A KR20130004909 A KR 20130004909A KR 1020127024299 A KR1020127024299 A KR 1020127024299A KR 20127024299 A KR20127024299 A KR 20127024299A KR 20130004909 A KR20130004909 A KR 20130004909A
Authority
KR
South Korea
Prior art keywords
region
channel
transistor
gate
threshold voltage
Prior art date
Application number
KR1020127024299A
Other languages
English (en)
Inventor
스캇 이. 톰슨
다모다 알. 텀말라팰리
Original Assignee
수볼타, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 수볼타, 인크. filed Critical 수볼타, 인크.
Publication of KR20130004909A publication Critical patent/KR20130004909A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Abstract

각종의 전자 장치 및 시스템에서 전력 소비를 감소시키기 위해 일련의 새로운 구조 및 방법이 제공된다. 일부 구조 및 방법은 대체로 기존의 벌크 CMOS 공정 흐름 및 제조 기술을 재사용함으로써 구현될 수 있고, 이는 반도체 업계는 물론 광범위한 전자장치 업계가 비용이 많이 들고 위험한 대안의 기술로의 전환을 피할 수 있게 해준다. 논의될 바와 같이, 일부 구조 및 방법은 CMOS 기반 디바이스가 종래의 벌크 CMOS와 비교하여 감소된 σVT를 가질 수 있게 해주고 채널 영역에 도펀트를 갖는 FET의 문턱 전압 VT가 보다 정밀하게 설정될 수 있게 해주는 깊게 공핍된 채널(Deeply Depleted Channel, DDC) 설계에 관한 것이다. DDC 설계는 또한 종래의 벌크 CMOS 트랜지스터와 비교하여 강한 바디 효과를 가질 수 있으며, 이는 DDC 트랜지스터에서의 전력 소비의 상당한 동적 제어를 가능하게 해줄 수 있다. 상이한 이점들을 달성하도록 DDC를 설정하는 다양한 방법들이 존재하며, 본 발명에서 제시된 추가적인 구조들 및 방법들이 단독으로 또는 DDC와 결합하여 사용되어 추가적인 이점들을 만들어낼 수 있다.

Description

전자 장치 및 시스템과, 그 제조 및 사용 방법{ELECTRONIC DEVICES AND SYSTEMS, AND METHODS FOR MAKING AND USING THE SAME}
전자 장치는 전에 없이 일상 생활의 필요불가결한 부분이 되었다. 개인용 컴퓨터 및 휴대폰과 같은 시스템은 작업하는 방식, 노는 방식, 및 통신하는 방식을 근본적으로 변화시켰다. 디지털 음악 플레이어, 전자책 리더 및 태블릿과 같은 새로운 장치는 물론 기존의 제품군에 대한 개선이 매년마다 소개된다. 이들 새로운 장치는 우리의 삶의 방식을 계속 변모시키는 점점 더 많은 혁신을 보여주고 있다.
세계 경제 및 현대 문명에 대한 전자 시스템의 중요성이 높아지는 것은 현재까지 상당 부분이 반도체 업계가 Moore의 법칙을 따르는 것에 의해 가능하였다. 이 현상을 처음으로 발견한 Intel의 설립자인 Gordon Moore의 이름을 따서, Moore의 법칙은 집적 회로(또는 칩) 상의 동일한 면적 내에 저렴하게 제조될 수 있는 트랜지스터의 수가 시간에 따라 지속적으로 증가한다는 것이다. 일부 업계 전문가는, 예를 들어, 동일한 면적 내의 트랜지스터의 수가 대략 2년마다 대체로 2배로 된다고 하면서, 이 법칙을 정량화한다. 기능의 증가 및 Moore의 법칙에 의해 제공되는 관련 비용 및 크기의 감소가 없다면, 오늘날 널리 이용되고 있는 많은 전자 시스템이 실용적이지도 가격 부담이 없지도 않을 것이다.
얼마 동안, 반도체 업계는 칩에 회로를 만드는 데 벌크 CMOS 기술을 사용함으로써 Moore의 법칙을 성공적으로 지켜왔다. 벌크 CMOS 기술은 특히 "확장가능"하다고 밝혀졌으며, 이는 허용가능한 생산 비용을 유지하기 위해 기존의 제조 공정 및 장비를 최적화하여 재사용하면서 벌크 CMOS 트랜지스터가 점점 더 작게 제조될 수 있다는 것을 의미한다. 과거에, 벌크 CMOS 트랜지스터의 크기가 감소됨에 따라, 그의 전력 소비가 감소되었으며, 이는 업계가 Moore의 법칙을 따르면서 감소된 비용으로 증가된 트랜지스터 밀도를 제공하는 것에 도움을 주었다. 따라서, 반도체 업계는 벌크 CMOS 트랜지스터의 전력 소비를 그의 크기에 따라 스케일링할 수 있게 되었으며, 트랜지스터 및 트랜지스터가 존재하는 시스템을 동작시키는 비용을 줄였다.
그렇지만, 최근에, 벌크 CMOS 트랜지스터의 크기를 줄이면서 그의 전력 소비를 감소시키는 것이 점점 더 어렵게 되었다. 트랜지스터 전력 소비는 칩 전력 소비에 직접 영향을 미치며, 이는 차례로 시스템을 동작시키는 비용 및, 어떤 경우에, 시스템의 효용성에 영향을 준다. 예를 들어, 트랜지스터당 전력 소비가 동일하거나 증가하면서 동일한 칩 면적 내의 트랜지스터의 수가 배로 되는 경우, 칩의 전력 소비는 2배보다 많게 될 것이다. 이것은 부분적으로는 얻어진 칩을 냉각시킬 필요성 - 이는 또한 더 많은 에너지를 필요로 함 - 으로 인한 것이다. 그 결과, 이것으로 인해 최종 사용자가 칩을 동작시키기 위해 부담하는 에너지 비용이 2배보다 많게 될 것이다. 이러한 증가된 전력 소비는 또한, 예를 들어, 모바일 장치의 배터리 수명을 감소시킴으로써 가전 제품의 유용성을 상당히 떨어뜨릴 수 있다. 이는 또한 열 발생을 증가시키는 것 및 열 소산을 필요로 하는 것, 어쩌면 시스템의 신뢰성을 저하시키는 것, 그리고 환경에 부정적 영향을 주는 것과 같은 다른 효과도 가질 수 있다.
부분적으로는 트랜지스터 크기가 감소됨에 따라 트랜지스터의 동작 전압 VDD가 더 이상 감소될 수 없는 것으로 생각되기 때문에, 벌크 CMOS의 전력 소비를 계속 감소시키는 것이 실행불가능하다는 인식이 반도체 엔지니어들 사이에 널리 확산되었다. CMOS 트랜지스터는 온 또는 오프이다. CMOS 트랜지스터의 상태는 트랜지스터의 문턱 전압 VT에 대해 트랜지스터의 게이트에 인가되는 전압의 값에 의해 결정된다. 트랜지스터가 온으로 스위칭되어 있는 동안, 트랜지스터는 하기의 방정식으로 표현될 수 있는 동적 전력(dynamic power)을 소비하며,
Pdynamic = CVDD 2f
여기서 VDD는 트랜지스터에 공급되는 동작 전압이고, C는 온으로 스위칭될 때의 트랜지스터의 부하 커패시턴스(load capacitance)이며, f는 트랜지스터가 동작되는 주파수이다. 트랜지스터가 오프으로 스위칭되어 있는 동안, 트랜지스터는 다음의 방정식으로 표현될 수 있는 정적 전력(static power)을 소비하며, Pstatic = IOFF VDD, 여기서 IOFF는 트랜지스터가 오프로 스위칭되어 있을 때의 누설 전류이다. 과거에, 업계는 주로 동작 전압 VDD를 감소시킴으로써 - 이는 동적 전력 및 정적 전력 둘다를 감소시킴 - 트랜지스터 전력 소비를 감소시켰다.
동작 전압 VDD를 감소시킬 수 있는 것은, 부분적으로는, 문턱 전압 VT를 정확하게 설정할 수 있는 것에 의존하지만, 예를 들어, RDF(Random Dopant Fluctuation)를 비롯한 각종의 인자들로 인해, 트랜지스터 크기가 감소됨에 따라 그것이 점점 더 어렵게 되었다. 벌크 CMOS 공정을 사용하여 제조되는 트랜지스터의 경우, 문턱 전압 VT를 설정하는 주된 파라미터는 채널 내의 도펀트의 양이다. VT에 영향을 주는 다른 인자는 할로 주입(halo implantation), 소스 및 드레인 확장, 및 기타 인자이다. 이론적으로는, 동일한 칩 상의 동일한 트랜지스터가 동일한 VT를 가지도록 이것이 정확하게 행해질 수 있지만, 실제로는 문턱 전압이 상당히 변화할 수 있다. 이것은 이들 트랜지스터 모두가 동일한 게이트 전압에 응답하여 동시에 온으로 스위칭되지 않을 것이고 일부가 결코 온으로 스위칭되지 않을 수 있다는 것을 의미한다. 100 ㎚ 이하의 채널 길이를 갖는 트랜지스터의 경우, RDF는 VT의 변동(통상적으로, sigmaVT 또는 σVT라고 함)의 주요 결정요인이고, 채널 길이가 감소됨에 따라 RDF에 의해 야기된 σVT의 양은 단지 증가한다. IEEE International Solid-State Circuits Conference, 2009에서의 Kiyoo Itoh, Hitachi Ltd.의 기조 발표와 함께, Intel Corporation에 의해 제공된 정보, 평가된 실험 데이터에 기초하는 도 1에 도시된 바와 같이, 반도체 엔지니어들 사이의 종래의 지식은 나노스케일 벌크 CMOS에서의 증가하는 σVT는 동작 전압 VDD이 순방향으로 되는 것에 대한 실제 하한으로서 1.0 V를 설정하는 것이었다. 업계 목표가 목표 면적을 감소시키는 것인 경우, VDD는 하향 경사 함수로서 나타내어진다. 그렇지만, σVT에 대한 곡선은 디바이스 선폭의 감소에 따라 증가하고, 여기서 RDF는 실제로는 Vmin을 증가시킨다. 동적 및 정적 전력의 전력 함수는 전력 = CVDD 2f + IVDD이다. 따라서, 전체 전력이 증가한다.
이들 및 기타 이유로 인해, 짧은 채널 디바이스(short channel device)에서 σVT를 감소시키는 많은 공지된 기법이 있다는 사실에도 불구하고, 반도체 업계의 엔지니어는 일반적으로 벌크 CMOS가 장래의 공정 노드(process node)에서 포기되어야만 한다고 생각하고 있다. 예를 들어, 벌크 CMOS에서 σVT를 감소시키는 한가지 종래의 방식은 채널이 수직으로 아래쪽으로(게이트로부터 기판 쪽으로 멀리) 연장함에 따라 채널에서의 도펀트 농도를 증가시키는 불균일한 도핑 프로파일을 제공하도록 기능하는 것을 포함한다. 이러한 유형의 역행 도핑 프로파일(retrograde doping profile)이, 도핑 변동에 대한 민감도는 감소시킬지라도, 디바이스 동작에 악영향을 미치는 짧은 채널 효과에 대한 민감도는 증가시킨다. 짧은 채널 효과로 인해, 이들 도핑 파라미터는 일반적으로 나노스케일 디바이스에 대해 스케일링가능하지 않으며, 따라서 이 방식은 나노스케일의 짧은 채널 트랜지스터에 사용하기에는 일반적으로 적합하지 않게 한다. 기술이 45㎚ 또는 심지어 22㎚ 공정 노드에서 형성되는 짧은 채널 디바이스 쪽으로 이동함에 따라, 이러한 디바이스에서의 역행 방식의 이점은 제한되어 있는 것으로 인식되고 있다.
이들 기술적 장애를 극복하기 위해 연구하는 반도체 엔지니어들은 또한 나노스케일 영역으로 하향 스케일링하는 것과 연관된 성능 문제를 해결하기 위해 SSRW(super steep retrograde well)를 사용하는 것도 시도하였다. 나노미터 스케일 디바이스에 대한 역행 도핑(retrograde doping)과 같이, SSRW 기법은 특수한 도핑 프로파일을 사용하여, 약하게 도핑된 채널 아래에 강하게 도핑된 층을 형성한다. SSRW 프로파일은 채널 도핑을 가능한 한 낮은 레벨로 감소시키기 위해 도펀트 레벨의 아주 급격한 증가를 갖는 점에서 역행 도핑과 다르다. 이러한 급격한 도펀트 프로파일에 의해 짧은 채널 효과의 감소, 채널 영역에서의 증가된 이동도, 및 보다 적은 기생 커패시턴스가 얻어질 수 있다. 그렇지만, 고용량의 나노스케일 집적 회로 응용을 위해 이들 디바이스를 제조할 때 이들 구조를 달성하는 것이 아주 어렵다. 이 어려움은 부분적으로는, 특히 NMOS 트랜지스터와 같은 p-우물 디바이스에 대해 채널 영역으로의 역행 우물(retrograde well) 및 SSRW 도펀트 화학종(dopant species)의 외부 확산(out-diffusion)으로 인한 것이다. 또한, SSRW의 사용은 σVT를 용인될 수 없는 레벨로 증가시킬 수 있는 랜덤한 도펀트 밀도 변동에 의한 문제를 없애지 않는다.
기존의 벌크 CMOS 구현예의 단점을 해결하려는 이들 및 기타 시도에 부가하여, 업계는 채널에 도펀트를 갖지 않는 CMOS 트랜지스터 구조에 많은 중점을 두어 왔다. 이러한 트랜지스터 구조는, 예를 들어, 완전 공핍형 SOI(Silicon On Insulator) 및 다양한 FINFET 또는 오메가 게이트 디바이스를 포함한다. SOI 디바이스는 통상적으로 얇은 유리 또는 이산화실리콘 절연층 - BOX(Buried Oxide) 층이라고 함 - 에 의해 실리콘 기판과 분리되어 있는 얇은 상부 실리콘층 상에 정의된 트랜지스터를 가진다. FINFET 디바이스는 실리콘 채널에서의 전계를 제어하기 위해 다수의 게이트를 사용한다. 이러한 것은 실리콘 채널에 적은 도펀트를 가짐으로써 σVT를 감소시킬 수 있다. 이것은 채널에 주입된 도펀트 원자의 수 또는 위치의 원자 레벨 변동을 사소하게 만든다. 그렇지만, 양 유형의 디바이스는 벌크 CMOS에서 사용되는 것보다 더 복잡하고 비용이 많이 드는 웨이퍼 및 관련 처리를 필요로 한다.
새로운 기술로의 전환과 연관된 비용 및 위험이 상당하여, 반도체 및 전자 시스템의 제조업체는 벌크 CMOS의 사용을 연장할 방안을 오랫동안 찾아왔다. 그 노력이 지금까지는 성공적이지 않은 것으로 밝혀졌다. 벌크 CMOS의 전력 소비를 계속 감소시키는 것은 점점 더 반도체 업계에서 극복할 수 없는 문제로 보고 있다.
도 1은 디바이스 스케일링에 대한 전력 한계 및 σVT 한계의 경향의 일례를 나타낸 도면.
도 2a는 일 실시예에 따른, DDC(deeply depleted channel, 깊게 공핍된 채널)를 갖는 전계 효과 트랜지스터를 나타낸 도면.
도 2b는 일 실시예에 따른, 깊게 공핍된 영역을 갖는 채널을 나타낸 도면.
도 2c는 일 실시예에 따른 상이한 도핑 농도의 3개의 영역을 갖는 채널의 다른 일례를 나타낸 도면.
도 2d는 일 실시예에 따른, 깊게 공핍된 영역을 갖는 채널의 다른 일례를 나타낸 도면.
도 3은 일 실시예에 따른, 도펀트 농도 대 채널 깊이의 그래프를 나타낸 도면.
도 4는 일 실시예에 따른, 도펀트 농도 대 디바이스 깊이의 변동의 그래프를 나타낸 도면.
도 5는 일 실시예에 따른, 다양한 디바이스로부터의 상이한 문턱 전압을 공급 전압에 대해 그래프로 나타낸 것의 통계적 렌더링의 일례를 나타낸 도면.
도 6은 일 실시예에 따른 개선된 σVT의 일례를 나타낸 도면.
도 7a는 종래의 공정 및 구조에 따라 제조된 벌크 CMOS 트랜지스터의 일례를 나타낸 도면.
도 7b는 도 7a의 종래의 벌크 CMOS 디바이스와 비교하여 상당히 더 깊은 공핍 영역을 갖는, 일 실시예에 따른 DDC 트랜지스터를 나타낸 도면.
도 8a는 도 7a에 나타낸 종래의 벌크 CMOS 구조에 대응하는 FET의 일례를 나타낸 도면.
도 8b는 도 7b에 나타낸 새로운 깊은 우물 구조에 대응하는 FET의 일례를 나타낸 도면.
도 9는 NMOS 디바이스에 대한 보편적 이동도 곡선(universal mobility curve)의 일례를 나타낸 도면.
도 10은 DDC 구조 대 균일한 채널의 문턱 전압과 바디 바이어스 간의 비교의 일례를 나타낸 도면.
도 11은 DDC 구조 대 균일한 채널의 σVT 대 바디 바이어스 전압의 비교를 나타낸 도면.
도 12는 새로운 DDC 구조의 프로파일과 SSRW를 갖는 종래의 벌크 CMOS의 프로파일 간의 비교의 일례를 나타낸 도면.
도 13은 종래의 CMOS 디바이스와 본 명세서에 개시된 실시예에 따라 구성된 구조 간의 비교의 일례를 나타낸 도면.
도 14a 내지 도 14i는 DDC 도핑 프로파일을 갖는 채널을 갖는 디바이스를 제조하는 공정 흐름의 일례를 나타낸 도면.
도 15는 고농도로 도핑된 차폐 영역을 갖는 다중 모드 디바이스 및 바디에 바디 바이어스 전압을 인가하는 메커니즘의 일례를 나타낸 도면.
도 16은 n-채널 DDC 디바이스와 종래의 n-채널 디바이스 사이의 문턱 전압 VT 대 바이어스 전압 VBS의 비교의 일례를 나타낸 도면.
도 17a는 디바이스마다의 문턱 전압의 변동이 종래의 디바이스에서 어떻게 지연 시간의 넓은 확산을 야기시키는지의 일례를 나타낸 도면.
도 17b는 일 실시예에 따른, DDC 디바이스에 대한 향상된 지연 시간 특성의 일례를 나타낸 도면.
도 18은 일 실시예에 따른, 디바이스에 대해 설정된 정적 VT 값의 그래프를 나타낸 도면.
도 19는 일 실시예에 따른, 개별 바디를 갖는 다수의 트랜지스터 그룹의 일례를 나타낸 도면.
도 20의 (a) 및 도 20의 (b)는 일 실시예에 따른, n-채널 4-단자 트랜지스터 레이아웃의 일례를 나타낸 도면.
도 21의 (a), 도 21의 (b) 및 도 21의 (c)는 일 실시예에 따른, SPW(shallow P-well, 얕은 P-우물)를 갖는 채널 4-단자 트랜지스터의 일례를 나타낸 도면.
도 22의 (a) 및 도 22의 (b)는 일 실시예에 따른, 바디 액세스 트랜지스터를 갖는 동적 다중 모드 트랜지스터의 일례를 나타낸 도면.
도 23의 (a) 및 도 23의 (b)는 일 실시예에 따른, PTI(partial trench isolation, 부분 트렌치 분리)를 갖는 동적 다중 모드 트랜지스터의 다른 일례를 나타낸 도면.
도 24의 (a), 도 24의 (b) 및 도 24의 (c)는 일 실시예에 따른, PTI를 갖는 4-단자 트랜지스터의 일례를 나타낸 도면.
도 25의 (a), 도 25의 (b) 및 도 25의 (c)는 일 실시예에 따른, 국부적 상호접속부(local interconnect)를 갖는 3-단자 트랜지스터의 일례를 나타낸 도면.
도 26의 (a), 도 26의 (b) 및 도 26의 (c)는 일 실시예에 따른, 바디를 게이트에 연결시키는 PGC를 갖는 3-단자 트랜지스터의 다른 일례를 나타낸 도면.
도 27의 (a), 도 27의 (b) 및 도 27의 (c)는 일 실시예에 따른, 게이트 연장부 아래에 연장되어 있는 활성 영역에 만들어진 바디 접점(body contact)을 갖는 3-단자 트랜지스터의 다른 일례를 나타낸 도면.
도 28의 (a), 도 28의 (b) 및 도 28의 (c)는 일 실시예에 따른, 바디 접점을 갖는 3-단자 트랜지스터의 다른 일례를 나타낸 도면.
도 29의 (a), 도 29의 (b) 및 도 29의 (c)는 일 실시예에 따른, 프로그램가능 4/3-단자 트랜지스터의 일례를 나타낸 도면.
도 30은 일 실시예에 따른, 4-단자 트랜지스터를 사용하는 동적 모드 전환을 할 수 있는 회로의 일례를 나타낸 도면.
도 31은 일 실시예에 따른, 4-단자 트랜지스터를 사용하는 동적 모드 전환 회로의 일례를 나타낸 도면.
도 32a는 일 실시예에 따른, 동적 모드 전환을 할 수 있는 회로의 일례를 나타낸 도면.
도 32b는 도 32a의 회로 블록에 대한 단면의 일례를 나타낸 도면.
도 33a는 일 실시예에 따른, 동적 모드 전환을 할 수 있는 회로의 일례를 나타낸 도면.
도 33b는 도 33a의 회로 블록에 대한 단면의 일례를 나타낸 도면.
도 34a의 (ⅰ) 및 (ⅱ)는 상이한 공통으로 사용되는 구성요소로 구성된 회로의 일례를 나타낸 도면.
도 34b는 일 실시예에 따른, 바디 액세스 폴리(body access poly)를 사용하는 트랜지스터 그룹의 일례를 나타낸 도면.
도 34c는 일 실시예에 따른, 바디 액세스 트랜지스터를 사용하는 트랜지스터 그룹의 일례를 나타낸 도면.
도 34d는 일 실시예에 따른, 개별 탭을 갖는 바디 액세스 트랜지스터를 사용하는 트랜지스터 그룹의 일례를 나타낸 도면.
도 34e의 (ⅰ), (ⅱ) 및 (ⅲ)는 도 34d에 대응하는 단면도의 일례를 나타낸 도면.
도 35a, 도 35b 및 도 35c는 일 실시예에 따른, 레거시 디바이스와 새로운 디바이스를 혼합하여 사용하는 다중 모드 스위치 회로의 일례를 나타낸 도면.
도 36은 레거시 방식에 기초한 다른 다중 모드 스위치 회로의 일례를 나타낸 도면.
도 37a, 도 37b 및 도 37c는 일 실시예에 따른, PD(partially depleted, 부분 공핍형) SOI 기술에 기초한 다중 모드 스위치 회로의 일례를 나타낸 도면.
도 38은 일 실시예에 따른, 6T SRAM 셀의 일례를 나타낸 도면.
도 39는 도 38의 6T SRAM에 대한 레이아웃의 일례를 나타낸 도면.
도 40a의 (ⅰ), (ⅱ) 및 (ⅲ)는 도 39의 레이아웃의 단면의 일례를 나타낸 도면.
도 40b는 도 39에 대응하는 6T SRAM 셀의 사시도의 일례를 나타낸 도면.
도 41a는 도 39에 대응하는 우물의 평면도의 일례를 나타낸 도면.
도 41b는 일 실시예에 따른, 2x2 어레이를 형성하기 위해 적층된 6T SRAM 셀의 일례를 나타낸 도면.
도 42는 본 명세서에 기술된 실시예와 함께 사용가능한 탭 셀(tap cell)의 레이아웃 일례를 나타낸 도면.
도 43a, 도 43b 및 도 43c는 도 42에 대응하는 단면도의 일례를 나타낸 도면.
도 44는 도 42의 탭 셀의 평면도의 일례를 나타낸 도면.
도 45는 일 실시예에 따른, 2x2 SRAM 어레이를 형성하는 것의 일례를 나타낸 도면.
도 46은 일 실시예에 따른, SPW 분리를 위해 탭 셀을 사용하는 4x4 SRAM 어레이의 일례를 나타낸 도면.
도 47은 일 실시예에 따른, 행별 VSS에 대한 6T-SRAM 회로의 일례를 나타낸 도면.
도 48는 도 47에 대응하는 SRAM 셀의 레이아웃의 일례를 나타낸 도면.
도 49a는 도 48에 대응하는 SRAM 레이아웃의 SPW 및 SNW의 일례를 나타낸 도면.
도 49b는 일 실시예에 따른, 행별 VSS 기법을 갖는 2x2 SRAM 어레이를 나타낸 도면.
도 49c는 일 실시예에 따른, 행별 VSS 기법을 갖는 4x4 SRAM 어레이를 나타낸 도면.
도 50은 도 47에 대응하는 SRAM 셀의 레이아웃의 다른 일례를 나타낸 도면.
도 51a는 도 50에 대응하는 SRAM 레이아웃의 SPW 및 SNW의 일례를 나타낸 도면.
도 51b는 일 실시예에 따른, 행별 VSS를 갖는 2x2 SRAM 어레이의 일례를 나타낸 도면.
도 51c는 일 실시예에 따른, 행별 VSS를 갖는 4x4 SRAM 어레이를 나타낸 도면.
도 52 내지 도 54는 본 명세서에서 논의된 DDC 디바이스 및 실시예의 시스템 응용을 나타낸 도면.
각종의 전자 장치 및 시스템에서 전력 소비를 감소시키기 위해 일련의 새로운 구조 및 방법이 제공된다. 이들 구조 및 방법 중 일부는 대체로 기존의 벌크 CMOS 공정 흐름 및 제조 기술을 재사용함으로써 구현될 수 있고, 이는 반도체 업계는 물론 광범위한 전자장치 업계가 비용이 많이 들고 위험한 대안의 기술로 전환하는 것을 피할 수 있게 해준다.
논의할 것인 바와 같이, 구조들 및 방법들 중 일부는 DDC(Deeply Depleted Channel) 설계와 관한 것이다. DDC는 종래의 벌크 CMOS와 비교하여 감소된 σVT를 갖는 CMOS 디바이스를 가능하게 해줄 수 있고, 채널 영역에 도펀트를 갖는 FET의 문턱 전압 VT가 훨씬 더 정밀하게 설정될 수 있게 해줄 수 있다. DDC 설계는 또한 종래의 벌크 CMOS 트랜지스터와 비교하여 강한 바디 효과를 가질 수 있으며, 이는 DDC 트랜지스터에서의 전력 소비의 상당한 동적 제어를 가능하게 해줄 수 있다. 상이한 이점을 달성하도록 DDC를 구성하는 많은 방식이 있고, 본 명세서에서 제시된 부가의 구조 및 방법이 부가의 이점을 달성하기 위해 단독으로 또는 DDC와 함께 사용될 수 있다.
또한, 예를 들어, 개선된 칩 전력 소비를 제공하기 위해 DDC를 이용할 수 있는 구현예를 비롯한, 트랜지스터를 칩 상에 집적하는 데 유익한 방법 및 구조가 제공된다. 그에 부가하여, 일부 실시예에서, 트랜지스터 및 집적 회로는 낮은 열 소산, 향상된 신뢰성, 소형화, 및/또는 보다 바람직한 제조 경제성을 비롯한 각종의 다른 이점을 가능하게 해줄 수 있다. 정적으로 및 동적으로, 새로운 트랜지스터 구조의 이점들 중 일부 또는 전부를 강조하는 각종의 접근 방법이 있다. 집적 회로 레벨에서의 개발들 중 다수는 본 명세서에서 논의된 새로운 트랜지스터가 없더라도 이점을 제공한다. 방법들 및 구조들 중 다수는, 예를 들어, 채널 및/또는 바디에 도펀트를 가지는 다른 유형의 트랜지스터를 비롯한, 벌크 CMOS 트랜지스터 이외의 유형의 디바이스에서 유용할 수 있다.
또한, 일부 구현예에서, 시스템 레벨에서의 개선된 전력 소비, 개선된 시스템 성능, 개선된 시스템 비용, 개선된 시스템 제조성 및/또는 개선된 시스템 신뢰성을 비롯한 이점을 제공하기 위해 본 명세서에 기술된 혁신을 전자 제품과 같은 시스템에 포함하고 사용하는 방법 및 구조가 제공된다. 설명할 것인 바와 같이, 이들 혁신은 유익하게도, 일부 실시예에서, 개인용 컴퓨터, 휴대폰, 텔레비전, 디지털 음악 플레이어, 셋톱 박스, 랩톱 및 팜톱 컴퓨팅 장치, 전자책 리더, 디지털 카메라, GPS 시스템, 평판 패널 디스플레이, 휴대용 데이터 저장 장치 및 태블릿은 물론, 각종의 기타 전자 장치와 같은 소비자 장치를 비롯한 광범위한 전자 시스템에서 이용될 수 있다. 이들 구현예 중 일부에서, 트랜지스터 및 집적 회로는 전체적으로 전자 시스템의 동작, 그에 따라 상업적 적합성을 실질적으로 향상시킬 수 있다. 일부 실시예에서, 혁신적인 트랜지스터, 집적 회로, 및 이들을 포함하는 시스템은 또한, 본 명세서에 기술된 바와 같이, 대안의 접근 방법보다 더 친환경적인 구현을 가능하게 해줄 수 있다.
일 실시예에서, 종래의 짧은 채널 디바이스와 비교하여 정밀하게 제어되는 문턱 전압을 갖는 새로운 FET(Field Effect Transistor) 구조가 제공된다. 이는 또한 개선된 이동도 및 기타 중요한 트랜지스터 특성을 가질 수 있다. 이 구조 및 그 제조 방법은 종래의 디바이스와 비교하여 낮은 동작 전압을 갖는 FET 트랜지스터를 가능하게 해줄 수 있다. 그에 부가하여, 또는 다른 대안으로서, 이는 이러한 디바이스의 문턱 전압이 동작 동안 동적으로 제어될 수 있게 해줄 수 있다. 일부 구현예에서, FET는 설계자가 회로가 동작 중인 동안 동적으로 조정될 수 있는 FET 디바이스를 갖는 집적 회로를 설계할 수 있게 해줄 수 있다. 일부 실시예에서, 집적 회로 내의 FET 구조는 공칭상 동일한 구조로 설계될 수 있고, 그에 부가하여 또는 다른 대안으로서, 상이한 바이어스 전압에 응답하여 상이한 동작 전압에서 동작하도록 제어, 변조 또는 프로그램될 수 있다. 이들 구조는 회로가 효율적이고 신뢰성있는 방식으로 동작 모드를 정적으로 지정하고 및/또는 동적으로 변경할 수 있게 해줄 수 있다. 그에 부가하여, 일부 구현예에서, 이들 구조는 회로 내에서의 상이한 응용을 위해 제조 후에 구성될 수 있다.
이들 및 기타 이점은 설계자, 생산자 및 소비자의 많은 요구사항을 충족시키는 디지털 회로에서의 진보를 제공한다. 이들 이점은 집적 회로의 계속되는 추가적인 진보를 가능하게 해주는 새로운 구조로 이루어진 시스템을 제공할 수 있고, 그 결과 개선된 성능을 갖는 디바이스 및 시스템이 얻어진다. 일부 구현예에서, 벌크 CMOS가 부가의 기간 동안 Moore의 법칙을 계속 따를 수 있고, 벌크 CMOS 기반 회로 및 시스템에서의 추가적인 혁신이 진보된 성능 지수(performance rate)로 계속 향상될 수 있다. 실시예 및 일례가 본 명세서에서 트랜지스터, 집적 회로, 전자 시스템, 및 관련 방법을 참조하여 기술될 것이며, 새로운 구조 및 방법이, 전자 제품의 최종 사용자까지를 포함한, 제조 공정 및 유통망의 다양한 레벨에서 제공하는 특징 및 이점을 강조할 것이다. 이들 일례에 내재된 개념을 집적 회로 및 전자 시스템을 생산하는 방법 및 구조에 적용하는 것은 광범위한 것으로 밝혀질 것이다. 그에 따라, 본 발명의 사상 및 범위가 이들 실시예 및 일례로 제한되지 않고 본 명세서에 또한 공동 양도된 관련 출원에 첨부된 특허청구범위에 의해서만 제한된다는 것을 잘 알 것이다.
90 나노미터 미만의 게이트 길이를 갖는 나노스케일 FET(Field Effect Transistor) 구조는 종래의 나노스케일 FET 디바이스보다 더 정밀하게 제어되는 문턱 전압을 제공받는다. 부가의 이점은 개선된 캐리어 이동도 및 RDF로 인한 문턱 전압의 변동의 감소를 포함한다. 일 실시예는 게이트 길이의 1/2보다 크게 설정된 게이트 아래쪽의 깊이까지 연장되어 있는 공핍 구역 또는 영역을 갖도록 동작가능한 나노스케일 FET 구조를 포함한다. FET 구조는 게이트 아래에 있는 이 공핍 구역 또는 영역에 DDC를 정의하는 것을 돕기 위해 상이한 도핑 농도를 갖는 적어도 2개의 영역을 가진다. 한 일례에서, 게이트 근방의 제1 영역은 제1 영역으로부터 분리되어 있고 게이트 아래쪽으로 좀 떨어져 위치에 있는 제2 영역보다 더 낮은 도펀트 농도를 가진다. 이것은, 문턱 전압 또는 그 이상이 게이트에 인가될 때 게이트로부터 방사되는 전계를 종단시킴으로써, DDC를 정의하는 동작을 할 수 있는 제2의 도핑된 차폐 영역과 짝을 이루는 제1의 저농도로 도핑된 채널 영역(통상적으로, 실질적으로 비도핑된 에피택셜 성장된 채널층)을 제공한다. 깊게 공핍된 영역은 다른 대안으로서 DDC 또는 깊게 공핍된 구역이라고 할 수 있고, 트랜지스터 구조 및 전기적 동작 조건에 따라 공간 범위 및 특성이 달라질 것이다. 이들 구조 및 영역의 정확한 기하학적 형태 및 위치에 대해 많은 변형이 있고, 일부가 이하에서 더 상세히 기술된다.
이들 구조 및 구조 제조 방법은 FET 트랜지스터가 종래의 나노스케일 디바이스와 비교하여 낮은 동작 전압 및 낮은 문턱 전압 둘다를 가지는 것을 가능하게 해준다. 게다가, 이들은 이러한 디바이스의 문턱 전압이 동작 동안 동적으로 제어될 수 있게 해줄 수 있다. 궁극적으로, 이들 구조 및 구조 제조 방법은 회로가 동작 중인 동안 동적으로 조정될 수 있는 FET 디바이스를 갖는 집적 회로를 설계하는 것을 제공한다. 따라서, 집적 회로 내의 트랜지스터는 공칭상 동일한 구조로 설계될 수 있고, 상이한 바이어스 전압에 응답하여 상이한 동작 전압에서 동작하거나 상이한 바이어스 전압 및 동작 전압에 응답하여 상이한 동작 모드에서 동작하도록 제어, 변조 또는 프로그램될 수 있다. 그에 부가하여, 이들은 회로 내에서의 상이한 응용을 위해 제조 후에 구성될 수 있다.
특정 실시예 및 일례가 트랜지스터를 참조하여 본 명세서에 기술되어 있고, 새로운 구조 및 방법이 트랜지스터에 제공하는 특징 및 이점을 강조하고 있다. 그렇지만, 이들 일례에 내재된 개념의 집적 회로를 생성하는 방법 및 구조에 대한 적용가능성은 광대하고 트랜지스터 또는 벌크 CMOS로 제한되지 않는다. 그에 따라, 기술 분야에서 본 발명의 사상 및 범위가 이들 실시예 및 일례로 또는 본 명세서에 또한 공동 양도된 관련 출원에 첨부된 특허청구범위로 제한되지 않고 유익하게도 다른 디지털 회로와 관련하여 적용될 수 있다는 것을 잘 알 것이다.
이하의 설명에서, 본 발명이 구현될 수 있는 바람직한 방식들 중 일부에 대한 다수의 구체적인 상세가 주어져 있다. 본 발명이 이들 구체적인 상세 없이 실시될 수 있다는 것이 즉각 명백하다. 다른 경우에, 불필요한 상세로 본 발명을 불명료하게 만들지 않기 위해 공지된 회로, 구성요소, 알고리즘 및 공정이 상세히 도시되어 있지 않거나 개략도 또는 블록도 형태로 예시되어 있다. 그에 부가하여 대부분의 경우, 물질, 가공, 공정 타이밍, 회로 레이아웃, 및 다이 설계에 관한 상세가, 관련 기술 분야의 당업자의 이해 범위 내에 있는 것으로 생각되기 때문에, 본 발명을 완전히 이해하는 데 필요하지 않는 한, 이러한 상세가 생략되어 있다. 이하의 설명 및 특허청구범위 전체에 걸쳐 특정의 시스템 구성요소를 지칭하기 위해 특정의 용어가 사용된다. 이와 유사하게, 구성요소가 다른 이름으로 지칭될 수 있고 본 명세서에서의 설명이 기능이 아니라 이름이 상이한 구성요소들을 구별하기 위한 것이 아니라는 것을 잘 알 것이다. 이하의 설명에서 및 특허청구범위에서, "포함하는" 및 "구비하는"이라는 용어는 개방형(open-ended) 방식으로 사용되고, 따라서, 예를 들어, "~를 포함하지만 이들로 제한되지 않음"을 의미하는 것으로 해석되어야만 한다.
앞서 언급한 방법 및 구조의 다양한 실시예 및 일례가 본 명세서에 기술되어 있다. 이 상세한 설명이 단지 예시적인 것이고 결코 제한하기 위한 것이 아니라는 것을 잘 알 것이다. 다른 실시예가 본 개시 내용의 혜택을 보는 본 기술 분야의 당업자에게 용이하게 안출될 것이다. 첨부 도면에 예시되어 있는 실시예에 대해 상세히 언급할 것이다. 도면 및 이하의 상세한 설명 전체에 걸쳐 동일하거나 유사한 부분을 지칭하기 위해 동일한 참조 표시자가 사용될 것이다.
명확함을 위해, 본 명세서에 기술된 구현예 및 실시예의 일상적인 특징 전부가 도시되고 기술되어 있지는 않다. 물론, 본 명세서에서의 본 발명의 임의의 이러한 실제 구현예의 개발에서, 개발자의 특정의 목적을 달성하기 위해 다수의 구현-관련 결정이 통상적으로 행해진다는 것을 잘 알 것이다. 게다가, 이러한 개발 노력이 복잡하고 시간이 많이 걸릴 수 있지만, 그럼에도 불구하고 본 발명의 혜택을 보는 당업자에게는 일상적인 엔지니어링 작업이라는 것을 잘 알 것이다.
또한, 반도체의 물리적 및 전기적 특성을 수정하기 위해 반도체의 기판 또는 결정층에 주입되거나 다른 방식으로 존재하는 원자의 농도가 물리적 및 기능적 영역 또는 층과 관련하여 기술될 것이다. 이들은 당업자에 의해 특정의 농도 평균을 가지는 3차원 물질 질량으로서 이해될 수 있다. 또는, 이들은 상이한 또는 공간적으로 변하는 농도를 갖는 서브영역 또는 서브층으로서 이해될 수 있다. 이들은 또한 도펀트 원자의 작은 그룹, 실질적으로 유사한 도펀트 원자 등의 영역, 또는 다른 물리적 구현으로서 존재할 수 있다. 이들 특성에 기초한 영역의 설명이 형상, 정확한 위치 또는 배향을 제한하기 위한 것은 아니다. 이들은 또한 이들 영역 또는 층을 임의의 특정의 유형 또는 수의 공정 단계, 층의 유형 또는 수(예컨대, 복합층 또는 단일층), 이용되는 반도체 증착, 에칭 기법, 또는 성장 기법으로 제한하기 위한 것이 아니다. 이들 공정은 에피택셜적으로 형성된 영역 또는 원자층 증착, 도펀트 주입 방법 또는 특정의 수직 또는 측방 도펀트 프로파일(선형, 단조 증가, 역행 또는 다른 적당한 공간적으로 변하는 도펀트 농도를 포함함)을 포함할 수 있다. 본 명세서에 포함된 실시예 및 일례는 이하에서 기술되고 도 14a 내지 도 14i에 예시된 에피택셜 및 기타 공정과 같은 사용되는 특정의 처리 기법 또는 물질을 나타낼 수 있다. 이들 일례는 단지 예시적인 일례로서 보아야 하고, 결코 제한하는 것으로 해석되어서는 안된다. 도펀트 프로파일은 상이한 도펀트 농도를 갖는 하나 이상의 영역 또는 층을 가질 수 있고, 농도의 변동 및 영역 또는 층이 어떻게 정의되는지는, 공정에 상관없이, 적외선 분광법(infrared spectroscopy), RBS(Rutherford Back Scattering), SIMS(Secondary Ion Mass Spectroscopy)를 비롯한 광학적 기법, 또는 다른 정성적 또는 정량적 도펀트 농도 결정 방법을 사용하는 기타 도펀트 분석 도구를 통해 검출가능하거나 그렇지 않을 수 있다.
도 2a는 일 실시예에 따라 구성된 FET(Field Effect Transistor)(100)를 나타낸 것이다. FET(100)는 게이트 전극(102), 소스(104), 드레인(106), 및 채널(110) 상에 배치된 게이트 스택(gate stack)(108)을 포함한다. 채널(110)은 깊게 공핍될 수 있고 - 이는 일반적으로 게이트 스택부터 차폐 영역(112)까지 측정되는 채널의 깊이가 종래의 채널 깊이보다 현저하게 더 깊다는 것을 의미함 -, 이에 대해서는 이하에서 더 상세히 기술한다. 차폐 영역(112) 위에 채널(110)이 도시되어 있지만, 전술한 바와 같이 상이한 도펀트 농도들로 계층화될 수 있고, 도펀트들의 변화는 차폐 영역을 포함하는 영역간(또는 층간)에 상대적이다. 동작을 설명하면, 바이어스 전압(122) VBS가 소스(104)에 인가될 수 있고, P+ 단자(126)는 회로를 닫기 위해 연결부(124)에서 P-우물(114)에 연결되어 있다. 게이트 스택(108)은 게이트 전극(102), 게이트 접점(118), 및 게이트 유전체(128)를 포함한다. 게이트를 소스 및 드레인으로부터 분리시키기 위해 게이트 스페이서(130)가 포함되어 있다. SDE(Source/Drain Extension, 소스/드레인 연장부)(132)는 유전체(128) 아래에 소스 및 드레인을 연장시킨다.
FET(100)는 기판(116) 상에 형성된 P-우물(114)을 제공하는 P형 도핑된 실리콘 기판인 기판 상에 형성된, N형 도펀트 물질로 이루어진 소스 및 드레인을 가지는 N-채널 트랜지스터로서 도시되어 있다. 그렇지만, 기판 또는 도펀트 물질을 적절히 변경하면, 갈륨 비소계 물질과 같은 다른 적당한 기판으로부터 형성되는 비실리콘 P형 반도체 트랜지스터로 대체될 수 있다는 것을 잘 알 것이다.
소스(104) 및 드레인(106)은 종래의 도펀트 주입 공정 및 물질을 사용하여 형성될 수 있고, 예를 들어, 응력 유발(stress inducing) 소스/드레인 구조, 상승된 및/또는 함몰된 소스/드레인, 비대칭적으로 도핑된, 카운터 도핑된(counter-doped) 또는 결정 구조 개질된(crystal structure modified) 소스/드레인, 또는 HDD(highly doped drain) 기법에 따른 소스/드레인 연장 영역의 주입 도핑과 같은 수정을 포함할 수 있다. 연장 영역(132)은 일반적으로 기판 내에 형성되고, 드레인과 연관된 전위의 일부의 흡수를 용이하게 해준다. 소스/드레인(S/D) 영역 근방에 국부화된 도펀트 분포 - 이 분포는 채널 아래에 연장되어 있을 수 있음 - 를 생성함으로써 디바이스 채널 길이를 스케일링하는 것을 용이하게 해주는 소스 드레인 채널 연장(선단부) 또는 할로 주입을 비롯한, 소스/드레인 동작 특성을 수정하는 다양한 다른 기법도 역시 사용될 수 있다. 특정의 실시예에서, 전기적 특성을 수정하기 위해 보상 도펀트로서 이질적 도펀트 물질이 사용될 수 있다.
게이트 전극(102)은 특정의 금속, 금속 합금, 금속 질화물 및 금속 실리사이드는 물론, 이들의 라미네이트 및 이들의 복합물(이들로 제한되지 않음)을 비롯한 종래의 물질로부터 형성될 수 있다. 게이트 전극(102)은 또한, 예를 들어, 고농도로 도핑된 폴리실리콘 및 폴리실리콘-게르마늄 합금을 비롯한 폴리실리콘으로부터 형성될 수 있다. 금속 또는 금속 합금은 알루미늄, 티타늄, 탄탈, 또는 이들의 질화물 - 질화티타늄과 같은 화합물을 함유하는 티타늄을 포함함 - 을 함유하는 것을 포함할 수 있다. 게이트 전극(102)의 형성은 실리사이드법, 화학적 기상 증착법 및 물리적 기상 증착법 - 증발법 및 스퍼터링법 등(이들로 제한되지 않음) - 을 포함할 수 있다. 통상적으로, 게이트 전극(102)은 약 1 내지 약 500 나노미터의 전체 두께를 가진다.
게이트 유전체(128)는 산화물, 질화물 및 산질화물과 같은 종래의 유전체 물질을 포함할 수 있다. 다른 대안으로서, 게이트 유전체(128)는 일반적으로 높은 유전 상수의 유전체 물질 - 하프늄 산화물, 하프늄 실리케이트, 지르코늄 산화물, 란탄 산화물, 티타늄 산화물, 바륨-스트론튬-티타네이트 및 납-지르코네이트-티타네이트, 금속계 유전체 물질, 및 유전 특성을 갖는 기타 물질을 포함하지만, 이들로 제한되지 않음 - 을 포함할 수 있다. 바람직한 하프늄-함유 산화물은 HfO2, HfZrOX, HfSiOX, HfTiOX, HfAlOX 등을 포함한다. 조성물 및 이용가능한 증착 처리 장비에 따라, 게이트 유전체(128)는 열 또는 플라즈마 산화, 질화법, 화학적 기상 증착법(원자층 증착법을 포함함) 및 물리적 기상 증착법과 같은 방법에 의해 형성될 수 있다. 일부 실시예에서, 유전체 물질의 다중 또는 복합층, 라미네이트 및 조성 혼합물이 사용될 수 있다. 예를 들어, 게이트 유전체는 약 0.3 내지 1㎚의 두께를 갖는 SiO2계 절연체 및 0.5 내지 4㎚의 두께를 갖는 하프늄 산화물계 절연체로부터 형성될 수 있다. 통상적으로, 게이트 전극은 약 0.5 내지 약 5 나노미터의 전체 두께를 가진다.
게이트 유전체(128) 아래에서, 채널 영역(110)이 차폐층(112) 상부에 형성된다. 채널 영역(110)은 소스(104) 및 드레인(106)과 접촉하고 이들 사이에 연장되어 있다. 바람직하게는, 채널 영역은 실질적으로 비도핑된 실리콘, 또는 아주 낮은 레벨로 도핑된 실리콘 또는 SiGe 계열로부터의 물질과 같은 첨단 물질을 포함하고 있다. 채널 두께는 통상적으로 5 내지 50 나노미터의 범위에 있을 수 있다.
바로 아래의 논의는 벌크 CMOS 디바이스에 중점을 둘 것이다. 많은 나노스케일 벌크 CMOS FET 디바이스에서, 캐리어 이동도는 문턱 전압 VT를 설정하는 데 필요한 높은 농도의 채널 도펀트에 의해 악영향을 받는다. 높은 도펀트 농도 레벨이 상당한 전력 누설을 방지할 수 있지만, 도펀트가 높은 농도로 존재할 때, 도펀트는 전자와 같은 이동 캐리어의 채널 이동도를 크게 감소시키는 산란 중심으로서 기능할 수 있다. 이러한 경우에, 채널 영역에 있는 전자는 산란되고, 소스와 드레인 사이의 채널을 통해 효율적으로 이동하지 않는다. 사실상, 이것은 채널이 전달할 수 있는 최대 전류량(Idsat)을 제한한다. 그에 부가하여, 아주 얇은 게이트 및 게이트 유전체/채널 계면에서 얻어지는 높은 전계는 주어진 게이트 전압에서 반전층 전하 밀도를 감소시키는 심각한 양자 역학적 효과를 야기할 수 있으며, 이는 이동도의 감소 및 문턱 전압 VT의 크기의 증가와 연관되어 있으며, 또다시 디바이스 성능을 열화시킨다. 이들 특성으로 인해, 벌크 CMOS 디바이스의 원하는 작은 크기로의 종래의 스케일링은 점점 더 어려운 것으로 인지된다.
부가의 이점으로서, 실질적으로 비도핑된 채널 영역의 사용은 트랜지스터 성능을 향상시키기 위해 종종 사용되는 특정의 종래의 기법의 유효성을 향상시킬 수 있다. 예를 들어, 채널 영역(110)의 대향하는 측면에 배치된 소스(104) 및 드레인(106)은 채널 영역에 가해지는 응력을 수정하도록 구성되어 있다. 다른 대안으로서, 채널 영역이 채널의 면내 방향으로 압축 변형을 야기하도록 위치되어 있는, 격자 정합 및 변형된(lattice matched and strained) 실리콘 게르마늄(SiGe) 결정질 박막 격자에 의해 수정될 수 있다. 이것은 정공 이동도가 진성 Si와 비교하여 증가하도록 밴드 구조(band structure)의 변화를 야기할 수 있다. 게르마늄(Ge) 조성을 변경함으로써 응력 조건이 수정될 수 있다(보다 높은 Ge는 변형을 증가시키고 정공 이동도가 더 높아진다). 인장 변형에서, 채널 영역 Si는 보다 큰 격자 상수를 갖는 격자-완화 SiGe 상에 형성될 수 있다. 이 결과, 전자 이동도 및 정공 이동도 둘다가 미변형된 Si 채널 영역에 비해 증가한다. 다시 말하지만, 베이스 SiGe의 게르마늄 조성이 증가됨에 따라, 변형된 Si 채널 영역에서의 변형의 양 및 캐리어 이동도가 증가하는 경향이 있다. 잘 알 것인 바와 같이, 채널 영역에 응력을 가하기 위해 연속적인 응력층이 필요하지 않고, 채널 영역을 따라 다양한 위치에 압축력 또는 인장력을 가하기 위해 비연속적이거나 다수의 분리된 응력층 - 가해진 응력에 대한 더 나은 제어를 효과적으로 가능하게 해주는 위, 아래, 측방향으로 배열된, 또는 접하는 응력층을 포함함 - 이 사용가능하다.
특정의 실시예에서, 응력층은 채널에 인접하여 또는 그에 접하여 가해질 때 채널 영역에 응력을 가하기에 적당한 임의의 물질의 층을 나타낼 수 있다. 한 일례로서, 특정의 실시예에서, 응력층은 나머지 반도체 기판 중 일부 또는 전부와 상이한 열팽창률을 갖는 물질을 포함할 수 있다. 이러한 실시예의 제조 동안, 반도체 기판의 온도가 감소됨에 따라, 특정의 부분이 상이하게 수축하여, 채널 영역의 신장 또는 압축을 야기한다. 그 결과, 채널 영역의 적어도 일부분이 변형되어, 캐리어 이동도를 향상시킬 수 있다. 특정의 실시예에서, 응력층은 반도체 기판 중 일부 또는 전부보다 더 큰 열팽창 계수를 갖는 물질(실리콘 질화물 등)을 포함할 수 있다. 그에 부가하여, 또는 다른 대안으로서, 채널 영역에서 정공 또는 전자의 이동도를 선택적으로 향상시키기 위해 상이한 응력층이 FET(100)의 다양한 부분에 도포될 수 있다. 예를 들어, 특정의 실시예에서, 상보적인 n형 및 p형 트랜지스터 쌍이 적절한 p형 및 n형 우물 구조를 통해 서로로부터 분리되어 있는 경우, n형 트랜지스터의 채널 영역에 인장 응력을 가하기 위해 응력층이 n형 트랜지스터에 도포될 수 있다. 이 인장 응력은 채널 영역을 통한 전자의 이동도를 향상시키는 변형을 채널 영역에 야기할 수 있다. p형 트랜지스터의 채널 영역에 압축 응력을 가하기 위해 다른 응력층이 p형 트랜지스터에 도포될 수 있다. 이 압축 응력은 정공의 이동도를 향상시키는 변형을 p형 채널 영역에 유발할 수 있다.
실질적으로 비도핑된 채널을 갖는 트랜지스터의 제공은 응력이 가해질 때 다른 이점을 가져온다. 예를 들어, 소스/드레인 또는 채널 응력 기법을 통해 가해지는 압축 또는 인장 응력에 의해 응력이 가해질 수 있다. 균일하게 또는 고농도로 도핑된 채널을 갖는 종래의 나노스케일 트랜지스터와 비교하여, 변형된 채널 영역 FET 트랜지스터는 게이트 유전체 근방에서의 낮은 도펀트 농도(이온화된 불순물 산란의 감소) 및 낮은 전계(표면 거칠기 산란의 감소)로 인해 보다 큰 변형 강화 이동도(strain enhanced mobility)를 제공할 것이다. 산란의 감소로 인해, 응력 강화 이동도(stress enhanced mobility)는 종래의 디바이스에서보다 상당히 더 클 것이다. 변형으로 인한 이러한 이동도 이점은 실제로는 트랜지스터의 크기가 감소함에 따라 증가할 것이다.
도 2a는 차폐 영역(112)과 채널(110)을 도시하는 일 실시예에 따라 구성된 트랜지스터의 개략도이다. 도 2b는 공핍된 채널과 차폐 영역 사이의 상대적인 도펀트 농도들을 일반적으로 도시한다. 도 2c 및 도 2d는 도 2a의 채널(110) 및 차폐 영역(112)과 교환될 수 있는 DDC 트랜지스터 채널의 2개의 상이한 일례를 추가적으로 나타내는 개략도이다. 다른 영역들 및 층들이 가능할 수 있고, 당업자는 본 명세서에 도시되고 설명된 일반적인 구조들에서 영역들, 층들, 상이한 도펀트 농도들 및 다른 농도들 및 기하구조들에 대한 다른 변형들이 가능하다는 것을 이해할 것이다. 상이한 영역은 게이트 유전체(도 2a에 도시된 유전체(128) 등) 근방에 위치하게 될 채널의 깊게 공핍된 영역, 문턱 전압 조정 영역, 및 고농도로 도핑된 차폐 영역을 포함할 수 있다. 도 2b는 게이트 유전체 옆에 위치하고 상이한 도펀트 농도를 갖는 2개의 영역, 채널 영역(110) 및 차폐 영역(112)을 갖는 DDC 트랜지스터 채널의 단면의 한 일례를 나타낸 것이다. 이 채널 단면의 프로파일은 게이트 유전체(도시 생략)와 차폐 영역(204) 사이에 위치하는 공핍된 영역(202)을 포함한다. 도펀트 원자(206)가 예시되어 있고, 차폐 영역(204)에서의 도펀트 밀도는 차폐 영역(204)과 비교한, 공핍된 채널 영역(202)에서의 상대 도펀트 원자 밀도에 대응한다.
도 2c는 채널 영역(208)의 다른 일례를 나타낸 것이며, 이것은 상이한 도핑 농도의 3개의 영역을 가진다. 이 일례에서, 공핍된 도펀트 채널 영역(214)은 최소량의 도펀트(206)를 갖고, 문턱값 조정 영역(212)은 일반적으로 공핍된 도펀트 채널 영역(214)보다 더 높은 농도의 도펀트 원자를 가지며 차폐 영역(210)은 가장 높은 농도의 도펀트 원자를 가진다.
도 2d는 또 다른 변형을 나타낸 것이며, 여기서 채널 단면은 상부 채널 영역으로부터 하부로 점점 증가하는 도펀트 원자 농도(224)를 가진다. 상이한 응용 및 실시예에서, 채널의 상부에서의 도펀트 범위가 달라질 수 있지만, 통상적으로 공정 및 어닐링 조건이 허용하는 만큼 채널의 상부 쪽이 낮을 것이다. 도펀트 범위는 채널의 중심 쪽으로 가면서 증가할 수 있고, 채널의 하부를 지나서 보다 높은 농도의 도펀트를 갖는 차폐 영역에 이른다.
이들 구성 중 어느 하나에서, 문턱 전압 조정 영역이 별도의 에피택셜 성장된 실리콘층으로서 형성될 수 있거나, 역시 공핍된 채널 영역을 포함하는 단일 실리콘 에피택셜층의 일부로서 형성될 수 있다. 문턱값 조정 영역 두께는 통상적으로 5 내지 50 나노미터 두께의 범위에 있을 수 있다. 실질적으로 도핑되어 있지 않을 때, 영역 자체의 두께의 적절한 선택은 문턱 전압을 약간 조정하는 반면, 보다 통상적인 응용에서, 문턱 전압 조정 영역이 5 x1017 내지 2 x 1019 원자/cm3의 범위에 있는 평균 농도를 갖도록 도핑된다. 특정의 실시예에서, 채널 영역 내로의 또는, 다른 대안으로서, 차폐 영역으로부터 문턱 전압 조정 영역 내로의 도펀트 이동을 방지하기 위해 탄소, 게르마늄 등의 도펀트 이동 저지층(dopant migration resistant layer)이 문턱 전압 조정 영역 위에 및/또는 아래에 도포될 수 있다.
차폐 영역은 채널 영역 및 문턱 전압 조정 영역(제공되어 있는 경우) 아래에 매립되어 있는 고농도로 도핑된 영역이다. 소스 및 드레인과의 직접적인 접촉을 피하기 위해, 차폐층은 일반적으로 좀 떨어져 위치되어 있다. 특정의 다른 실시예에서, 이는 다수의 소스/드레인/채널 영역 아래에 연장되어 있는 시트로서 형성될 수 있는 반면, 다른 실시예에서, 이는 채널 영역과 동일 공간에 있는 자기 정렬된 주입부(implant) 또는 층일 수 있다. 차폐 영역 두께는 통상적으로 5 내지 50 나노미터의 범위에 있을 수 있다. 차폐 영역은 채널, 문턱 전압 조정 영역(제공되어 있는 경우) 및 P-우물에 비해 고농도로 도핑되어 있다. 실제로, 차폐 영역은 1 x 1018 내지 1 x 1020 원자/cm3의 농도를 갖도록 도핑된다. 특정의 실시예에서, 문턱 전압 조정 영역 내로의 도펀트 이동을 방지하기 위해 탄소, 게르마늄 등의 도펀트 이동 저지층이 차폐 영역 위에 도포될 수 있다.
동작을 설명하면, 문턱 전압보다 큰 소정의 전압이 전도성 게이트에 인가될 때, 게이트 스택과 차폐 영역 사이에 깊게 공핍된 영역이 형성된다. 전도성 게이트 아래에서, 깊게 공핍된 영역은 통상적으로 아래쪽으로 차폐 영역 내로 연장되어 있지만, 특정의 고농도로 도핑된 실시예에서, 깊게 공핍된 영역은 문턱 전압 조정 영역(제공되어 있는 경우)에서 끝날 수 있다. 잘 알 것인 바와 같이, 공핍 영역의 전도성 게이트 아래의 정확한 깊이는 FET의 설계에 의해 조정될 수 있는 다수의 인자에 의해 결정된다. 예를 들어, 공핍 영역 깊이는 FET의 다른 요소들의 공간 배치 및 절대 또는 상대 도펀트 농도에 의해 결정될 수 있다. 예를 들어, FET는 소스 영역과 드레인 영역 사이에 그리고 게이트 길이 LG를 갖는 게이트 아래에 정의된 채널을 가질 수 있다. DDC 깊이(Xd)는 게이트 길이의 1/2보다, 어쩌면 게이트 길이의 1/2 또는 그 근방의 분수배만큼 더 크도록 설정될 수 있다. 한 일례에서, 이 DDC 깊이는 채널 길이의 1/2 부근으로 또는 그와 대략 같도록 설정될 수 있고, 이는 동작 중에 심지어 1 볼트 미만의 낮은 동작 전압에서도 문턱 전압의 정밀한 설정을 가능하게 해준다. 특정의 응용의 요구사항에 따라, 상이한 깊이는 상이한 유익한 결과를 제공할 수 있다. 본 개시 내용이 주어지면, 상이한 응용, 상이한 디바이스 기하 형태, 및 특정의 설계의 다양한 파라미터에서 상이한 DDC 깊이가 가능하다는 것을 잘 알 것이다. 특정의 응용의 파라미터에 따라, DDC 트랜지스터를 형성하는 데 사용되는 상이한 영역 두께, 도펀트 농도, 및 동작 조건이 상이한 유익한 결과를 제공할 수 있다.
예를 들어, 다른 실시예에 따르면, 공핍 깊이가 게이트 길이의 1/3부터 게이트 길이와 거의 같은 길이까지 유지될 수 있다. 그렇지만, 당업자라면 잘 알 것인 바와 같이, 트랜지스터의 구조 및 동작이 공핍 깊이가 게이트 길이의 1/2보다 작도록 되어 있는 경우, 전력 소비의 측면에서의 디바이스의 성능은 점차적으로 열화될 것이고, DDC의 이점도 감소될 것이다. 이 디바이스는, 공핍 깊이 Xd가 게이트 길이의 1/3 내지 1/2일 때 - 예를 들어, 게이트 아래의 공핍 깊이가 대략 0.4x LG로 설정되어 있는 DDC 트랜지스터 등 -, 여전히 종래의 디바이스보다 적당한 개선을 달성할 수 있다. 이 일례에서, 도펀트 농도가 1 x 1018 내지 1 x 1020 원자/cm3인 경우, 차폐 영역에 대한 적당한 두께 범위는 5 내지 50 ㎚이다. 도펀트 농도가 5 x 1017 내지 2 x 1019 원자/cm3인 경우, 문턱 전압 조정 영역에 대한 적당한 두께 범위는 5 내지 50 ㎚이다. 비도핑된 채널 영역은 Xd > 1/2x LG의 제약조건을 만족시킬 정도로 충분히 깊게 선택되고 5 x 1017 원자/cm3 미만의 농도를 가진다.
사실상, DDC 트랜지스터에 깊게 공핍된 영역을 제공하는 것은 다수의 트랜지스터 및 관련 디바이스를 갖는 회로에서 문턱 전압을 설정하는 허용오차를 상당히 엄하게 하는 것을 가능하게 해줄 수 있고, RDF로 인한 변동을 추가로 감소시킬 수 있다. 그 결과, 집적 회로 내의 다수의 디바이스에 걸쳐 설정될 수 있는 문턱 전압이 보다 예측가능하고 신뢰할 수 있다. 이 이점은 디바이스 또는 시스템에서의 전력을 감소시키기 위해 사용될 수 있고, 보다 나은 전체 성능을 가져올 수 있다.
이 실시예에 의해 어쩌면 가능하게 되는 한가지 다른 이점은 기술된 트랜지스터 구조들 중 하나 이상으로 구성된 디바이스 또는 시스템의 동작 동안에 정적으로 설정될 수 있거나 동적으로 변화될 수 있는 조정가능한 문턱 전압이다. 또한, 도 2a에 예시된 바와 같이, 바이어스 전압이 트랜지스터 소스(104)에 및 P-우물(114)에 연결된 정반대로 대전된 도펀트 물질(126)에 인가될 수 있다. 종래의 회로는 통상적으로 공급 전압으로 바이어스되고, 따라서 동작 전압이 게이트에 인가될 때 전류가 소스로부터 드레인으로 흐를 수 있다. 동적으로 설정된 문턱 전압으로의 조정가능한 바디 바이어싱의 사용이 앞서 제안되어 있지만, 이는 일반적으로 실용적인 것으로 판명되지 않았는데, 그 이유는 상당한 칩 면적 불이익을 유발하는 경향이 있고, 따라서 칩상 집적의 레벨을 방해하기 때문이다. 이 실시예에 따르면, 트랜지스터가 하나의 집적 회로 또는 시스템 내에 구성되어 있든 개별적인 회로에 구성되어 있든 간에, 우물에 인가되는 바이어스 전압을 변경함으로써 트랜지스터(또는 공통의 우물을 공유하는 경우 트랜지스터 그룹)의 문턱 전압을 변화시키도록 회로가 구성될 수 있다. 이하에서 더욱 상세히 기술된 바와 같이, 정밀한 범위 내에서 문턱 전압을 신뢰성있게 제어할 수 있는 것은, 칩 면적 불이익이 감소된 상태에서 동작 동안 문턱 전압을 신뢰성있고 동적으로 변화시킬 수 있는 것과 함께, 디바이스 또는 시스템 내의 트랜지스터 또는 트랜지스터 그룹의 동작 모드를 동적으로 변경할 수 있는 디바이스 또는 시스템을 가져온다.
도 3은 일 실시예에 따른, 채널에서의 다양한 깊이 범위에 대한 도펀트 농도의 범위를 나타내기 위해 도펀트 원자 농도 대 게이트 유전체 아래의 채널 깊이의 그래프(300)를 나타낸 것이다. 2개의 곡선 - 보다 실용적인 곡선(308) 및 이상적인 곡선(310) - 이 도시되어 있다. 알 수 있는 바와 같이, 3개의 층 - 처음 5 내지 20㎚에 있는 채널 영역, 채널 영역으로부터 그 다음 5 내지 20 나노미터에 있는 문턱 전압 조정 영역, 및 문턱 전압 조정 영역으로부터 그 다음 5 내지 20 나노미터에 있는 차폐 영역 - 이 나타내어져 있다. 상이한 층에서의 각각의 농도는 특정의 레벨(312, 314, 316) - 어쩌면 그래프에서 그 각자의 농도 레벨에서의 변곡점이지만, 꼭 그럴 필요는 없음 - 에 도달하고, 이들은 채널 도펀트 농도 "d"가 5 x 1017 원자/cm3 미만인 특정의 도펀트 농도 레벨(302), 문턱 전압 조정 영역 농도 "d"가 5 x 1017 내지5 x 1018 원자/cm3인 특정의 도펀트 농도 레벨(304), 및 차폐 영역 도펀트 농도가 5 x 1018 원자/cm3를 초과하는 특정의 도펀트 농도 레벨(306)에 대응한다. 일부 실시예에 따르면, 이들 도펀트 농도 범위 내에서, 깊게 공핍된 영역의 나노스케일 FET 지원 동작에서 어떤 최적의 이점이 실현될 수 있다.
다양한 실시예에 따른 도펀트 프로파일은 3개의 영역이 있도록 정의된다. 3개의 영역이 표 1에 정의되어 있으며, 영역 1은 게이트 유전체 근방에 위치한 채널 영역에 대응하고, 영역 2는 문턱 전압 조정 영역에 대응하며, 영역 3은 차폐층에 대응하고, 여기서 LG는 게이트 길이이다. 잘 알 것인 바와 같이, 게이트 길이는 실질적으로 채널 길이와 같고, t1, t2 및 t3는 3개의 영역의 각자의 두께이다. 이들 영역 각각은 대표적인 두께 및 도펀트 도즈(dopant dose)(원자수/cm3로 측정됨)를 통해 표현될 수 있다. 이들 두께 및 도즈의 값이 표 1에 주어져 있다.
Figure pct00001
층 두께는 공정 노드에 따라 다르며, 그 각자의 두께 t1, t2 및 t3는 관심의 디바이스 및 공정 노드의 게이트 길이(LG)에 관련되어 있다. 표 2는 영역들의 두께 요구사항에 대한 스케일링 LG의 효과를 설명하는 90㎚ 내지 15㎚ 공정 노드에 대한 대표적인 숫자를 포함하고 있다.
Figure pct00002
도 4는 예시적인 구현예에서 디바이스 깊이에 따른 상이한 붕소 도펀트 원자/cm3의 변동의 그래프(400)이다. 이 일례에서, 도펀트 농도는 0 내지 대략 20 나노미터(㎚)의 깊이에 있는 트랜지스터 게이트의 근방의 낮은 도펀트 영역에서 가장 낮고(1 x 1017 미만), 대략 20㎚ 내지 45㎚의 문턱 전압 조정 영역에서 약간 더 높다(대략 5 x 1018). 이 일례는 대략 45㎚ 내지 75㎚의 차폐 영역에서 훨씬 더 높은 피크(대략 5 x 1019)에 이른다. 이 특정의 일례는 상이한 공정에서 행해진 그래프를 중첩하여 나타낸 3개의 상이한 시뮬레이트된 디바이스를 보여준다. 하나는 975 ℃에서 15초 어닐링을 사용하고, 하나는 800 ℃에서 15초 어닐링을 사용하며, 세번째 것은 어닐링을 전혀 사용하지 않는다. 그래프 결과는 실질적으로 유사하며, 이는 상이한 공정 환경에서 도펀트 농도의 안정성을 나타낸다. 당업자라면 상이한 설계 파라미터 및 응용이 상이한 변동 또는 상이한 도핑 농도를 갖는 상이한 수의 영역을 요구할 수 있다는 것을 잘 알 것이다.
실제로, 설계자 및 제조업체는 수학적 모델로부터 통계 데이터를 수집하고 실제 회로로부터 샘플 측정치를 수집하여, 회로 설계의 문턱 전압의 변동을 결정한다. 제조 변동으로부터 도출되든 RDF로부터 도출되든 간에, 트랜지스터들 간의 전압차 불일치가 σVT로서 결정된다. 다양한 디바이스로부터의 상이한 문턱 전압을 공급 전압에 대해 그래프로 나타낸 것의 통계적 렌더링의 한가지 이러한 일례가 도 5에 나타내어져 있다. 회로 전체가 동작하기 위해, 동작 전압 VDD가 σVT를 고려하여 선택되어야만 한다. 일반적으로, 변동이 클수록, σVT가 더 높으며, 따라서 동작 전압 VDD는 트랜지스터가 적절히 동작하도록 더 높게 설정되어야만 한다. 회로에 다수의 디바이스가 구현되는 경우, 회로가 적절히 동작하기 위해 VDD는 가장 높은 전체 값으로 설정될 필요가 있을 수 있다.
σVT를 감소시켜, 집적 회로에 걸쳐 있는 트랜지스터의 문턱 전압의 변동의 범위를 감소시키는 구조 및 그 제조 방법이 제공된다. σVT가 감소되면, VT의 정적 값이 더 정밀하게 설정될 수 있고, 심지어 변하는 바이어스 전압에 응답하여 변화될 수 있다. 일 실시예에 따른 개선된 σVT의 한 일례가, 상이한 디바이스들로부터 얻은 문턱 전압의 보다 낮은 변동에 의해 입증되는 문턱 전압 렌더링의 개선된 범위를 나타낸 도 6에 반영되어 있다. 회로에 걸쳐 있는 공칭상 동일한 디바이스에 대한 문턱 전압이 감소된 σVT에 의해 보다 정확하게 설정될 수 있고, 따라서 디바이스가 보다 낮은 동작 전압 VDD를 사용하여 동작할 수 있게 해주고 따라서 보다 적은 전력을 소비한다. 게다가, 주어진 트랜지스터 또는 트랜지스터 그룹에 대해 VT를 변화시키기 위한 더 많은 여지가 있는 경우, 디바이스는 특정의 모드에 대한 상이한 바이어스 전압에 대응하는 상이한 모드에서 동작될 수 있다. 이것은 많은 디바이스 및 시스템에 기능을 추가할 수 있고, 디바이스 전력 모드의 미세한 제어가 유용한 경우 디바이스에 특히 유익할 수 있다.
도 7a는 종래의 공정 및 구조에 따라 제조된 트랜지스터(700)의 일례를 나타낸 것이다. 이 일례는 소스(702), 드레인(704), 그리고 전도성 게이트(706) 및 절연층(708)을 포함하는 게이트 스택을 갖는 N형 FET로서 나타내어져 있다. 통상적으로, 게이트(706)는 고농도로 도핑된 폴리실리콘으로 형성되고, 절연층은 실리콘 산화물과 같은 게이트 유전체로 형성된다. 게이트 스택(706)은 소스(702)와 드레인(704) 사이의 전류 흐름을 전기적으로 제어한다. 채널(710)은 통상적으로 도펀트를 포함하고, 아래쪽으로 P-우물(712)까지 연장되어 있고, 소스와 드레인 둘다를 둘러싸고 있다. 채널 깊이 Xd(714)는 게이트 유전체(708)로부터 아래쪽으로 채널(720)의 하부까지의 거리이다. 동작을 설명하면, 이 채널 깊이(714)를 따라 아래로 연장되어 있고 소스(702) 및 드레인(704) 쪽으로 휘어져 있는 E(716)와 같은 다수의 전계선이 있다. 이들 전계선은 통상적으로 동 도면에 도시된 바와 같이 직선이 아니고, 디바이스 구성 및 동작의 결과로서 휘어질 수 있다. 전자 e-(718)와 같은 이동 캐리어가 전계 E(716)에 의해 소스(702)와 드레인(704) 사이에서 이동한다. 게이트 스페이서(724) 및 SDE(722)도 역시 나타내어져 있다.
이와 달리, 도 7b는 도 7a의 종래의 디바이스(700)와 비교하여 상당히 더 깊은 공핍 영역을 가지고 동작하는 DDC 트랜지스터(700')의 실시예를 나타낸 것이다. 이것은 응력 유발 층을 사용하지 않고 개선된 이동도, 및 개선된 문턱 전압 설정의 특징 및 이점을 제공한다. 이 일례는 소스(702'), 드레인(704'), 및 게이트(706')을 갖는 N형 FET로서 나타내어져 있다. 트랜지스터는, 게이트-소스 전압이 문턱 전압보다 더 크게 바이어스될 때, 공핍 영역(710')을 생성하고 소스(702')와 드레인(704') 사이의 전류 흐름을 제어하는 게이트 유전체(708') 상에 형성된 게이트(706')를 포함한다. 공핍 영역(710')은, 동 도면에서 보는 바와 같이, P-우물(712')에 층으로서 주입된 차폐층(720')까지 아래쪽으로 연장되어 있고, 소스(702') 및 드레인(704') 둘다를 둘러싸고 있을 수 있다. 게이트 스페이서(724', 720') 및 SDE(722')도 역시 나타내어져 있다. 공핍 깊이 Xd'(714')는 게이트 유전체로부터 아래쪽으로 차폐 영역(720')까지의 거리이며, 도 7a의 종래의 디바이스의 공핍 영역보다 상당히 더 깊다. 도 7a의 종래의 디바이스와 달리, 디바이스(700')에서의 차폐 영역(720')은 아래쪽으로 차폐층까지 연장되어 있는 E(716')와 같은 전계에 대한 강하게 도핑된 종단을 제공한다. 보다 깊은 공핍 Xd'(714')이 주어진 경우, 이 전계선은 일반적으로 종래의 구조(700)에서의 그 전계 E(716)보다 더 길고 더 직선이다. 종래의 디바이스와 유사하게, 바이어스될 때, 전류는 드레인(704')으로부터 소스(702')로 흐르고, 전자 e-(718')는 전계 E(716')에 의해 소스(702')와 드레인(704') 사이에서 이동한다. 그렇지만, 종래의 디바이스와 달리, 전자가 그 전계 E(716')에 걸쳐 보다 자유롭게 흐르고, 개선된 전류 흐름 및 보다 나은 성능을 제공한다. 또한, 이 구성은 짧은 채널 효과를 감소시켜, 랜덤한 도펀트 변동으로 인한 변동을 감소시킴으로써 σVT를 향상시킨다.
도 8a를 참조하면, 도 7a에 예시된 종래의 구조에 대응하는 FET(800)가 도시되어 있다. 트랜지스터 구조 전체에 걸쳐 다양한 위치에서 누설이 발생하고, 그 결과 FET가 능동적으로 스위칭하지 않을 때에도 전력 손실이 있게 된다. 도 8a는 소스(702)와 우물(712) 사이에서 발생하는 누설의 개념을 상세히 나타낸 것이다. 플러스 이온(802)이 우물(712)에 존재할 때, 플러스 이온은 누설 경로 Xj(806)를 통해 정공(804)으로 이동하는 경향이 있다. 비교적 짧은 경로(806)인 경우, 종래의 나노스케일 디바이스에서 누설이 흔히 일어난다.
도 8b는 도 7b에 예시된 것과 유사한 깊은 공핍 영역에 의해 동작하는 FET(800')를 나타낸 것이고, 또한 소스(702')와 우물(712') 사이에서 발생하는 누설의 개념을 나타내고 있다. 플러스 이온(802')이 우물(712')에 존재한다. 그렇지만, 보다 깊은 우물을 갖는 새로운 구성에 의해, 경로 Xj(806')가 상당히 더 길며, 플러스 이온이 누설 경로 Xj(806')를 통해 정공(804')으로 덜 이동하는 경향이 있다. 비교적 더 긴 경로(806')인 경우, 종래의 디바이스와 비교하여 이 경우 누설이 덜 흔하게 일어난다. 또한, 새로운 구조에서 낮은 전계 E(716')가 주어지고 게이트(706') 및 절연체(708')에서 누설이 있는 경우, 전자를 여기시키는 능력이 크게 감소된다. 그 결과, 게이트에서의 누설이 상당히 감소된다. 따라서, DDC를 갖는 새로운 구조는 종래의 디바이스의 많은 위치에서 일어나는 누설의 상당한 감소를 제공한다.
DDC 트랜지스터는 또한 바람직하게는 개선된 캐리어 이동도(업계에서 높은 관심의 특징임)를 제공한다. 이동도는, 문턱 전압 VT보다 큰 전압이 게이트에 인가될 때, 이동 캐리어가 트랜지스터의 채널을 가로질러 소스로부터 드레인으로 움직일 수 있는 능력의 정량적 척도이다. 최적화된 디바이스의 한가지 목표는, 통상적으로 게이트 인가 전계와 측정된 이동도 사이의 관계식(보편적 이동도 곡선이라고 함)에 따라, 전자 또는 이동 캐리어가 소스로부터 드레인으로 최소한의 방해로 움직이게 하는 것이다. 이 보편적 이동도 곡선은 채널의 반전 영역에서의 캐리어 이동도와 그 반전 영역(또는 반전 전하)을 유발하는 전계 사이의 MOSFET 디바이스에서 알려진 잘 설정된 관계식이다. 도 9는 NMOS 트랜지스터(실선)에 대한 보편적 곡선을 나타낸 것이지만, PMOS에 대해서도 유사한 곡선이 존재한다. 이 도면에서, 비도핑된 채널에 대한 보편적 이동도 곡선이 그려져 있다. 영역 A는 종래 기술의 MOSFET 트랜지스터의 통상적인 현재 상태의 이동도/전계 동작 영역에 대응하고, 이들 디바이스가 낮은 전계/저전력 영역에서의 이동도에 대해 고전력 영역에서 열화된 이동도로 동작하고 있다는 것을 나타내고 있다.
제2 이동도 곡선(파선)은 고농도로 도핑된 채널(종종 스케일링 효과를 보상하는 데 필요함) 및 비례적으로 감소된 게이트 전압 및 그 결과 얻어진 낮은 전계를 갖는 나노스케일 게이트 길이 트랜지스터에 해당한다. 이들 곡선은 채널에서 높은 전계를 지원하는 동작 조건에서 일치할 수 있는데, 그 이유는 이동도가 게이트 유전체와 채널 실리콘 사이의 계면과 연관된 표면 거칠기에 의해 좌우되기 때문이다. 낮은 게이트 전압(그 결과 낮은 전계)에서 트랜지스터를 동작시킬 때, 이들 2개의 곡선은 도펀트 원자의 존재 및 전자 이동도를 감소시키는 기능을 하는 채널 도펀트 산란(흔히 이온화된 불순물 산란이라고 함)의 우세로 인해 발산한다. 이것은 영역 C로서 볼 수 있다. 영역 C에 속하는 전계로 동작하는 저전력 디바이스가 구성될 수 있는 반면, 높은 채널 도핑이 요구됨으로써 도 9에서 영역 A로 표시된 영역에서의 도펀트 산란으로 인해 이동도의 열화가 생긴다.
DDC 트랜지스터의 동작점은 도 9에서 영역 B로서 보는 바와 같이 보편적 이동도 곡선을 따라 있다. DDC 트랜지스터는 낮은 전계를 갖는 저전력 영역에서 동작할 뿐만 아니라, 그의 이동도를 낮추기 위해 실질적으로 낮은 도펀트 산란을 갖는 깊게 공핍된 디바이스인 것으로부터 이득을 볼 수 있다. 따라서, DDC 트랜지스터는, 일부 바람직한 실시예에서, 종래의 고전력 디바이스보다 최대 120%의 이동도 향상을 달성할 수 있다.
이들 새로운 구조 및 그 생성 방법에 의해, 회로가 이제 VT를 동적으로 변경할 수 있도록 제조 및 구성될 수 있다. 이 구조는 바람직하게는 종래의 디바이스와 비교하여 작은 σVT로 구성되어, 보다 낮은 공칭 문턱 전압 VT 및 보다 낮은 동작 VDD 뿐만 아니라, 바이어스 전압에 응답하여 변화될 수 있는 정밀하게 조정가능한 VT를 가질 수 있는 능력을 디바이스에 제공한다. 동작을 설명하면, 디바이스의 VT를 상승 및 하강시키는 동작을 하는 바이어스 전압이 트랜지스터에 인가될 수 있다. 이것은, 특히 동작 전압 VDD가 또한 동적으로 제어되는 경우, 회로가 효율적이고 신뢰성있는 방식으로 동작 모드를 정적으로 지정하고 및/또는 동적으로 변경할 수 있게 해준다. 또한, VT의 조정이 회로의 하나 이상의 트랜지스터, 트랜지스터 그룹, 및 상이한 섹션 또는 영역에서 행해질 수 있다. 이 획기적인 진전은 설계자가 회로에서 상이한 기능을 하도록 조정될 수 있는 일반 트랜지스터를 사용할 수 있게 해준다. 그에 부가하여, 이들 집적 회로 구조의 특징 및 이점으로부터 얻어지는 많은 회로 및 시스템-레벨 혁신이 있다.
일 실시예에서, 반도체 구조는 DDC 깊이를 갖는 DDC를 구비하고 있으며, 여기서 채널은 소스 영역과 드레인 영역 사이에 형성된다. 한 일례에서, DDC 깊이는 적어도 디바이스의 채널 길이의 1/2이다. 이들 구조는 종래의 디바이스보다 더 낮은 전압에서 동작할 수 있고, 디바이스 채널에서의 RDF의 효과에 의해 제한되지 않는다. 이 새로운 구조는 또한 종래의 벌크 CMOS 처리 도구 및 공정 단계를 사용하여 제조될 수 있다.
일 실시예에 따르면, 트랜지스터의 채널 영역은 상이한 도펀트 농도를 가지는 복수의 영역으로 구성될 수 있다. 한 일례에서, DDC 트랜지스터는 게이트 아래에 3개의 상이한 영역이 존재하도록 구성되어 있다. 게이트 유전체로부터 기판 내로 더 깊게 나아가면서, 이들 영역은 채널, 문턱 전압 조절 영역, 및 차폐 영역을 포함한다. 당업자라면 이들 영역의 상이한 조합 또는 치환이 존재할 수 있다는 것을 잘 알 것이다.
채널 영역은 집적 회로의 동작 동안 소수 캐리어가 소스로부터 드레인으로 이동하는 영역이다. 이것은 디바이스를 통해 흐르는 전류를 구성한다. 이 영역에서의 도펀트의 양은 불순물 산란을 통해 디바이스의 이동도에 영향을 미친다. 보다 낮은 도펀트 농도에 의해 보다 높은 이동도가 얻어진다. 그에 부가하여, 도펀트 농도가 감소됨에 따라 RDF도 역시 감소된다. 이 비도핑된(저농도로 도핑된) 채널 영역은 DDC 트랜지스터가 높은 이동도 및 낮은 RDF 둘다를 달성할 수 있게 해줄 수 있다.
문턱 전압 조절 또는 조정 영역은 PMOS에서의 N형 도펀트 및 NMOS에서의 P형 도펀트와 같은 상보적인 도펀트가 채널 영역 아래에 유입될 수 있게 해준다. 채널 영역 및 도펀트의 층에 근접하여 결합된 이 VT 조절 영역의 도입은 바람직하게는, 채널을 직접 도핑하는 일 없이, 문턱 전압 조정 영역이 채널 내의 공핍 영역을 변경할 수 있게 해준다. 이 공핍 제어는 원하는 결과를 달성하기 위해 디바이스의 VT가 변경될 수 있게 해준다. 그에 부가하여, VT-조절 영역은 서브채널 펀치-쓰루 및 누설을 방지하는 데 도움을 줄 수 있다. 일부 실시예에서, 이것은 개선된 짧은 채널 효과, DIBL 및 서브-문턱값 기울기(sub-threshold slope)를 제공한다.
종래의 공정에서, 다른 실시예는 특정의 구조 및 농도를 변경함으로써 트랜지스터의 다른 성능 척도를 해결하였다. 예를 들어, 도핑 농도를 조절하여 짧은 채널 효과 또는 다른 파라미터를 개선시키기 위해 게이트 금속 합금 또는 폴리실리콘이 사용될 수 있다. 게이트 아래 및 채널 위에 위치하는 게이트 유전체도 역시 조절될 수 있다. 트랜지스터의 채널 내의 또는 그 주변에서의 도펀트 농도를 설정할 수 있는 다른 공정도 존재한다. 디바이스의 짧은 채널 효과 및 기타 파라미터를 개선하려는 이들 종래의 시도와 달리, 본 명세서에 기술된 실시예들 중 일부는 디바이스의 더 많은 파라미터를 개선시킬 뿐만 아니라, 디바이스에 대한 문턱 전압을 설정함에 있어서의 정확도 및 신뢰성도 향상시킬 수 있다. 또한, 일부 구현예에서, 개선된 디바이스는 또한 향상된 성능을 위해 또한 이용될 때 디바이스 또는 시스템의 새로운 특징 및 동작을 제공하기 위해 디바이스의 문턱 전압의 동적 제어를 가능하게 해줄 수 있다.
일 실시예에서, 트랜지스터 디바이스는 게이트 근처의 채널의 상부로부터 아래쪽으로 채널 내로 단조 증가하는 도펀트 농도를 제공받는다. 한 일례에서, 게이트 유전체로부터 시작하여 도펀트의 선형 증가가 있다. 이것은 게이트로부터 좀 떨어져 차폐 영역을 형성하고 차폐 영역과 게이트 사이에 공핍된 영역을 가짐으로써 달성될 수 있다. 이 공핍된 영역은 상이한 도펀트 농도의 하나 이상의 영역을 포함한 여러가지 형태를 취할 수 있다. 이들 영역은 특정의 문턱 전압을 설정하는 것의 신뢰성을 향상시키는 것, 트랜지스터 채널에서의 이동도를 향상시키는 것, 및 디바이스의 상이한 동작 모드를 개선 및 확장시키기 위해 문턱 전압의 동적 조정을 가능하게 해주는 것을 비롯한 트랜지스터 디바이스의 여러가지 개선을 해결한다. 이들 도펀트 농도는 도 4에 예시되고 게이트 근방의 구조의 상부로부터 시작하여 상이한 층들을 거쳐 아래쪽으로 차폐층까지 디바이스의 채널의 깊이와 관련하여 앞서 기술한 것과 같은 농도의 그래프로 표현될 수 있다.
공핍된 채널 영역은 전자가 트랜지스터의 소스와 드레인 사이에서 자유롭게 이동하는 영역을 제공하고, 따라서 이동도 및 전체 성능을 향상시킨다. 디바이스의 공칭 진성 문턱 전압을 설정하기 위해, 문턱 전압 조정 영역이 차폐 영역과 함께 사용된다. 차폐 영역은 FET 디바이스의 바디 계수를 증가시키는 고농도로 도핑된 영역이다. 보다 높은 바디 계수는 바디 바이어스가 FET의 문턱 전압을 동적으로 변경시키는 데 보다 큰 효과를 가질 수 있게 해준다. 다수의 특수 디바이스를 달성하기 위해 이들 3개의 영역이 함께 사용될 수 있다. 다양한 설계 이점을 달성하기 위해 영역들 중 2개 또는 3개의 다중 조합이 사용될 수 있다. 예를 들어, 다양한 진성 VT 값(문턱 전압 조절 도핑에 의해 달성됨) 및 동적 동작 모드(바디 효과를 통함)로 저전력 디바이스를 달성하기 위해, 폴리, 밴드 가장자리 금속 및 오프밴드 가장자리 금속 게이트들과 함께 모든 영역이 사용될 수 있다.
초저전력 디바이스를 달성하기 위해, 오프밴드 가장자리 금속 게이트 스택과 함께 채널 및 차폐 영역이 사용될 수 있다(여기서 오프밴드 가장자리 금속은 문턱 전압 조절 영역의 도움 없이 문턱 전압을 증가시키는 역할을 한다). 다른 대안으로서, 초저전력 디바이스를 달성하기 위해, 채널 및 차폐 영역이 이중 일함수 금속(dual work function metal) 게이트 스택과 함께 사용될 수 있다. 그에 부가하여, 이들 영역의 형성은 다수의 방식으로 달성될 수 있다. 일부 구현예에서, 단일 에피택셜 흐름(single epitaxial flow)이 사용될 수 있고, 그로써 성장 동안 제어 및 변조되는 인-시츄 도핑(in-situ doping)은 부가의 주입 없이 원하는 프로파일을 달성하고, 여기서 다수의 주입 및 그에 뒤이은 비도핑된 에피택셜 영역이 프로파일을 달성하는 데 사용될 수 있다. 다른 대안으로서, 원하는 농도와 유사한 주입에 의한 이중 에피택셜 흐름(dual epitaxial flow)이 사용될 수 있다. 또는, 원하는 프로파일을 달성하기 위해 임의의 수의 에피택셜과 주입의 조합으로 이루어진 다중 에피택셜 흐름이 사용될 수 있다. 그렇지만, 이러한 변형이 본 명세서에 첨부된 특허청구범위의 사상 및 범위를 벗어나지 않을 것이다.
디바이스의 다른 일례에서, 기판 상에 형성된 DDC 영역에 부가하여, 산화물 영역 또는 다른 게이트 절연체가 기판의 상부에 채널 영역 위쪽으로 형성될 수 있다. 디바이스는 산화물 영역 상에 형성된 금속 게이트 영역을 포함할 수 있다. 이 일례에서, 얻어진 디바이스는, 여전히 채널 영역에서의 RDF에 민감하지 않으면서, 동적으로 제어가능한 문턱 전압을 갖는 트랜지스터이다. 이 일례에서, 동작을 설명하면, DDC 영역은 아주 낮은 σVT를 가지는 반면, 낮은 VDD는 깊은 공핍 영역에서의 누설을 낮게 유지한다. 그에 부가하여, 1 볼트 또는 그 이상에서의 트랜지스터 동작을 요구하는 레거시 디바이스를 가능하게 해주기 위해 주입이 제공될 수 있다.
이하의 일례들에서, 다양한 디바이스 구성, 이러한 디바이스를 포함하는 시스템, 이러한 디바이스 및 시스템을 제조하는 방법이 논의되고 도면에 추가적으로 예시되어 있다. 이들 일례는 이러한 디바이스, 시스템 및 그 제조 방법의 기술 분야의 당업자에 의해 잘 이해되는 개략도로 예시되어 있다. 이들 일례는 기본 시스템의 실시가능성 및 가능한 동작 특성 및 성능에 대한 논의와 함께 디바이스의 상세를 기술하고 예시하고 있다.
종래의 구조와의 추가적인 비교는 도 10 및 도 11에 예시되어 있다. 도 10은 저농도로 도핑된 채널(약 1 x 1017 원자/cm3)을 갖는 DDC 트랜지스터의 문턱 전압 및 바디 바이어스 대 차폐 영역을 갖지 않는 균일하게 도핑된 채널을 갖는 유사한 크기의 종래의 트랜지스터 간의 예시적인 비교를 나타낸 것이다. 알 수 있는 바와 같이, DDC 트랜지스터가 강한 바디 계수를 위해 보통 요구되는 상당한 채널 도펀트를 가지고 있지 않더라도, DDC에서의 바디 바이어스에 의한 문턱 전압 변조가 여전히 균일하게 도핑된 채널 MOS와 비슷하다.
따라서, 특정의 실시예에서, DDC 구조는 짧은 채널 디바이스에 대한 실용적인 대체물이 아닌 긴 채널 디바이스에서만 현재 실현되는 비슷한 이점을 짧은 채널 디바이스에서 제공할 수 있다. 도 11을 참조하면, DDC 디바이스의 일례와 비교한 균일한 채널 MOS 디바이스에 대한 σVT 대 바디 바이어스 전압의 비교가 나타내어져 있다. 짧은 채널 디바이스 대 긴 채널 디바이스의 문턱 전압에 대해 상당한 열화가 명백하다. 이 DDC 디바이스에서, 증가된 바디 바이어스 전압에 의해 문턱 전압의 열화가 상당히 덜하다. 이러한 감소는 짧은 채널 효과를 크게 감소시키는 고농도로 도핑된 차폐 영역에 의해 용이하게 된다.
배경 기술에서 논의된 바와 같이, 특정의 트랜지스터가 SSRW(Super Steep Retrogradient Well) 프로파일에 따라 도핑된 채널층을 갖도록 형성될 수 있다. 이 기법은 약하게 도핑된 채널 아래에 강하게 도핑된 영역을 형성하기 위해 특수 도핑 프로파일을 사용한다. 도 12를 참조하면, DDC 구조의 일례의 프로파일과 종래의 SSRW의 프로파일 간의 비교가 도시되어 있다. 알 수 있는 바와 같이, SSRW는 채널에 인접하여, 채널(도시 생략)의 상부를 정의하는 트랜지스터 게이트 유전체 근방에서 아주 높은 도펀트 농도를 가진다. 채널 및 게이트 유전체 근방에 위치하는 이러한 높은 도핑 농도로 인해 통상적으로 종래의 디바이스에서 좋지 않은 누설 성능이 얻어지고, 이 방식을 나노스케일 게이트 길이 트랜지스터로 스케일링하는 데 몇가지 어려움이 있다. 따라서, 이는 일반적으로 전자 장치에서 전력을 감소시키고 성능을 향상시키라는 전반적인 요구에 대한 적절한 상업적 해결책을 제공하지 않는다. DDC 트랜지스터의 실시예는 깊게 공핍된 채널 및 강하게 도핑되고 채널로부터 분리되어 있는 차폐층도 포함할 수 있다. 이러한 구조는 회로 성능에 대한 주목할만한 개선을 제공할 수 있고, SSRW를 구현하는 회로보다 생산하기가 더 간단할 수 있다.
많은 종래의 CMOS 제조 공정이 DDC 트랜지스터를 제조하는 데 사용될 수 있다. 도 13은 종래의 디바이스를 제조하는 종래의 CMOS 공정(CMOS)와 본 명세서에 개시된 실시예에 따라 구성된 구조의 제조 공정 간의 비교(1300)의 개략도이다. 새로운 CMOS 디바이스의 일 실시예에서, STI(shallow trench isolation, 얕은 트렌치 분리)(1302, 1302A), 우물 및 채널 주입부(1304, 1304A), 접점(1308, 1308A), 및 금속 상호연결부(1310, 1310A)에 관련된 처리 단계들이 표준일 수 있다. 단지 종래의 CMOS 게이트 스택 공정(1306)만이 개선된 구조(1306A)의 게이트 스택과 상이하다. 이것은 새로운 CMOS 구조(예를 들어, DDC 디바이스 등)를 도입하는 데 상당한 이점을 제공한다. 주로, 이것은 새로운 디바이스를 제조하는 위험이 따르거나 비용이 많이 드는 새로운 공정 단계를 개발할 필요성을 없애준다. 따라서, 기존의 제조 공정 및 연관된 IP 라이브러리가 재사용될 수 있어, 비용을 절감하고 제조업체가 이러한 새롭고 진보된 디바이스를 시장에 더 빠르게 내놓을 수 있게 해준다.
도 13의 일례에 따른 DDC 트랜지스터 공정은 DDC 도핑 프로파일을 생성하기 위해 고농도로 도핑된 N형 및 P형 영역 상부에 비도핑된 에피택셜 실리콘 영역을 생성할 것이다. 일부 구현예에서, 비도핑된 에피택셜 실리콘 영역 두께는 디바이스 성능에서 중요한 인자일 수 있다. 다른 일례에서, 높은, 중간 및 낮은 도핑(또는 도핑 없음)을 갖는 최종 게이트 스택을 제공하기 위해 이중 에피택셜 실리콘 영역이 사용된다. 다른 대안으로서, 기판층 근방에 하나의 높은 도핑 영역을 갖는 최종 스택에 대한 하나의 에피택셜 실리콘 영역이 성장될 수 있고, 이어서 게이트와 고농도로 도핑된 차폐 영역 사이에 에피택셜 성장된 층의 중간 도핑 내지 낮은 도핑이 있게 된다. 층들 사이의 도펀트 이동 또는 확산을 방지하기 위해, 일부 구현예에서, 다양한 도펀트 이동 저지 기법 또는 층이 이용될 수 있다. 예를 들어, P형 에피택셜 실리콘에서, 탄소 도핑을 사용하여 붕소(B) 확산이 감소될 수 있다. 그렇지만, N형 에피택셜 실리콘에서, 탄소는 As 도핑에 부정적 영향을 미칠 수 있다. 탄소는 실리콘 에피택시 전체에 걸쳐 위치될 수 있거나, 각각의 계면에 있는 얇은 영역으로 국한될 수 있다. 인-시츄에 도핑된 탄소 또는 주입된 탄소를 사용하는 것이 가능할 수 있다. 인-시츄에 도핑된 탄소가 사용되는 경우, 탄소는 N형 및 P형 둘다에 존재할 수 있다. 탄소가 주입되는 경우, 일부 실시예에서, 이는 P형에서만 사용될 수 있다.
도펀트 이동 저지층의 증착, 진보된 에피택셜 층 성장, ALD 또는 진보된 CVD 및 PVD, 또는 어닐링 기법 - 이들 모두는 65㎚, 45㎚, 32㎚, 및 22㎚에서의 공정 노드와 같은 진보된 집적 회로 공정 노드 기술에서 이용가능함 - 을 비롯한, 이용가능한 벌크 CMOS 처리 기술을 사용하여 DDC 트랜지스터가 형성될 수 있다. 이들 공정 노드가 일반적으로 STI 분리, 게이트 처리, 및 어닐링에 대한 낮은 열 비용(thermal budget)을 가지지만, 이들이 여전히 DDC 트랜지스터를 형성하는 데 적합하다.
도 14a 내지 도 14i는 DDC 도핑 프로파일을 갖는 채널을 갖는 디바이스를 제조하는 공정 흐름을 나타낸 것이다. 이들 도면은 새로운 DDC 트랜지스터 및 디바이스의 진보된 특징 및 동작을 제공하기 위해 NMOS 및 PMOS 트랜지스터 각각이 어떻게 DDC 및 차폐 영역으로 구성될 수 있는지를 보여주기 위해 2개의 디바이스의 제조의 일례를 나타낸 것이다. 2개의 트랜지스터 디바이스를 형성하는 이 샘플 공정을 설명하기 위해 각각의 단계에서의 구조는 점진적 방식으로 도시되어 있다. 다른 대안으로서, DDC 디바이스를 제조하기 위해 다른 공정 흐름이 사용될 수 있고, 이 특정의 공정 및 관련 단계들이 예시를 위해 도시되어 있다. 이 공정이 트랜지스터 구조를 생성하기 위해 형성, 증착 또는 다른 방식으로 제조되는 "영역"과 관련하여 기술되어 있지만, 또한 여러가지 형상, 크기, 깊이, 폭, 및 높이의 영역와, 여러가지 형태 또는 윤곽 또는 층을 포함하는 것으로 보아야 한다.
먼저, 도 14a를 참조하면, 구조(1400)는 기판, 예를 들어, P형 기판(1406)으로 시작한다. P형 기판 상에 NMOS 또는 PMOS 디바이스가 생성될 수 있다. 간단함을 위해 그리고 이들 및 기타 도면에서 가능한 실시예 및 일례를 기술하기 위해, DDC 디바이스의 공정 흐름의 이 일례는 특정의 구조를 분리시키기 위해 얕은 트렌치 분리 및 부분 트렌치 분리를 함께 갖는 NMOS 및 PMOS 디바이스의 일례에 대해 기술되어 있다. 그럼에도 불구하고, 다른 개시된 구조 또는 디바이스와 연관된 대응하는 흐름이 용이하게 이해될 것이다. 또한, 도시되어 있지는 않지만, 이들 공정이 기술 분야에 공지된 다양한 기법 - 구조들을 상이한 영역 및 서로의 상부에 형성되는 영역으로서 나란히 형성하는 데 사용하기 위한 마스킹 등 - 으로 수행될 수 있다.
선택적인 N-우물 주입부(1402) 및 P-우물 주입부(1404)는 p-기판(1406) 상에 형성된다. 이어서, 얕은 P-우물 주입부(1408)가 N-우물(1402) 상에 형성되고, 얕은 N-우물 주입부(1410)가 P-우물(1404) 상에 형성된다. 이들 상이한 영역은 먼저 패드 산화물을 P-기판(1406) 상에 형성하고 뒤이어서 포토레지스트를 사용한 N-우물(1402)의 제1 N-우물 주입부에 의해 형성될 수 있다. P-우물(1404)은 다른 포토레지스트와 함께 주입될 수 있다. 얕은 N-우물(1410)은 다른 포토레지스트와 함께 주입에 의해 형성될 수 있다. 얕은 P-우물(1408)은 이어서 다른 포토레지스트와 함께 주입될 수 있다. 이 공정에 뒤이어서 어닐링 공정이 올 수 있다.
계속하여 도 14b에서, 이 공정은 계속되어 NMOS RDF 차폐 영역(1412)이 얕은 P-우물(1408) 상에 형성된다. 이 실시예에 따르면, NMOS RDF 영역(1412)은 RDF를 감소시키고 개선된 문턱 전압 설정 및 신뢰성의 많은 이점을 제공하는 것은 물론 트랜지스터의 문턱 전압의 동적 조정을 가능하게 해주는 것에 대해 앞서 기술한 것과 같은 높은 도펀트 농도의 차폐 영역이다. 이 차폐 영역은 다른 포토레지스트를 사용하여 RDF 차폐 주입부로서 형성될 수 있다. PMOS RDF 차폐 영역(1414)은 얕은 N-우물(1410) 상에 형성된다. 이 영역은 다른 포토레지스트를 사용하여 PMOS RDF 차폐 주입부로서 형성될 수 있다.
그 다음에 도 14c를 참조하면, 초기 산화물 제거 이후에, NMOS 문턱 전압 조정 영역(1416)이 포토레지스트를 사용하여 차폐 영역(1412) 상에 형성되며, 여기서 이 문턱 전압 조정 영역을 증착하기 위해 에피택셜 성장법 또는 기타 유사한 기법이 사용될 수 있다. 이와 유사하게, PMOS 문턱 전압 조정 영역(1418)이 포토레지스트를 사용하여 PMOS RDF 차폐 영역(1414) 상에 형성된다. 비도핑된 영역 또는 저농도로 도핑된 영역(1420, 1422)이 이어서 각각의 문턱 전압 조정 영역 상에 증착되며, 이들은 NMOS VT 조정 영역(1416) 및 PMOS VT 조정 영역(1418) 상에 도핑된다. 에피택셜 성장 방법 또는 기타 유사한 기법이 이들 비도핑된 또는 저농도로 도핑된 영역을 증착하는 데 사용될 수 있다. 상기 단계들을 통해, DDC와 부합하는 채널이 형성된다. 2개의 에피택셜 영역이 이들 일례에서 각각의 트랜지스터에 대한 원하는 DDC 프로파일을 생성하는 데 사용되지만, 그 대신에 DDC 디바이스를 생성하기 위해 각각 상에 단일 에피택셜 영역도 역시 사용될 수 있다.
상기 공정 흐름은 2개의 트랜지스터 또는 다른 더 복잡한 회로를 제조하기 위해 후속 처리를 위한 채널을 생성함으로써 디바이스를 준비한다. 그렇지만, 이하의 공정 흐름은, 도 14d 및 도 14e에 나타낸 바와 같이, n-채널 및 p-채널 트랜지스터를 생성하는 나머지 단계들의 일례를 개시한다.
도 14d를 참조하면, 트랜지스터를 이웃 트랜지스터로부터 분리시킴으로써 STI 트랜지스터 경계(1424)를 형성하기 위해 얕은 트렌치 분리(STI) 공정이 이어서 적용된다. 여기서, 각각의 STI(STI 1424, 1426, 1428)의 깊이는 STI가 P-우물 내로 들어가도록 적절히 설정된다. 알 수 있는 바와 같이, STI 트렌치는 얕은 P-우물(1408) 및 얕은 N-우물(1410) 각각의 아래까지 연장되어 있다. 이것은 트랜지스터 사이의 개선된 분리를 가능하게 해준다.
그에 부가하여, 우물 탭(well tap)이 연결될 수 있는 영역을 생성하기 위해 부분 트렌치 분리(PTI)(1430, 1434)가 선택적으로 적용될 수 있다. PTI가 부분적으로 얕은 P-우물 내로 들어가도록 PTI(1430, 1434)의 깊이가 설정된다. 도 14e에 도시된 바와 같이, 산화물 영역(1438, 1442)과 같은 절연체가 이어서 채널이 형성될 영역에 증착된다. 여기서, 이산화실리콘이 절연체로서 사용될 수 있지만, 다른 유형의 절연체도 역시 사용될 수 있다. 동작 동안에 공급 게이트 전압을 가능하게 해주기 위해 게이트 전극(1436, 1440)이 이어서 각자의 게이트 절연체에 부착된다.
도 14f를 참조하면, 스페이서(1446)가 NMOS 및 PMOS 게이트 각각의 측면들 및 소스와 드레인 확장들(1448, 1450)을 형성하는 절연 영역들 상에 형성된다. 선택적으로, 레거시 모드 디바이스에 대해 NMOS 및 PMOS 할로 공정이 수행될 수 있고, 이에 대해서는 이하에서 기술한다. 또한, 트랜지스터의 바디에 대한 접점을 생성하기 위해, 바디 접점 영역(1444, 1464)이, 각각, p+형 도핑 및 n+형 도핑을 거친다. 소스와 드레인 영역들이 형성되면, NMOS 및 PMOS 트랜지스터가 이어서 생성되고, 필요한 전압을 소스 영역 및 드레인 영역에 공급하여 디바이스를 동작시키기 위해 접점이 제공될 수 있다. 이것은 도 14g에 도시되어 있고, 여기에서 소스와 드레인 영역들(1454/1456 및 1458/1460)이 각각 형성된다. 또한, 소스/드레인(1454/1456 및 1458/1460)의 경계를 규정하는 제2 스페이서(1452)가 도 14g에 도시되어 있다. 접점 및 금속이 이어서 포토레지스트를 사용하여 형성되어, 디바이스와의 전기적 접촉을 가능하게 해준다. 공정이 소스 및 드레인을 어디에 위치시키느냐에 따라, 전계가 크게 영향을 받을 수 있다.
DDC 디바이스를 제조하는 특정의 단계들이 앞서 기술되었지만, 디바이스의 성능을 추가로 향상시키기 위해 또는 상이한 응용 규격을 다른 방식으로 준수하기 위해 다른 선택적인 단계들이 포함될 수 있다. 예를 들어, 도 14g에 도시된 바와 같이, 소스/드레인 연장부로서 기술 분야에 공지된 기법이 누설 전류를 감소시키기 위해 적용될 수 있다. 당업자라면 많은 다른 영역 조합이 가능하다는 것과 영역 조합이 재배열되고 본 명세서의 개시 내용에 따른 다른 영역으로 대체될 수 있다는 것을 잘 알 것이다.
문턱 전압 조정 영역 및 차폐 영역 도핑 레벨이 채널 아래의 스페이서 가장자리들 사이의 영역으로 제한된다. 한 방법에서, 외측 스페이서(1452)에 대해 실리콘이 각자의 게이트(1436, 1440) 주변의 스페이서에 의해 정의되는 마스크 및 게이트 상의 하드마스크를 사용하여 에칭된다. 에칭되는 실리콘 깊이는 차폐 영역의 깊이보다 크다. 이 일례에서, 동일한 또는 상이한 단계에서 NMOS 및 PMOS 둘다에 대해 실리콘이 에칭된다. 실리콘이 에칭된 후에, 도 14h에 도시된 바와 같이, 실리콘(1466)이 게이트 유전체보다 약간 더 높은 레벨까지 에피택셜적으로 성장된다. 도 14i에 도시된 바와 같이, 소스/드레인 영역(1468, 1470, 1472, 1474)을 형성하기 위해, 에피택셜 성장된 실리콘의 도핑이 인-시츄에 또는 소스/드레인 주입 마스크를 사용하여 행해질 수 있다. 제1 게이트 유전체(1438) 및 제2 게이트 유전체(1437)가 계층화된다. 층(1435, 1436)은 적절한 N+ 또는 P+ 일함수로 설계된 금속 게이트 전극이다. 도 14i에서, 폴리실리콘이 게이트 유전체와 일체로 되어 있는 금속 게이트 전극으로 대체된다. 폴리를 금속 게이트로 대체하기 위해, 적절한 일함수를 갖는 2개의 개별 금속이 필요하다. 종래에 CMOS 처리에서 사용되는 N+/P+ 도핑된 폴리와 호환되는 NMOS 및 PMOS 장치의 VT를 조정하기 위해 ~4.2 및 ~5.2 eV의 일함수 금속이 필요하다. 게이트 주변의 스페이서(1452) 및 게이트 상의 하드마스크는 자기-정렬된 소스/드레인 영역을 만든다. 이 결과 보다 낮은 소스/드레인-바디 커패시턴스가 얻어진다. 다른 방법에서, 보상 소스/드레인 주입이 수행될 수 있다. 이 방법에서, 게이트 주변의 스페이서 및 게이트 상의 하드마스크는 게이트가 자기-정렬할 수 있게 해준다.
잘 알 것인 바와 같이, 다수의 전력 모드에서 회로를 효율적으로 동작시킬 수 있는 것이 바람직하다. 또한, 상이한 전력 모드 사이에서 빠르고 효율적으로 전환할 수 있는 것은 트랜지스터는 물론, 이러한 트랜지스터를 사용하여 제조된 칩 또한 이러한 칩을 구현하는 시스템의 전력 절감 능력 및 전체 성능을 상당히 개선시킬 수 있다. 동작 모드를 효율적으로 변경할 수 있는 것에 의해, 디바이스는 필요할 때 높은 성능을 전달할 수 있고 비활성인 동안 절전 모드에 들어감으로써 전력을 절감할 수 있다. 일 실시예에 따르면, 개별 서브회로 및 심지어 개별 디바이스의 모드가 동적으로 제어될 수 있다. 디바이스의 문턱 전압을 동적으로 변화시킬 수 있음으로써, 디바이스의 모드가 또한 동적으로 변화될 수 있다.
깊게 공핍된 채널 디바이스는 넓은 범위의 공칭 문턱 전압을 가질 수 있고, 넓은 범위의 동작 전압을 사용하여 동작될 수 있다. 일부 실시예가 1.0 볼트 내지 1.1 볼트인 현재 표준의 벌크 CMOS 동작 전압 내에서 구현될 수 있고, 또한, 예를 들어, 0.3 내지 0.7V와 같은 훨씬 더 낮은 동작 전압에서 동작할 수 있다. 이들은 저전력 동작을 위한 회로 구성을 제공한다. 게다가, DDC 디바이스는 그의 강한 바디 효과로 인해 종래의 디바이스보다 더 응답성이 좋을 수 있다. 이와 관련하여, 강한 바디 효과는 디바이스가 공통의 공유 우물을 통해 다른 디바이스로의 실질적으로 직접적인 연결을 통해 회로를 변경시킬 수 있게 해줄 수 있다. 한 일례에서, 공유 우물은 일군의 디바이스 아래에 있는 공통의 P-우물 또는 N-우물을 포함할 수 있다. 동작을 설명하면, 이들 디바이스는 디바이스의 각자의 바디 바이어스 전압 및/또는 동작 전압의 설정을 수정함으로써 모드를 변경할 수 있다. 이것은 단일 디바이스 또는 하나 이상의 디바이스 그룹을 훨씬 더 빠르게 스위칭하는 것 및 종래의 디바이스보다 적은 에너지를 사용하는 것을 가능하게 해준다. 따라서, 모드의 동적 변화가 빠르게 일어날 수 있고, 시스템은 전력 절감 및 전체 시스템 성능을 더 잘 관리할 수 있다.
또한, 일부 응용에서, DDC 기반 디바이스가 종래의 디바이스와 매끄럽게 동작할 수 있도록 기존의 환경에 대한 역호환성이 필요할 수 있다. 예를 들어, 1.1 볼트의 동작 전압에서 실행되는 새로운 DDC 기반 디바이스와 종래의 디바이스가 섞여 있을 수 있다. DDC 기반 디바이스와 종래의 디바이스를 인터페이스로 연결시키기 위해 레벨 시프팅을 수행할 필요가 있을 수 있다. DDC 기반 디바이스가 레거시 디바이스와 매끄럽게게 동작하는 것이 아주 바람직하다.
차폐 영역은 트랜지스터에서 응답성 좋은 다중 모드 전환을 위해 이용되는 높은 바디 효과를 제공한다. 차폐 영역을 갖는 트랜지스터의 응답은 바디 바이어스의 변화에 대해 넓은 범위 내에서 변할 수 있다. 보다 구체적으로는, 높은 도핑의 차폐 영역은 디바이스 온-전류 및 오프-전류가 다양한 바디 바이어스 하에서 보다 광범위하게 변할 수 있게 해줄 수 있고, 따라서 동적 모드 전환을 용이하게 해줄 수 있다. 이러한 이유는 DDC 디바이스가 종래의 디바이스보다 더 낮은 σVT, 즉 설정된 문턱 전압의 보다 낮은 변동으로 구성될 수 있기 때문이다. 따라서, 문턱 전압 VT는 상이한 값으로 설정될 수 있다. 또한, 문턱 전압을 변경하기 위해 디바이스 또는 디바이스 그룹이 바디 바이어스될 수 있고, 따라서 바디 바이어스 전압의 변화에 응답하여 VT 자체가 변화될 수 있다. 따라서, 보다 낮은 σVT는 보다 낮은 최소 동작 전압 VDD 및 보다 넓은 범위의 이용가능한 VT의 공칭 진성 값을 제공한다. 증가된 바디 효과는 그 보다 넓은 범위 내에서 VT의 동적 제어를 가능하게 해준다.
게다가, 또한 이러한 성능으로 인해 전력 소비가 증가할 수 있을지라도, 필요한 경우 성능을 최대화하도록 디바이스를 구성하는 것이 바람직하다. 대안의 실시예에서, 디바이스가 고성능의 능동 동작 조건에 있지 않을 때 디바이스를 상당히 저전력 모드(절전 모드)에 두는 것이 바람직할 수 있다. 회로에 DDC 트랜지스터를 이용할 때, 전체 시스템 응답 시간에 영향을 주지 않기 위해 적절히 빠른 스위칭 시간으로 모드 전환이 제공될 수 있다.
본 명세서에 예시되고 기술된 다양한 DDC 실시예 및 일례에 따라 구성된 트랜지스터 또는 트랜지스터 그룹에서 요망될 수 있는 몇가지 상이한 유형의 모드가 있다. 하나의 모드는 바디와 소스 전압 사이의 바이어스 VBS가 0인 저전력 모드이다. 이 모드에서, 디바이스는 비DDC 디바이스보다 더 낮은 동작 전압 VDD 및 더 낮은 능동/수동 전력으로 동작하지만, 임의의 종래의 디바이스와 동등한 성능을 가진다. 다른 모드는 디바이스의 바이어스 전압 VBS가 순방향 바이어스되어 있는 터보 모드이다. 이 모드에서, 디바이스는 낮은 VCC 및 고성능과 정합된 수동 전력으로 동작한다. 다른 모드는 바이어스 전압 VBS가 역방향 바이어스되어 있는 절전 모드이다. 이 모드에서, 디바이스는 낮은 VCC 및 실질적으로 낮은 수동 전력으로 동작한다. 레거시 모드에서, 비DDC MOSFET 디바이스가 레거시 디바이스와 실질적으로 동일하게 동작할 수 있게 해주기 위해 공정 흐름이 수정된다.
DDC 구조 디바이스는, 종래의 디바이스보다 많은 성능 이점을 제공하지만, 또한 차폐 영역에 의해 제공되는 강한 바디 효과의 결과로서 향상된 동적 모드 전환을 가능하게 해줄 수 있다. 바디 탭은 원하는 모드를 달성하기 위해 디바이스에 인가되는 원하는 바디 바이어스의 적용을 가능하게 해준다. 이것은, 앞서 논의된 바와 같이, 저농도로 도핑된 채널 및 차폐 영역을 갖는 DDC에 의해, 또는 다른 대안으로서 상이한 도펀트 농도를 가지는 다수의 영역 또는 층을 갖는 DDC에 의해 달성될 수 있다. 메모리 블록 또는 논리 블록과 같은 트랜지스터 그룹에 대해 다중 모드 전환이 사용될 때, 종래의 벌크 CMOS 기법을 사용하는 개별 트랜지스터 제어는 실행불가능할 수 있고, 그 결과 제어 회로에 대한 상당한 오버헤드가 있을 수 있다. 부가의 제어 회로가 구현될 필요가 있을 것이고, 상이한 디바이스 또는 상이한 디바이스 그룹 및 모두를 제어하는 광범위한 전용 배선은 집적 회로의 전체 비용을 상당히 증가시킬 것이다.
따라서, 동적 모드 전환을 위한 하나 이상의 트랜지스터 그룹을 생성하는 데 사용될 수 있는 서브회로 또는 유닛을 개발하는 것이 바람직하다. 게다가, 단독 또는 혼합 환경에서, 레거시 디바이스도 역시 동적 제어로부터 이득을 볼 수 있도록, 레거시 디바이스에 대한 바디 바이어스 제어 기법을 제공할 수 있는 해결책을 제공하는 것도 역시 바람직하다.
그에 부가하여, 차폐 영역을 갖는 트랜지스터의 비교적 높은 바디 효과는, 특정 실시 형태에서, 설계에 의해 정적으로든지 동적으로든지, 다양한 모드에서 동작하도록 디바이스를 제어하는 수단으로서 바디 바이어스를 사용하는 것이 적합하도록 해주는 반면, 종래의 벌크 CMOS 디바이스는 물리적 설계 변경을 필요로 할 수 있다.
고농도로 도핑된 차폐 영역 및 바디 바이어스 전압을 바디에 인가하는 메커니즘을 갖는 기본적인 다중 모드 디바이스가, 상이한 모드를 예시하는 대응하는 테이블과 함께, 도 2a로부터 복제되어 도 15에 도시되어 있다. 도 2a와 관련하여 논의한 바와 같이, 소스와 디바이스 바디 사이의 전계를 비롯한 디바이스의 전계를 제어하기 위해 우물 탭과 소스 사이에 바이어스 전압 VBS가 인가된다. 도 15는 n-채널 4-단자 MOSFET의 샘플 구조를 나타낸 것이다. 단자(106)는 드레인으로서 표시되어 있고, 단자(104)는 소스로 표시되어 있다. 동작 동안, 이들 2개의 단자 사이에 전류가 흐른다. 단자(102)는 게이트 전극이라고 하고, 드레인과 소스 사이의 전류 흐름을 제어하기 위해 전압이 종종 이 단자에 인가된다. 단자(126)는 트랜지스터의 바디- 이 일례에서, P-우물(114)임 - 에의 연결을 제공한다. 드레인에 인가되는 전압은 VDD라고 하는 플러스 공급 전압이고, 소스 단자에 인가되는 전압은 보다 낮은 공급 전압이다. 전계는 디바이스의 특성에 영향을 미친다. 본 명세서에 기술된 다양한 실시예에 따르면, 바이어스 전압 VBS 및 공급 전압 VDD를 적당히 선택함으로써 디바이스가 다수의 상이한 모드로 구성될 수 있다.
종래의 벌크 CMOS 디바이스에서, 동일한 소스-바디 전압을 유지하기 위해 기판이 종종 소스에 연결되어 있다. 따라서, 바디 바이어스는 통상적으로 기판 상의 모든 디바이스에 대해 동일하다. 이것은 DDC 디바이스가, 앞서 논의한 바와 같이, 보통의 동작 전압이 인가되고 0 바이어스 전압이 인가되며, 따라서 VBS = 0인 보통의 저전력/저누설 모드에서 사용되는 조건과 유사하다. 그렇지만, 본 명세서에 기술된 다양한 실시예에 따라 구성된 다중 모드 디바이스는 바디 탭 대신에 효과적인 모드 제어 수단을 제공할 수 있다. 이것은 특히 디바이스가, 앞서 기술한 바와 같이, 게이트로부터 좀 떨어져 강하게 도핑된 차폐 영역을 포함하는 경우이다. 낮은 바디 효과를 가지는 SOI(silicon-on-insulator) 기반 디바이스와 달리, DDC 기반 디바이스는 높은 바디 효과를 갖는 디바이스를 제조하기 위해 벌크 실리콘 상에 구성될 수 있다. 따라서, DDC 구성 디바이스는 다중 모드 동작을 가능하게 해주는 수단으로서 변하는 바디 바이어스를 이용할 수 있다. 도 15의 일례에 도시된 바와 같이, 다중 모드 트랜지스터는 P-우물 위쪽에 n-채널을 가질 수 있다. P+형 영역이 P-우물 상에 형성된다. 바디 탭(도시되어 있지 않지만 이하에서 논의함)은 P+ 영역에 결합되어, n-채널 디바이스의 바디인 P-우물에의 전도성 접점을 만든다. 바디 탭이 p+ 도핑되어 있기 때문에, 바디 탭에의 연결부는 디바이스의 P-우물(즉, 디바이스의 바디)에의 연결을 가능하게 해줄 것이다. 바디 바이어스 전압이 이어서 소스와 바디 탭 사이에 인가될 수 있고, 이 경우 바디 바이어스 전압은 n-채널 디바이스의 동작 모드를 효과적으로 제어할 수 있다. n-채널 디바이스에서와 같이, 동적 모드 전환 기법이 N-우물 위쪽의 p-채널 디바이스에 적용될 수 있으며, 이 때 n+ 영역은 바디 탭을 수용하기 위해 형성된다. 게다가, 본 명세서에 기술된 강한 바디 바이어스를 갖는 새로운 구조가 CMOS 디바이스에 적용될 수 있고, 이 경우 n-채널 및 p-채널 디바이스 둘다는 동일한 기판 또는 우물 상에 존재한다. 이러한 실시예의 일례가 이하에서 예시되고 기술된다.
소스와 바디 사이에 인가되는 바디 바이어스 전압은 CMOS 디바이스의 거동을 효과적으로 변경할 수 있다. 바디 탭을 갖는 상기한 디바이스의 경우, 게이트-소스 전압 및 드레인-소스 전압과 독립적으로 소스-바디 전압이 인가될 수 있다. 다중 모드 제어를 위한 제어 수단으로서 바디 바이어스를 사용하는 것의 이점들 중 하나는 디바이스가 종래의 디바이스인 것처럼 연결될 수 있다는 것이며, 예를 들어, 이 경우 게이트-소스 전압 및 드레인-소스 전압이 동일한 방식으로 구성된다. 이 경우에, 바디 바이어스에 응답하여 모드 선택이 행해질 수 있다. 따라서, 디바이스가 0 바이어스에서 정상적으로 동작될 수 있으며, 이는 종래의 디바이스와 동일하다. 보다 높은 성능 모드(터보 모드)가 요망될 때, 순방향 바이어스 전압이 우물 탭과 소스 사이에 인가될 수 있다 - 즉, VBS > 0임 -. 터보 모드에 대한 동작 전압은 정상 모드에 대한 동작 전압과 동일하거나 그보다 약간 더 높을 수 있다. 한편, 절전 모드가 요망될 때, 역방향 바이어스 전압이 우물 탭과 소스 사이에 인가될 수 있다 - 즉 VBS < 0임 -. 절전 모드에 대한 동작 전압은 정상 모드에 대한 동작 전압과 동일하거나 그보다 약간 더 낮을 수 있다.
0 바디 바이어스가 인가될 때 다중 모드 디바이스는 정상 저전력 모드에서 동작된다. 디바이스의 성능을 향상시키기 위해 바디 바이어스가 순방향 바이어스될 수 있다 - 도 15의 일례에 도시된 바와 같이, 바디와 소스 사이에 플러스 전압이 인가됨 -. 이 순방향 바이어스 모드는 높은 구동 전류의 형태의 향상된 성능을 위한 "터보 모드"라고 한다. 그렇지만, 누설 전류의 증가의 대가로 성능 향상이 얻어진다. 최대 절전 모드(deep sleep mode)에서, 바디는 역방향 바이어스되어 있으며, 이 경우 누설 전류를 감소시키기 위해, 도 15의 일례에 도시된 바와 같이, 마이너스 전압이 바디와 소스 사이에 인가되어 있다. 이 모드는 디바이스가 유휴 상태 또는 비활성 상태에 있을 때 요망된다.
도 16은 n-채널 DDC 디바이스의 일례와 종래의 n-채널 디바이스 사이의 문턱 전압 VT 대 바이어스 전압 VBS의 비교를 나타낸 것이다. 곡선(1610)은 DDC 디바이스를 나타낸 것인 반면, 곡선(1612)은 종래의 디바이스를 나타낸 것이다. 도 16은 DDC 디바이스의 문턱 전압이, 일부 구현예에서, 종래의 디바이스보다 바이어스 전압에 대해 훨씬 더 응답성이 좋다는 것을 나타내고 있다. DDC 디바이스는 또한 바디 바이어스에 응답하는 넓은 지연 범위를 제공할 수 있다. 종래의 디바이스의 경우, 디바이스마다의 문턱 전압의 변동으로 인해 도 17a에 도시된 바와 같이 지연 시간의 넓은 확산이 있게 된다. 대역(1702, 1704, 1706)은, 각각, -0.5V, 0.0V 및 +0.5V에서의 바이어스 전압 VBS에 대한 지연 변동을 나타내고, 여기서 지연 시간은 1로 정규화되어 있는 VDD=1.1V, VBS=0.0V, σVT =0.0V 및 온도 = 85 ℃에서의 종래의 디바이스에 대한 지연 시간에 대해 상대 스케일로 나타내어져 있다. 수평축은 3σVT 값에 대응한다. 종래의 디바이스에 대한 σVT는 통상적으로 약 15 mV이며 이로부터 3σVT =45mV로 된다. 도 17a에 나타낸 바와 같이, 3개의 대역(1702, 1704, 1706)은 실질적으로 중복되어 있으며, 이는 지연 시간에 따라 모드를 구분하는 것을 어렵게 만든다. 도 17b는 DDC 디바이스의 일례에 대한 개선된 지연 시간을 나타낸 것이다. 도 17b에서, 3개의 대역은 중복되어 있지도 않을 뿐만 아니라, 훨씬 더 작은 확산을 가진다. 3개의 상이한 바이어스 전압 -0.5V, 0.0V 및 +0.5V(역방향 바이어스, 0 바이어스 및 순방향 바이어스)에서, DDC 디바이스는 3개의 아주 구별되는 대역(1708, 1710, 1712)을 나타내고 있다. 구별되는 대역은 DDC 디바이스가, 일부 실시예에서, 다수의 동작 모드에서 사용하기에 아주 효과적이라는 것을 나타낸다.
감소된 σVT, 따라서 보다 정밀하게 제어될 수 있는 VT를 제공할 수 있는 트랜지스터의 한가지 다른 가능한 이점은 VT를 동적으로 제어할 수 있다는 것이다. 종래의 디바이스에서, σVT는 VT가 넓은 범위에 걸쳐 고려되어야만 하도록 크다. 본 명세서에 기술된 실시예에 따르면, VT는 바디 바이어스 전압을 조정함으로써 동적으로 변화될 수 있다. VT의 동적 조정은 증가된 바디 효과에 의해 제공되고, 동적 제어의 범위는 감소된 σVT에 의해 제공된다. 도 18을 참조하면, 디바이스에 대해 설정된 정적 VT인 VT0를 보여주고 또한 조정될 수 있는 디바이스의 다수의 VT를 보여주는 하나의 그래픽 일례가 나타내어져 있다. 각각은 대응하는 ΔVT, 또는 각각의 대응하는 VT 값에 대한 개별적인 σVT를 가진다. 본 명세서에 기술된 실시예에 따르면, 요구된 전압 범위 내에서 적당한 전압 조정 속도로 바디 바이어스 전압을 조정하는 동적으로 조정가능한 VT를 갖도록 디바이스가 구성될 수 있다. 특정의 실시예에서, 전압 조정은 소정의 계단으로 되어 있을 수 있거나, 연속적으로 변화될 수 있다.
다른 실시예에 따르면, 도 15가 다양한 모드 하에서 동작할 수 있는 샘플 다중 모드 디바이스를 나타내고 있는 반면, 이는 또한 트랜지스터 그룹에 대한 바디를 분리시키는 구조를 포함하는 디바이스에도 유용할 수 있다. 이것은 디바이스가 효과적으로 다양한 모드 하에서 독립적으로 동작할 수 있게 해준다. 다중 모드 트랜지스터 그룹의 바디가 연결되어 있는 경우, 전체 그룹이 동시에 전환될 것이며, 이는 모드 전환을 용이하게 해주는 능력을 제한한다. 한편, 2개의 다중 모드 트랜지스터 그룹의 바디가 연결되어 있지 않은 경우, 2개의 그룹이 개별적으로 제어될 수 있다. 따라서, 도 15에 도시된 기본적인 다중 모드 트랜지스터는 또한 각각의 그룹에 대한 개별적인 바디 바이어스를 갖는 다수의 블록으로 분할될 수 있는 트랜지스터 그룹을 제공할 수 있다. 이들이 이하에 기술된다.
따라서, 개선된 시스템이 도 14a 내지 도 14i에 예시되고 이상에서 논의된 트랜지스터 구조 등의 DDC 구조를 사용하여 구성될 수 있다. 그 구조에 대한 변형이 매력적인 성능 향상을 가지는 집적 회로 및 시스템 내에 구현될 수 있다. 구조가 어떻게 트랜지스터를 스케일링하도록 구성될 수 있는지에 대해 설명하였으며, 이제부터 이들 구조가 어떻게 광범위한 집적 회로 및 시스템을 스케일링하는 구성요소로서 사용될 수 있는지에 대해 설명할 것이다. 새롭고 개선된 시스템 성능을 위해, 예를 들어, 집적 회로 및 시스템에 포함된 DDC 구조, STI, PTI, 얕은 우물 및/또는 공유 우물을 이용하는 것이 구성될 수 있다. 게다가, 집적 회로 및 시스템에 새로운 특징 및 이점을 제공하기 위해, 바디 탭 및/또는 바디 액세스 트랜지스터를 이용하는 새로운 혁신이 DDC 구조와 별도로 이용될 수 있다. 따라서, 벌크 CMOS 및 다른 새로운 구조 및 공정에서의 이들 혁신이 크게 향상된 동작을 갖는 새로 스케일링된 집적 회로 칩을 구성하는 데 사용될 수 있다.
지금까지 기술된 트랜지스터 실시예가, 그 중에서도 특히, 벌크 CMOS 트랜지스터 및 기타 디바이스의 계속적인 전력 스케일링을 제공할 수 있지만, 칩 레벨에서 DDC 구조의 이점들 및 특징들 중 일부를 충분히 이용하고자 하는 자는 또한 본 명세서에서 논의된 트랜지스터 실시예에 따라 칩 상의 회로 블록의 레이아웃 및 라우팅을 적절히 수정함으로써 그렇게 할 수 있다. 예를 들어, 앞서 논의된 바와 같이, 문턱 전압을 조정하기 위해 트랜지스터의 바디 바이어스 전압을 동적으로 조정하는 개념이 공지되어 있지만, 일반적으로 나노스케일 디바이스에서 구현하기에 실용적인 것으로 판명되지 않았다. 이러한 이유는, 일부 구현예에서, (1) 종래의 벌크 CMOS 나노스케일 디바이스의 큰 σVT가 기존의 나노스케일 디바이스와 관련하여 트랜지스터들 간의 충분히 구분을 제공할 수 없고, (2) 종래의 벌크 CMOS 나노스케일 디바이스의 비교적 낮은 바디 계수가 칩 동작에 영향을 주는 것을 방지할 정도로 충분히 빠르게 동작 모드를 전환할 수 있는 능력을 제공할 수 없으며, (3) 바디 바이어스 라인을 각각의 트랜지스터 또는 회로 블록으로 라우팅하는 것이 트랜지스터의 수를 상당히 감소시킬 수 있고 따라서 칩 레벨에서의 스케일링을 방해하는 것을 포함한다. 일부 DDC 트랜지스터 실시예는 (1) 상당히 감소된 σVT를 제공하는 것 - 이는 동일한 트랜지스터가 상이한 문턱 전압에서 뿐만 아니라 상이한 동작 전압에서도 동작하도록 설계될 수 있게 해줌 - , 및/또는 (2) 상당히 증가된 바디 계수를 제공하는 것 - 이는 트랜지스터 및 회로 블록이 빠르고 효율적으로 동작 모드를 전환할수 있게 해줌 - 에 의해 처음 2가지 문제점을 해결할 수 있다. DDC 트랜지스터는, 일부 실시예에서, 카멜레온과 같은 FPT(field programmable transistor)로서 취급될 수 있고, 이 경우 일부 또는 전부가 동일한 공칭 구조 및 특성을 갖지만 종래의 벌크 CMOS에서 상이하게 제조되어야만 했던 트랜지스터로서 동작하도록 독립적으로 구성가능하다. 바디 바이어스 라인의 개선된 라우팅은 이하의 논의의 다른 요소 - 이는 또한 다중 모드 트랜지스터가 어떻게 사용될 수 있는지의 추가의 일례를 제공함 - 이다.
도 19는 트랜지스터 그룹에 대한 다중 모드 동작의 개념을 나타낸 간략화된 도면이며, 여기서 각각의 블록 또는 회로는 그에 공급되는 바디 바이어스 전압 및 동작 전압에 기초하여 상이한 모드에서 동작할 수 있다. 일부 구현예에서, 개개의 블록에 개별적인 바디 바이어스를 인가하는 것은 그의 문턱 전압을 동적으로 조정함으로써 시스템이 제어될 수 있게 해줄 수 있고, 공통으로 연결된 구성요소가 공통 모드에서 동작할 수 있게 해주고 개별적으로 연결된 구성요소 또는 시스템이 개별적으로 제어되는 모드에서 동작할 수 있게 해준다. 도 19에 나타낸 예시적인 시나리오에서, 디바이스(1900)는 개별적인 바디 바이어스 접점을 가지는 5개의 트랜지스터 그룹 또는 회로 블록(1910, 1920, 1930, 1940, 1950)으로 분할되어 있다. 본 명세서에 기술된 실시예에 따르면, 5개의 회로 블록의 바디는 서로 분리되어 있고, 그로써 상이한 바디 바이어스가 각각의 블록에 독립적으로 인가될 수 있다. 이 일례에서, 각각의 회로 블록은 그의 바디가 다른 그룹과 분리되어 있고, 바디는 각자의 바디 탭(1915, 1925, 1935, 1945, 1955)을 통해 연결되어 있다. 5개의 블록은 분리된 블록을 생성하기 위해 트랜지스터 그룹들 간의 분리를 용이하게 해줄 필요성을 나타내기 위한 것이다. 도 19는 또한 각각의 블록이, 각각, 개별적인 바디 바이어스 VB1, VB2, VB3, VB4 및 VB5에 연결되어 있는 것을 나타내고 있다. 당업자라면 잘 알 것인 바와 같이, 각각의 블록은 또한 다른 공급 전압 - 드레인에 대한 VDD, 소스에 대한 VSS, 게이트에 대한 VG 및 기타 신호 등 - 을 필요로 할 것이다. 그에 부가하여, 상이한 동작 전압 VDD가 각각의 회로 블록에 개별적으로 인가될 수 있다. 각각의 회로 블록의 모드는 설계에 의해(예컨대, 그의 동작 모드를 서로에 독립적으로 설정하기 위해 상이한 회로 블록을 상이한 바디 바이어스 전압 및 동작 전압에 연결함으로써) 정적으로 설정될 수 있고, 및/또는 그의 동작 모드를 설정하기 위해 동작 동안 각각의 회로 블록의 바디 바이어스 및/또는 동작 전압을 조정하는 제어 회로 및 알고리즘을 통해 동적으로 설정될 수 있다. 낮은 σVT 및 문턱 전압 VT를 비교적 넓은 범위의 값에 걸쳐 조정할 수 있는 것에 의해, 개개의 트랜지스터 또는 트랜지스터 그룹의 동작 모드가 개별적으로 제어될 수 있다.
이하의 일례에서, 다양한 트랜지스터가 기술될 것이다. 이들 트랜지스터는 트랜지스터 그룹을 분리된 바디를 갖는 블록으로 형성하기 위한 구성요소로서 사용되기 위한 것이다. 예를 들어, 다시 도 14g를 참조하면, 새로운 DDC 구조로 구성된 한 쌍의 CMOS 트랜지스터의 일 실시예가 도시되어 있고, 트랜지스터는 바디 탭을 가지며, 여기서 n-채널 디바이스 및 p-채널 디바이스는 동일한 기판 상에 있다. 이들 구조는 크게 개선된 성능의 회로 및 시스템 - 이하에 기술되는 실시예를 포함함 - 을 개발하는 데 사용될 수 있다. 다른 트랜지스터가 새로운 DDC 구조 트랜지스터와 함께 이용될 수 있고, 본 명세서에서의 실시예들 중 일부는 DDC 구성 트랜지스터 없이 구성될 수 있다.
도 20의 (a) 및 도 20의 (b)는 단일 P-우물(2060)이 P-기판(2080) 상에 있는 우물 구조를 갖는 n-채널 4-단자 트랜지스터 레이아웃의 일례를 나타낸 것이다. 4-단자 트랜지스터의 레이아웃(2000)은 소스/드레인 쌍(2020, 2030), 게이트(2040), 및 바디 탭(2050)을 나타내고 있다. 위치(2010)에서의 단면도가 또한 도시되어 있고, 여기서 얕은 트렌치 분리(STI)(2070) 깊이는 P-우물 깊이보다 작다. P-우물(2060)은 P-기판(2080) 상의 모든 n-채널 트랜지스터에 공통이다. 따라서, 4-단자 트랜지스터는 n-채널 트랜지스터들 간의 분리를 제공할 수 없다. 이 일례에서 도시된 바와 같이, 바디 탭은 P+ 도핑되어 있고 (도시된 바와 같이, 게이트 배향과 관련하여) 측방향으로 트랜지스터 옆에 위치해 있다. 그에 부가하여, 바디 탭은 STI(2070)에 의해 트랜지스터로부터 분리되어 있다.
도 21의 (a), 도 21의 (b) 및 도 21의 (c)는 새로운 얕은 P-우물(SPW)을 가지는 n-채널 4-단자 트랜지스터의 일례를 나타낸 것이며, 여기서 SPW 깊이는 STI 깊이보다 작다. 이 4-단자 n-채널 트랜지스터의 레이아웃(2100)은 소스/드레인 쌍(2020, 2030), 게이트(2040), 및 바디 탭(2050)을 나타내고 있다. 단면도(2180)는 위치(2110)를 나타내고 있고, 단면도(2190)는 위치(2112)를 나타내고 있다. 얕은 우물은 바디 분리를 가능하게 해줄 수 있고, 결과적으로, 특정 구현예에서, 메모리 셀 또는 기타 디지털 회로와 같은 디바이스 그룹에 대한 동적 모드 전환을 가능하게 해줄 수 있으며, 따라서 집적 회로 상에서 라우팅되어야만 하는 바디 바이어스 전압 라인의 수를 감소시킨다. 단면도(2180, 2190)에 도시된 바와 같이, 트랜지스터는 상보적인 N-우물(2164) 상에 얕은 P-우물(2160)을 가진다. p-n 접합부로 인해, N-우물(2164)은 얕은 P-우물(2160)에 전도성 연결(conductively connected)되어 있지 않고, N-우물은 P-기판(2080)에 전도성 연결되어 있지 않다. 따라서, 트랜지스터는 동일한 기판 상에 있는 N-우물(2164) 상에 얕은 P-우물(2160)을 갖는 다른 n-채널 트랜지스터로부터 분리될 수 있다. 활성 영역은 게이트 아래에 연장되어 있다. 게이트 아래의 연장된 활성 섹션에 대해 최소 활성 임계 치수(critical dimension, CD)가 사용된다. 연장된 활성 가장자리(active edge)는 실리사이드화로 인한 단락을 피하기 위해 스페이서 가장자리(spacer edge) 사이에 위치될 수 있다. 바디 접점이 게이트를 벗어나 연장된 활성 영역 상에 만들어질 수 있다. N+ 주입부 가장자리(implant edge)는 게이트 연장부[단부 캡(end cap)] 영역 아래에 있을 수 있다. 이 일례가 n-채널 4-단자 트랜지스터를 생성하는 한 방식을 나타내고 있지만, 이 레이아웃은 또한 p-채널 4-단자 트랜지스터를 생성하기 위해 적용될 수 있다. 도 21의 (a), 도 21의 (b) 및 도 21의 (c)에 도시된 바와 같이, 일부 구현예에서, STI는 SPW보다 더 깊을 수 있다. 일부 실시예에서, 2개의 인접한 트랜지스터가 공통의 SPW를 가지지 않는 경우, 이들은 서로 독립적으로 바이어스될 수 있다. 다른 대안으로서, 일군의 인접한 트랜지스터가 공통의 SPW를 공유할 수 있고, 동일한 바디 바이어스를 적용함으로써 동일한 모드에서 동작될 수 있다.
동적 다중 모드 트랜지스터의 또다른 실시예에서, 도 22의 (a) 및 도 22의 (b)에 도시된 바와 같이, 실제 트랜지스터와 바디 탭 사이에 바디 액세스 트랜지스터가 형성될 수 있다. 도 22의 (a) 및 도 22의 (b)는 n-채널 4-단자 트랜지스터 레이아웃(2200) 및 관련 단면도(2280)을 나타낸 것이며, 여기서 얕은 P-우물(SPW)(2160)은 STI(2070)에 의해 분리되어 있다. 바디 액세스 트랜지스터는 바디 탭을 트랜지스터로부터 분리시킬 수 있다. 게이트(2041)가 바디 액세스 트랜지스터에 대한 게이트로서 역할하고 바디 탭이 소스/드레인으로서 취급되는 트랜지스터인 것처럼 바디 액세스 트랜지스터가 생성될 수 있다. 이것은 공정을 간단화시킬 수 있고 바디 탭 연결부를 만드는 데 필요한 면적을 감소시킬 수 있다. 얕은 우물과 결합된 바디 액세스 트랜지스터의 사용은 미세한 입도로 동적 모드 전환을 가능하게 해주는 데 유용한 구성요소로 된다. 일군의 트랜지스터 또는 회로가 함께 전환되기 위해, 이들이 동일한 얕은 우물을 공유하도록 위치될 수 있다. 그에 부가하여, 바디 액세스 트랜지스터를 사용하여 바디에의 연결을 제공하고 바디 바이어스를 공급함으로써 하나 이상의 게이트 탭이 생성될 수 있다.
앞서 논의된 바와 같이, 부분 트렌치 분리(PTI)는 바디 탭을 트랜지스터로부터 분리시키는 다른 바람직한 방식이다. 도 23의 (a) 및 도 23의 (b)에 예시된 다른 실시예에 따르면, n-채널 4-단자 트랜지스터에 대한 예시적인 레이아웃(2300) 및 단면도(2380)는 얕은 P-우물(SPW) 및 부분 트렌치 분리(PTI)를 포함한다. 단면도(2380)는 위치(2310)에서의 단면에 대응한다. SPW 깊이는 STI 깊이보다 작을 수 있다. PTI 산화물은 n형 소스/드레인과 p형 벌크 탭 사이의 실리사이드 단락을 방지할 수 있다. PTI 깊이는 얕은 우물 깊이보다 작을 수 있고, 그로써 트랜지스터 내에서의 얕은 우물의 연속성이 유지된다. PTI 방식은, 일부 구현예에서, 실리사이드로 인해 바디 탭과 소스/드레인 사이에 있을 수 있는 단락에 대해 우수한 보호를 제공할 수 있다. 그렇지만, PTI는 디바이스의 제조 동안 하나 이상의 부가적인 공정 단계를 필요로 할 것이다. PTI 깊이는, 일부 실시예에서, 바람직하게는 P+ 벌크 탭과 N+ 소스/드레인을 분리시키고 그로서 N+/P+ 접합부 누설을 최소화하기 위해 소스/드레인 접합부보다 크다.
도 24의 (a), 도 24의 (b) 및 도 24의 (c)의 일례에 도시된 바와 같이 PTI를 갖는 4-단자 트랜지스터(2400)의 변형을 생성하기 위해, 소스/드레인에 대한 활성 영역 및 우물 탭에 대한 활성 영역의 비교적 평면인 위치가 상이하게 배열될 수 있다. 단면도(2480, 2490)는, 각각, 위치(2410, 2412)에 대응한다. 도시된 바와 같이, 얕은 P-우물은 STI에 의해 분리된다.
상기 일례가 바디 바이어스 전압을 인가하기 위한 바디 탭을 제공하는 4-단자 트랜지스터를 나타내고 있지만, 바디 바이어스를 위한 제4 단자가 필요하지 않을 수 있는 상황이 있다. 예를 들어, CMOS 트랜지스터가 얕은 P-우물 및 N-우물을 공통의 N-우물 상에 가지고 있을 때, N-우물 상에 얕은 N-우물을 가지는 p-채널 트랜지스터는 항상 공통의 N-우물을 가질 것이다. 이러한 구현예에서, 바디에 연결되는 별도의 제4 단자를 제공할 필요가 없을 수 있다. 결과적으로, 3-단자 트랜지스터의 몇가지 일례가 여기에 예시되어 있고, 바디-분리된 블록을 갖는 일군의 트랜지스터를 생성하기 위한 구성요소로서 사용될 것이다. 다른 시나리오에서, 트랜지스터는 상보적인 우물 상에 얕은 우물을 가질 수 있고, 이 경우 상기 트랜지스터는 바디 부유(body float) 상태로 동작하도록 되어 있다. 이러한 구현예에서, 제4 단자를 사용할 필요가 없을 수 있다.
3-단자 구조(2500)의 한 일례의 경우, 도 25의 (a), 도 25의 (b) 및 도 25의 (c)에 도시된 바와 같이, 국부적 상호접속부가 게이트와 바디를 연결시켜, 단자의 수를 4개에서 3개로 감소시킨다. 단면도(2580, 2590)는, 각각, 위치(2510, 2512)에 대응한다. 2580에서, 국부적 상호접속(Local Interconnection, LI) 접점(2551)은 바디 접점을 연장된 게이트에 연결시키는 데 사용된다. 이 일례에서, 게이트-바디 접점은 금속 접점을 사용하여 연장된 활성 영역 상에 만들어진다. SRAM 셀에서 사용되는 직사각형 접점은 또한 게이트를 바디에 연결시키는 데 사용될 수 있다.
또 다른 실시예에서, 3-단자 동적 다중 모드 트랜지스터는 폴리 아래에 있는 바디 접점을 사용하여 형성된다. 게이트 아래의 산화물이 GA(Gate to Active) 접점 마스크를 사용하여 제거된다. 게이트 유전체가 제거된 영역 상에, SPW와 동일한 극성을 가지는 PGC(Polysilicon Gate Contact) 주입부가 만들어질 수 있다. 도 26의 (a), 도 26의 (b) 및 도 26의 (c)의 구조(2600)에 도시된 바와 같이, PGC(2650)의 사용은 바디를 게이트에 연결시킨다. 단면도(2680, 2690)는 위치(2612, 2614)에 대응한다. 바디에 대한 자기 정렬된 게이트 접점을 만들 수 있는 것 및/또는 또한 자기 정렬된 GC(Gate Contact) 주입부를 만들 수 있는 것을 비롯한 이 레이아웃 방식의 몇가지 잠재적인 장점이 있을 수 있다. GC 주입부가 SPW(P+ 도핑)와 동일한 극성을 가질 수 있기 때문에, 일부 실시예에서, 활성 영역에 어떤 굴곡도 없을 수 있고, 이는 DFM(design- for-manufacturing)에 유리하다. 연결을 위해 PGC를 사용하는 것에 의해 바디에 대한 접촉 저항이 더 높아질 수 있다. 그렇지만, 정적 모드 제어의 경우, 일부 실시예에서, 접촉 저항이 중요하지 않다. 따라서, 정적 제어가 필요할 때, PGC가 사용될 수 있다.
다른 대안으로서, 도 27의 (a), 도 27의 (b) 및 도 27의 (c)에 도시된 바와 같이, 3-단자 단일 게이트 트랜지스터(2700)와 유사하게, 바디 접점이 게이트 연장부 아래에 연장되어 있는 활성 영역에 만들어질 수 있다. 단면도(2780, 2790)는 위치(2712, 2714)에 대응한다. 연장된 활성 섹션에 대해 최소 활성 임계 치수(CD)가 사용될 수 있다. 연장된 활성 가장자리는 게이트 아래의 활성 영역의 스페이서 가장자리 사이에 위치해 있을 수 있다. 게이트 아래의 산화물이 GA 접점 마스크를 사용하여 제거될 수 있다. 게이트가 제거된 영역 상에, SPW와 동일한 극성을 가지는 GC 주입부가 만들어질 수 있고, 바디는 이어서 바디를 게이트에 연결시키는 데 사용될 수 있다. 일부 구현예에서, 이 방식은, GC 주입부가 SPW(P+ 도핑)와 동일한 극성을 가지기 때문에, 바디에 대한 자기 정렬된 게이트 접점 또는 자기 정렬된 GC 주입부를 사용할 수 있는 것을 비롯한 유사한 장점을 제공할 수 있다.
게이트 및 우물 탭에 대한 접점이, 도 27의 (a), 도 27의 (b) 및 도 27의 (c)의 일례에 도시된 바와 같이, 폴리를 따라 상이한 위치에 있을 수 있는 반면, 이들은 도 28의 (a), 도 28의 (b) 및 도 28의 (c)의 구조(2800)에 도시된 바와 같이 동일한 위치에 배향될 수 있다. 단면도(2880, 2890)는, 각각, 위치(2812, 2814)에 대응한다.
다른 실시예에서, 레이아웃은 프로그램가능 4-단자/3-단자 트랜지스터를 가능하게 해줄 것이다. 도 29의 (a), 도 29의 (b) 및 도 29의 (c)의 구조(2900)에 도시된 바와 같이, 게이트 및 바디는 금속 영역(2950)을 사용하여 분리 또는 연결될 수 있고, 그 결과, 각각, 4-단자 또는 3-단자가 얻어진다. 단면도(2980, 2990)는, 각각, 위치(2912, 2914)에 대응한다. 결과적으로, 금속 영역 연결부는 프로그램가능 4-단자/3-단자 트랜지스터 레이아웃을 용이하게 해준다.
다양한 트랜지스터가 본 명세서에 기술되어 있고, 다양한 실시예 및 일례에 기술된 상이한 구조가 많은 경우에 종래의 시스템보다 개선된 성능을 갖는 유용한 시스템을 만들기 위해 상이한 조합 및 서브구조에서 사용될 수 있다. 이들 트랜지스터 구조는 또한 다수의 블록으로 분할되고 동적 모드 전환을 위한 개별적인 바디 바이어스 연결부를 갖는 트랜지스터 그룹을 생성하기 위한 구성요소로서 사용될 수 있다. 몇몇 일례에 대해 이하에서 기술한다.
본 명세서에 기술된 실시예들 중 일부에 따라 구성된 트랜지스터의 바람직한 장점들 중 하나는 동적 모드 전환 능력이다. 이것은 가변적인 동작 전압을 설정하거나 조정하기 위해 제어된 바디 바이어스 전압을 인가하는 것에 의해 가능하게 될 수 있다. 도 30은 4-단자 트랜지스터를 사용하여 동적 모드 전환을 할 수 있는 회로(3000)의 한 일례를 나타낸 것이며, 여기서 다양한 바이어스 전압 및 동작 전압이 나타내어져 있다. 회로 블록(a1 내지 a4)은, 각각, 표준, 저누설, 및 2개의 터보 모드에 대응한다. 각각의 회로 블록은 한 쌍의 4-단자 트랜지스터 - p-채널 4-단자 트랜지스터(3010) 및 n-채널 4-단자 트랜지스터(3020) - 를 사용하고, 여기서 4개의 단자는 S(소스), D(드레인), G(게이트) 및 B(바디)로서 표시되어 있다. 블록(a1)에서, 바디 탭을 갖는 4-단자 트랜지스터는 종래의 트랜지스터로서 사용된다. n-채널 디바이스(도시된 하부 트랜지스터)에 대한 바디는 소스 전압 VSS에 연결되어 있다. p-채널 디바이스(도시된 상부 트랜지스터)에 대한 바디는 동작 전압 VDD에 연결되어 있다. 블록(a2)에서, 디바이스가 능동적으로 사용되지 않을 때 낮은 누설을 달성하기 위해 디바이스는 역방향 바이어스되어 있다. n-채널 디바이스에 대한 바디를 VSS보다 낮은 n-채널에 대한 역방향 바이어스 전압 VBBN에 연결시키고 p-채널 디바이스에 대한 바디를 VDD보다 높은 p-채널에 대한 역방향 바이어스 전압 VBBP에 연결시킴으로써 역방향 바이어스가 달성될 수 있다. 보다 높은 성능이 요망되는 경우, 디바이스는, 블록(a3, a4)에 도시된 바와 같이, 순방향 바이어스 조건에 놓일 수 있다. a3(i)에서, p-채널 바디 및 n-채널은, 각각, 전용의 순방향 바이어스 전압 VFBP 및 VFBN에 연결되고, 여기서 VFBP는 VDD보다 낮고 VFBN은 VSS보다 높다. 다른 대안으로서, 순방향 바이어스 전압을 위해 필요한 부가의 전원을 없앰으로써 시스템 비용을 절감하기 위해 소스 및 드레인 전압이 순방향 바이어스를 위해 사용될 수 있다. a3(ii)에 도시된 바와 같이, p-채널의 바디는 VSS에 연결되어 있고, n-채널 디바이스의 바디는 VDD에 연결되어 있다. a4(i) 및 a4(ii)에서의 회로는, 높은 동작 전압 VDDH이 연결되어 있는 것을 제외하고는, a3(i) 및 a3(ii)의 회로의 유사하다.
도 31에 도시된 바와 같이, 또한 동적 전환 환경에서 4-단자 디바이스를 사용하는 것의 몇가지 다른 변형이 있다. 도 31에서, 회로 블록(a1)은 바디 부유를 만들기 위해 4-단자 디바이스의 바디가 연결되지 않은 채로 있는 시나리오를 나타낸 것이다. 2가지 버전의 부유 바디(3100)가 도 31에 예시되어 있으며, 여기서 서브-블록[a1(i)]는 VDD를 동작 전압으로서 사용하는 반면, 서브-블록[a1(ii)]는 VDDH를 동작 전압으로서 사용한다. 이것은 중간 성능을 전달할 것이다. 회로 블록(a2)에서, p-채널 및 n-채널 디바이스의 바디 및 드레인 모두는 터보 모드를 달성하기 위해 서로 연결되어 있다. 동일한 동적 모드 전환 특징이 본 명세서에 기술된 일 실시예에 따라 보다 많은 트랜지스터를 가지는 대규모의 회로로 확장될 수 있다.
도 32a는 간단화된 경우를 사용하는 동적 모드 전환의 구현예를 나타낸 것이다. 도 32a는 독립적인 바디 바이어스가 인가될 수 있도록 2개의 회로 블록(3220, 3230)이 분리된 바디를 가지는 회로(3200)를 나타내고 있다. 회로 블록(3220)에 대한 바디 바이어스는 바디 접점(3225)을 통해 인가될 수 있는 반면, 회로 블록(3230)에 대한 바디 바이어스는 바디 탭(3235)을 통해 인가될 수 있다. 도 30에 도시된 것과 유사한, 다른 전압들에 대한 전원 랙(power supply rack)은 도시되어 있지 않다. 그렇지만, 당업자라면 도 32의 시스템에 대한 전원 랙의 구현을 잘 알 것이다. 이러한 회로 블록에 대한 예시적인 단면(3250)이, 회로 블록(3220, 3230)에 대응하여, N-우물(3264) 상에 얕은 P-우물(3260, 3261)을 가지는 n-채널 디바이스를 나타낸 도 32b에 도시되어 있다. 2개의 회로 블록에 대한 개별적인 얕은 우물을 생성하기 위해 얕은 P-우물(3260, 3261)이 2개의 회로 블록 사이에서 STI(3263)에 의해 분리되어 있다. 2개의 얕은 P-우물(3260, 3261)은 p-n 접합 효과로 인해 P-기판(3266) 상에 위치하는 하부 N-우물(3264)에 의해 연결되지 않는다. 바디 액세스 트랜지스터는 탭을 생성하고 또한 SPW 우물을 공유하는 활성 트랜지스터로부터 탭을 분리시키는 데 사용된다. p형 접점 영역(3210)은 얕은 P-우물에의 연결을 제공하기 위해 바디 접점용으로 사용된다. 도 32b의 일례는 동적 모드 전환을 위한 분리된 다수의 회로 블록을 생성하기 위해 바디 탭과 함께 얕은 채널 STI(3262)를 사용하는 것을 나타낸 것이다. 이 일례가 n-채널 디바이스에 대해 예시되어 있지만, 이는 p-채널 디바이스에 용이하게 적용될 수 있다.
게다가, 이는 또한 구조(3310)에 p-채널 및 n-채널 디바이스를 함께 가지는 도 33a의 일례에 예시된 디바이스(3300)로 확장될 수 있다. 도 33b는 CMOS 디바이스가 2개의 얕은 P-우물(3260, 3261) - 바디 접점(3325, 3335)을 가짐 - 을 가지며 또한 얕은 N-우물(3360) - 바디 접점(3345)을 가짐 - 을 가지는 시나리오를 나타낸 것이다. 모두가 N-우물(3264) 상에 있다. 3개의 회로 블록이 도시되어 있고, 회로 블록(3320) 및 회로 블록(3330)은 n-채널 디바이스이고, 회로 블록(3340)은 p-채널 디바이스이다. 각각의 회로 블록은 동일한 N-우물(3264)을 공유할 수 있다. p-n 접합 효과로 인해, 회로 블록(3320, 3330)에 대한 얕은 P-우물은, 일부 구현예에서, 항상 p-채널 디바이스로부터 분리된다. 2개 이상의 p-채널 회로 블록이 있을 수 있다. 그렇지만, 얕은 N-우물이 항상 아래의 N-우물에 연결되어 있기 때문에, 각각의 p-채널 디바이스는 동일한 바디 바이어스를 가질 수 있다. 따라서, 일부 응용에서, p-채널 디바이스에 대한 얕은 N-우물(3360 등)은 다른 얕은 N-우물 디바이스와 공통의 N-우물을 공유할 수 없다. 이러한 응용에서, N-우물 디바이스는, 공통의 우물이 사용될 때, 분리된 얕은 우물로 분할될 수 없다. 따라서, 동적 전력 모드 전환 관점에서 볼 때 p-채널 디바이스에 대한 개별적인 회로 블록을 형성할 필요가 없을 수 있다. 일부 실시예에서, 단일 N-우물 시나리오에서 n-채널 디바이스만이 바디 바이어스 메커니즘을 통해 개별적으로 제어될 수 있다. 기본 트랜지스터가, 본 명세서에 기술된 바와 같이, 높은 바디 효과 트랜지스터로 구성될 때, 바디 바이어스의 사용은 동적 모드 전환을 용이하게 해주는 효과적인 방식이 될 수 있다. p-채널 디바이스의 경우, N-우물 내의 얕은 N-우물은 선택적이다.
이하의 도면은 본 명세서에서 논의된 실시예에 따른 집적 회로에 대한 구성 블록으로서 사용될 수 있는, 다수의 방법 및 구조를 사용하여 형성될 수 있는 다수의 회로 일례를 나타낸 것이다. 이 논의는 업계에서 현재 사용되는 일부 구성요소 공정 및 구조를 사용하는 일례부터 시작할 것이다. 나중에 기술되는 도면은 종래의 방식을 실질적으로 개선시키는 구성요소 구조 및 공정을 사용하는 일례를 예시할 것이다.
도 34a의 (ⅰ) 및 (ⅱ)는 동적 모드 전환의 구현예를 예시하기 위해 나중의 도면에서 사용될 상이한 공통으로 사용되는 회로 구성요소로 구성되어 있는 회로의 일례를 나타낸 것이다. 도 34a의 (ⅰ) 및 (ⅱ)에, NAND 게이트 NAND2(3402), 인버터 INV(3404)(인버터) 및 바디 탭 TAP(3406)를 갖는 결합된 회로(3410)가 도시되어 있다. 새롭고 향상된 특징을 갖는 더 나은 구조의 유용한 회로를 제공하기 위해 본 명세서에 개시된 다양한 실시예에 따라 이들 유용한 구조가 사용될 수 있다.
도 34b에서, 레이아웃(3420)은 탭(3427, 3429)을 각자의 우물 내에 생성하기 위해 더미 폴리(3428)를 사용하는 트랜지스터 그룹을 구현하는 종래의 방식을 나타낸 것이다. 바디 탭은 모든 디바이스에 공통인 우물 또는 기판에의 연결을 제공한다. 도 34b는 우물 내로 연장하는 바디 탭을 나타내고 있다. 레이아웃의 하부 부분은 N-우물 상에 얕은 P-우물을 가지는 n-채널에 구현되는 디바이스의 이 부분을 나타낸 것이다. 얕은 P-우물이 STI에 의해 인접한 디바이스로부터 분리되는데, 그 이유는 얕은 P-우물의 깊이가 STI 깊이보다 작기 때문이다. 레이아웃의 상부 부분은 P-우물 상에 얕은 N-우물을 가지는 p-채널에 구현되는 디바이스의 이 부분을 나타낸 것이다. 다시 말하지만, 얕은 N-우물은 STI에 의해 이웃하는 디바이스로부터 분리되어 있다. 2개의 개별적인 우물(P-우물 및 N-우물) 및 각자의 얕은 우물이 사용되기 때문에, 완전히 상보적인 디바이스는 n-채널 디바이스에 대해서는 물론 p-채널 디바이스에 대해 분리된 개별적인 동적 제어를 가능하게 해준다. NAND 게이트 NAND2(3422), 인버터 INV(3424) 및 TAP(3426)을 포함하는 도 34b에서, 디바이스의 상부 및 하부 부분은 그 각자의 바디 연결부(3427, 3429)를 가진다. 레이아웃의 하부 부분은 P-우물 상에 얕은 P-우물을 가지는 n-채널에 구현되는 디바이스의 이 부분을 나타낸 것이다. 레이아웃의 상부 부분은 N-우물 상에 얕은 N-우물을 가지는 p-채널에 구현되는 디바이스의 이 부분을 나타낸 것이다. NAND 게이트 NAND2(3422), 인버터 INV(3424), 및 바디 액세스 트랜지스터 TAP(3426)을 포함하는 도 34c는, 단일 바디 탭(3437, 3439)이 새로운 바디 액세스 트랜지스터(3438)에 기초하여 구현된다는 것을 제외하고는, 도 34b와 유사하다. 이들 새로운 바디 액세스 트랜지스터는 트랜지스터 바디에의 액세스를 가능하게 해주는 새로운 구성을 제공한다. 종래의 디바이스 설계와 달리, 이들 구조는 디바이스 및 회로에 의미있는 동작 기능을 제공한다.
도 34d는 NAND 게이트 NAND2(3422), 인버터 INV(3424), 및 바디 액세스 트랜지스터 TAP(3446)을 포함하는 회로 레이아웃(3440)의 일례를 나타낸 것이며, STI에 의해 분리된 2개의 바디 탭(3437 또는 3439)을 생성하여 각자의 우물에의 연결을 제공하기 위해 바디 액세스 트랜지스터(3450)를 사용한다. 도 34d에서, 바디 액세스 폴리는 바디에의 연결을 구현하기 위해 사용된다. 2개의 개별적인 바디 탭을 가지는 바디 액세스 트랜지스터는 STI에 의해 분리되고, STI의 좌측 및 우측은 좌측 및 우측에 연결된 개별적인 바디 바이어스를 가능하게 해주기 위해 분리된 얕은 우물을 가진다. 도 34e의 의 (ⅰ), (ⅱ) 및 (ⅲ)는 위치(3482, 3484)에 각각 대응하는 단면도(3490, 3495)를 나타낸 것이다. 단면도(3490)에서, n-채널 트랜지스터(예컨대, 3460)는 양측에서 STI(3464, 3465)에 의해 분리되어 있는 얕은 P-우물(3462) 상에 있다. 얕은 P-우물(3462)은 N-우물(3466) 상에 있고, N-우물은 P-기판(3468) 상에 있다. 바디 탭(3439)은 얕은 P-우물(3462)에 연결되어 있다. 디바이스(3440)의 상부 부분은 STI(3474) 및 STI(3475)에 의해 분리된 얕은 N-우물(3472) 상에 p-채널 트랜지스터(예컨대, 3470)를 포함한다. 얕은 N-우물(3472)은 동일한 P-기판(3468) 상에 있는 P-우물(3476) 상에 있다. 바디 탭(3437)은 얕은 N-우물(3472)에의 연결을 제공한다. 디바이스(3440)는 동적 모드 제어를 위한 개별적인 바디 탭(3439, 3437)을 갖는 분리된 얕은 우물(3462, 3472)을 가지는 완전히 상보적인 다수의 트랜지스터를 갖는 실시예의 일례를 나타낸 것이다.
도 34d가 DDC로 구성된 트랜지스터에 기초한 동적 모드 전환 구현예를 나타낸 것인 반면, 동적 모드 전환은 또한 레거시 디바이스 및 새로운 디바이스를 갖는 혼합된 환경에도 적용될 수 있다. 도 35a, 도 35b 및 도 35c는 NAND 게이트 NAND2(3502), INV(3504), 및 TAP(3506)으로 이루어진 동일한 회로에 대해 레거시 디바이스와 새로운 디바이스를 혼합하여 사용하는 구현예의 일례를 나타낸 것으로서, 얕은 우물을 분리시키기 위해 STI(3524, 3534)를 포함하고 있다. 다시 말하지만, N-우물 및 P-우물 둘다가 사용된다. 그렇지만, NAND2 및 TAP 둘다는 레거시 방식을 사용하여 구현되며, 여기서 얕은 우물은 동일한 도핑 유형의 우물 상에 있다. NAND2(3502) 및 TAP(3506)은 항상 N-우물 또는 P-우물 중 어느 하나 상에 공통의 우물을 가진다. 따라서, NAND2(3502) 및 TAP(3506)에 대한 얕은 우물은 STI에 의해 분리될 수 없다. 이 배열은 INV(3504)에 대한 얕은 우물만을 분리될 수 있는 채로 둘 수 있다. 설계에 따라, INV(3504) 바디는 부유해 있거나(즉, 각자의 얕은 우물에 연결하기 위해 제공된 바디 탭이 없거나 바디 탭이 연결되어 있지 않음) 바디 바이어스에 연결되어 있을 수 있다. 그렇지만, 2개의 개별적인 우물이 사용되기 때문에, 2개의 개별적인 바디 바이어스 전압이 P-우물 상의 n-채널 디바이스 및 N-우물 상의 p-채널 디바이스에 인가될 수 있다.
도 35a, 도 35b 및 도 35c도 역시, 각각, 위치(3510, 3512)에서의 단면도(3550, 3560)의 일례를 나타낸 것이다. 단면도(3550)는 얕은 P-우물(3522, 3521) 상의 n-채널 트랜지스터 및 탭(3516) 둘다를 나타내고 있다. 얕은 P-우물(3522, 3521) 둘다는 P-기판(3528) 상에 있는 P-우물(3526) 상에 있다. 바디 탭(3516)은 n-채널 트랜지스터에 대한 바디에의 연결을 제공한다. 하부 부분에서의 p-채널에 대한 얕은 N-우물(3532)은 분리되어 있고 부유된 채로 있다. 단면도(3560)는 얕은 N-우물(3533, 3535) 상의 p-채널 트랜지스터 및 탭(3514) 둘다를 나타내고 있다. 얕은 N-우물(3533, 3535) 둘다는 P-기판(3538) 상에 있는 N-우물(3536) 상에 있다. 바디 탭(3514)은 p-채널 트랜지스터에 대한 바디에의 연결을 제공한다. 상부 부분에서의 n-채널에 대한 얕은 P-우물(3523)은 분리되어 있고 부유된 채로 있다. 앞서 기술한 바와 같은 바디 액세스 트랜지스터를 사용하여 얕은 N-우물(3532)에서의 p-채널 디바이스 및 얕은 P-우물에서의 n-채널 디바이스에 대한 바디 탭이 추가될 수 있다.
도 36은 2개의 개별적인 우물이 사용되는 레거시 방식에 기초한 구현예의 일례를 나타낸 것이다. n-채널 트랜지스터는 STI(3623, 3624)에 의해 분리되어 있는 얕은 P-우물(3622) 상에 있다. 모든 n-채널 트랜지스터에 대한 얕은 P-우물(3622)이 P-우물(3626) 상에 있기 때문에, 얕은 P-우물(3632)이 STI(3624)와 STI(3625) 사이의 이웃 회로로부터 분리될 것인데, 그 이유는 P-우물이 다른 얕은 P-우물 상의 n-채널 트랜지스터들 간의 전도성을 제공하기 때문이다. P-우물(3626) 및 N-우물(3636) 둘다는 P-기판(3630) 상에 있는 깊은 N-우물(3628) 상에 있다. 바디 액세스 접점(3612, 3614)도 역시 도시되어 있다.
이전의 일례는 벌크 CMOS를 사용하는 다양한 동적 모드 전환 구현예를 나타낸 것이다. 그럼에도 불구하고, 새로운 바디 연결 설계는 또한 비CMOS 벌크 디바이스를 사용하는 반도체 디바이스에 적용될 수 있다. 예를 들어, 바디 탭이, 도 37a 내지 37c에 도시된 바와 같이, NAND2(3722), INV(3724), 및 TAP(3746)을 포함하는 부분 공핍형(PD) SOI 기술 상에 형성될 수 있다. 회로(3700)는 도 34d와 유사하며, 여기서 바디 액세스 트랜지스터는 개별적인 바디 탭(3712, 3714)을 생성하는 데 사용된다. 도 37a 내지 37c는 또한 위치(3716, 3718)를 따른 레이아웃에 대응하는 단면도(3740, 3760)를 나타내고 있다. 회로(3700)의 하부 부분은 STI(3743, 3745)에 의해 분리된 P-우물(3744) 상의 n-채널 디바이스와 연관되어 있다. 따라서, 이는 바디 바이어스가 각자의 회로 블록에 독립적으로 인가될 수 있도록 SOI 상에 다수의 분리된 P-우물을 형성하는 것을 가능하게 해준다. 회로(3700)의 상부 부분은 STI(3747, 3749)에 의해 분리된 N-우물(3764) 상의 p-채널 디바이스와 연관되어 있다. 따라서, 이는 바디 바이어스가 각자의 회로 블록에 독립적으로 인가될 수 있도록 SOI 상에 다수의 분리된 N-우물을 형성하는 것을 가능하게 해준다. P-우물(3744) 및 N-우물(3764) 둘다는 BOX(Buried Oxide)(3748) 상에 있다. 이 구성은, 본 명세서에 기술된 다양한 실시예에 따라, 일군의 트랜지스터 또는 관련된 스위칭가능 디바이스를 개별적으로 바이어스할 수 있는 것을 용이하게 해준다.
정적 랜덤 액세스 메모리가, 중앙 처리 장치(CPU), 마이크로프로세서/마이크로컨트롤러, 디지털 신호 처리기(DSP), FPGA(field programmable gate array), 및 기타 디바이스 등, 다양한 디지털 프로세서에서 또는 그와 관련하여 널리 사용되고 있다. 업계에서 널리 사용 중인 몇가지 디바이스 구조가 있다. 그들 중에서, 6T-SRAM(6-트랜지스터 SRAM) 셀이 가장 종종 사용되는데, 그 이유는 일반 CMOS 공정을 사용하여 구현될 수 있기 때문이다. 결과적으로, 이는 임의의 디지털 프로세서에 쉽게 내장될 수 있다. 앞서 논의한 새로운 구조를 이용하여, 보다 나은 성능 및 감소된 회로 면적을 갖는 개선된 SRAM이 구성될 수 있다. 새로운 바디 탭, 바디 액세스 트랜지스터, 및/또는 새로운 DDC 구조를 구현함으로써, 공지의 처리 장비 및 시설을 사용하여 상당히 개선된 SRAM이 제조될 수 있다. 또한, 이들 SRAM 회로 실시예들 중 일부는 새로운 DDC 구조의 트랜지스터, 또한 다른 유형의 트랜지스터를 새로운 DDC 구조의 트랜지스터와 함께 사용하여 제조될 수 있다. 그리고, 본 명세서의 실시예들 중 일부는 개선된 SRAM 성능 및 특징으로부터 여전히 이득을 보면서 DDC 구성의 트랜지스터 없이 구성될 수 있다.
일 실시예에서, 기본적인 6-T SRAM 셀은 1 비트의 데이터를 저장하고 2개의 통과 게이트(PG) 트랜지스터를 사용하여 비트 라인 및 반전된 비트 라인을 제어하는 2개의 풀업(PU) 트랜지스터 및 2개의 풀다운(PD) 트랜지스터를 포함한다. 이것의 일례는 도 38의 구조(3800)에 도시되어 있다. 통과 트랜지스터 스위칭은 워드 라인에 의해 제어될 수 있고, 그로써 낮은 동작 전력 소비는 물론 낮은 누설 전류를 갖는 SRAM의 설계를 가능하게 해준다. 도 38의 6T SRAM의 일례에서, PU 트랜지스터는 p-채널 4-단자 트랜지스터(3010)를 사용하여 구현되는 반면, 다른 것들은 n-채널 4-단자 트랜지스터(3020)를 사용하여 구현된다. 도 38은 또한 6T SRAM에 대한 다양한 신호 및 전원 - 워드 라인(WL), 비트 라인(BL), 비트 라인 부정(Bit Line Negation)(BLN), VSS 및 VDD를 포함함 - 을 나타내고 있다. 도 38은 또한 n-채널 트랜지스터에 대한 바디(얕은 P-우물, SPW) 및 p-채널 트랜지스터에 대한 바디(N-우물, NW)에의 연결이 제공될 수 있다는 것을 나타내고 있다.
전자 시스템에서, 메모리 액세스는 상당한 양의 전력을 소비할 수 있다. 당업계에서 메모리 액세스 동안은 물론 데이터 보유 동안에도 전력 소비를 낮추기 위한 시스템 및 구현을 개발하려는 노력이 있어 왔다. SRAM은 통상적으로 프로그램은 물론 데이터 저장을 위해 컴퓨터 시스템에서 사용된다. 프로그램 실행 또는 데이터 액세스 동안, 메모리의 일부가 능동적으로 액세스될 수 있는 반면, 다른 부분들은 유휴 상태에 있을 수 있다. SRAM의 동작 모드가 미세한 입도로 동적으로 전환될 수 있다면 유익할 것이다. 일 실시예에서, 셀의 바이어스가 개별적으로 제어될 수 있도록 각각의 셀의 바디가 구조적으로 분리되어 있을 수 있다. 실제로, 한 행의 셀이 그 행에 대한 소스 전압을 연결시킴으로써 함께 제어될 수 있다. 이상에서 기술한 VSS 기반 6T SRAM 모드 전환 제어와 바디 탭 및 바디 액세스 트랜지스터 기법에 부가하여, 이것은 다중 모드 지원 SRAM을 생성하는 다른 방식이다. 이 방식은, 예를 들어, 바디 액세스 트랜지스터 기술을 사용하여 셀들의 블록에 대한 얕은 우물 확산을 차단함으로써, SRAM에서 사용하도록 구현될 수 있다. 원하는 동작 모드를 결정하기 위해 원하는 바디 바이어스가 바디 탭을 통해 SRAM 셀들의 블록에 선택적으로 인가될 수 있다.
동적 다중 모드 SRAM 어레이를 생성하기 위해, 구성요소 구성 블록을 사용하는 실시예의 일례가 제공된다. 이들 블록은 다양한 4-단자, 3-단자, 및 프로그램가능 3/4-단자 트랜지스터를 포함한다. 이들 구성 블록은 다양한 바디 연결 구조와 함께 결합되어, 보다 효율적으로 동작하는 개선된 SRAM 회로를 구성할 수 있다. 예를 들어, 바디 액세스 트랜지스터는, 바디 탭을 소스/드레인 쌍 중 하나로서 취급하면서, STI 상의 폴리를 트랜지스터로 변환함으로써 형성될 수 있다. 바디 바이어스가 SRAM 어레이에 개별적으로 인가될 수 있도록 SRAM 어레이의 얕은 우물을 분리시키기 위해 바디 액세스 셀이 주변 영역에 추가될 수 있다. 6T SRAM 구현 및 관련 바디 액세스 트랜지스터의 일례가, 동적 다중 모드 SRAM 어레이를 생성하기 위해 SRAM 셀과 바디 액세스 셀을 연결시키는 공정과 함께, 이하에서 기술된다.
도 39는 도 38의 6T SRAM에 대한 레이아웃의 일례를 나타낸 것이다. 6T SRAM 셀은 6개의 트랜지스터를 포함하며, 여기서 PG는 통과 게이트 트랜지스터의 위치를 나타내고, PD는 풀다운 트랜지스터의 위치를 나타내며, PU는 풀업 트랜지스터의 위치를 나타낸다. PD 및 PG 트랜지스터는 n-채널 트랜지스터이고 N+ 주입 영역(3910)에 형성되고, PU 트랜지스터는 P+ 주입 영역(3920)에 형성된 p-채널 트랜지스터이다. n-채널 트랜지스터는 얕은 P-우물(3940) 상에 형성되는 반면, p-채널 트랜지스터는 N-우물(3950) 상에 형성된다. N-우물(3950)에서의 얕은 N-우물의 사용은 이 실시예의 구현에서 선택적이다. 신호 라인 및 전원 라인은 도 38 및 다른 도면들에도 도시되어 있다.
SRAM 셀 구조(3900)에 대한 한가지 바람직한 레이아웃의 단면이 도 40a의 (ⅰ), (ⅱ) 및 (ⅲ)에 도시되어 있다. 단면도(4010)는 라인(4015)에 대응하고, 여기서는 PG 트랜지스터 및 PD 트랜지스터가 위치해 있다. 부가의 PG 및 PD 트랜지스터가 SRAM 셀의 다른쪽 단부에 위치해 있고 유사한 단면도를 가진다. 단면도(4010)는 또한 트랜지스터가 N-우물(4040) 상에 얕은 P-우물(3940)을 가진다는 것을 나타내고 있다. N-우물은 P형 기판(4050) 상에 있다. 단면도(4020)는 라인(4025)에 대응하고, 여기서는 PU 트랜지스터가 위치해 있다. 이 단면은 PU 트랜지스터가 N-우물(4040) 상에 얕은 N-우물(3950)을 가진다는 것을 나타내고 있다. p-채널 트랜지스터에 대한 얕은 N-우물(3950)은 동일한 유형의 도펀트를 갖는 우물(N-우물) 상에 있다. 따라서, 얕은 N-우물 및 N-우물이 전도성 연결될 수 있다. N-우물에서의 얕은 N-우물은 선택적이다. 그렇지만, n-채널 디바이스의 경우, 얕은 P-우물(3940)은 그 아래의 N-우물(4040)로부터 분리될 수 있다. 도 39에 대응하는 6T SRAM 셀의 3D 뷰가 도 40b에 도시되어 있고, 여기서는 우물 구조 및 트랜지스터 유형이 표시되어 있다.
도 41a는 하나의 바람직한 우물 구조의 평면도의 일례를 나타낸 것이다(N-우물이 도시되어 있지 않은데, 그 이유는 전체 셀 영역에 걸쳐 연장되어 있기 때문임). 도 39의 6T SRAM 레이아웃의 경우, 얕은 P-우물은 y 방향으로 끝에서 끝까지 연장되어 있고, 여기서 x 및 y는 6T SRAM 셀의 상대 배향을 특징지우는 임의의 방향을 나타낸다. 도 41b는 2x2 어레이를 형성하기 위해 적층된 6T SRAM 셀을 나타낸 것이고, 여기서 y 방향에서 2개의 이웃 셀 중 하나는 셀의 미러 이미지를 형성하기 위해 y 방향으로 뒤집혀 있다. 도 41b에 도시된 바와 같이, 얕은 P-우물(3940)은 y 방향에서 셀마다 연속적으로 되어 있다. 따라서, 많은 수의 셀이 y 방향으로 연결되는 경우, 모든 셀은 동일한 얕은 P-우물을 공유할 것이다. 동적 모드 전환에 대한 입도를 부가하기 위해, 얕은 P-우물(3940)의 연속성을 중단시키는 구조를 사용할 필요가 있다. 탭 셀은 얕은 P-우물을 분리시키는 것은 물론 얕은 P-우물에의 연결을 제공하는 역할을 한다.
도 42는 본 명세서에 기술된 실시예와 관련하여 사용될 수 있는 탭 셀의 레이아웃 일례를 나타낸 것이다. 이 레이아웃은 이하에서 기술되는 SRAM 셀 레이아웃과 일치하도록 설계되어 있다. 탭 셀의 상부 부분 및 하부 부분은 분리된 얕은 P-우물을 가지며, 따라서 바디 바이어스를 위한 각자의 전원(VSPW0 및 VSPW1으로 도시됨)에 개별적으로 연결될 수 있다. 도 43a, 도 43b 및 도 43c는 파선으로 표시된 2개의 위치에서의 단면도의 일례를 나타낸 것이며, 여기서 도면은 회전되어 있다. 단면도(4210)는 위치(4215)에서의 단면에 대응한다. 좌측의 얕은 P-우물(3940)은 STI의 우측의 얕은 P-우물(3940)로부터 전도성 분리되어 있을 수 있다. 이 얕은 우물 분리는 상이한 바디 바이어스가 2개의 얕은 우물에 인가될 수 있게 해줄 수 있다. 얕은 P-우물에 대한 접점을 생성하기 위해, 바디 액세스 트랜지스터 소스/드레인 영역에 대해 p형 주입부가 사용된다. 이 p형 소스/드레인 영역이 얕은 P-우물과 동일한 도핑 유형을 가지기 때문에, p형 소스/드레인(즉, 바디 탭)으로부터 얕은 P-우물로의 전도가 행해진다. 단면도(4220)는 위치(4225)에 대응한다. 바디 탭 영역이 얕은 N-우물과 동일한 도핑 유형으로 도핑되어 있기 때문에, 바디 탭은 얕은 N-우물로의 연결을 생성한다. 단면(4210, 4220)의 우물 구조는, 각각, 단면(4010, 4020)의 우물 구조와 유사하다.
도 44는 도 42의 탭 셀의 평면도의 일례를 나타낸 것이다. 얕은 P-우물(3940)이 끝에서 끝까지 연장해 있는 SRAM 셀과 달리, 위쪽에 있는 탭 셀에 대한 얕은 P-우물(3940)은 분할선(4480)의 아래쪽에 있는 것으로부터 분리될 수 있다. 얕은 N-우물(3950)의 분리는, 이전과 마찬가지로, 관심사가 아닌데, 그 이유는 얕은 N-우물이 그 아래의 N-우물 영역에 전도성 연결되어 있고 N-우물이 전체 셀에 걸쳐 연장되어 있기 때문이다. 탭 셀은 또한 SPW 탭(4460)을 통한 얕은 P-우물(3940)에의 연결 및 SNW 탭(4470)을 통한 얕은 N-우물(3950)에의 연결을 제공한다. 도 45는 본 명세서에 기술된 실시예에 따라 동적 모드 제어 특징을 구현하는 2x2 SRAM 어레이(4500)를 형성하는 일례를 나타낸 것이다. SRAM 어레이는 2x2 SRAM 셀로 이루어져 있고, y 경계의 양측에 있는 2개의 탭 셀은 SPW 탭 및 분리를 형성한다. 다시 말하지만, x-y 방향은 어레이 배향을 나타내는 상대 방향이다. 도 45에 도시된 바와 같이, y 방향에서의 2개의 이웃하는 SRAM 셀은 연속적인 SPW를 가진다. y 방향에서의 2개의 이웃하는 셀을 넘어 SPW의 추가적인 연속성은 탭 셀(4200)에서의 STI에 의해 종단된다. 따라서, 바디 바이어스 VSPWn은 2x2 SRAM 어레이에 인가될 수 있는 반면, 바디 바이어스 VSPW(n-1)은 상부의 이웃하는 어레이에 인가될 수 있고(전체가 도시되어 있지 않음) 바디 바이어스 VSPW(n+1)은 하부의 이웃하는 어레이에 인가될 수 있다(전체가 도시되어 있지 않음). 도 46은 SPW 분리를 위해 탭 셀을 사용하는 4x4 SRAM 어레이(4600)의 일례를 나타낸 것이다. 도 45 및 도 46은 y 방향에서 SPW 연결을 가지는 SRAM 셀을 사용하는 것 및 SPW의 연속성을 중단시키기 위해 바디 액세스 셀(탭 셀이라고도 함)을 사용하는 것을 나타낸 것이다. 따라서, 원하는 크기를 갖는 동적 모드 전환 SRAM 어레이가 그에 따라 형성될 수 있다.
도 45 및 도 46이 SPW 연속성 및 분리의 일례에 중점을 두고 있지만, 앞서 기술한 바와 같이, 전체 어레이를 형성하는 데 많은 다른 신호 및 공급 전압이 필요하다. 이들 신호 및 공급 전압을 SRAM 어레이에 연결하는 것은 본 기술 분야의 당업자에 공지되어 있으며, 그 상세가 여기에 제시되지 않을 것이다. 도 46에 대응하는 완전 연결된 4x4 SRAM 어레이에서, 워드 라인(WL) 신호는 SRAM 어레이의 각각의 행에 연결될 수 있고, 비트 라인(BL) 신호는 SRAM 어레이의 각각의 열에 연결될 수 있다.
바디 제어 신호(VSPWn)는 워드 라인과 평행하게 지나갈 수 있다. SRAM 어레이의 동작 동안에, 선택된 워드 그룹 내의 임의의 워드가 선택되면 선택된 워드 그룹의 바디 바이어스가 플러스로 전환될 수 있다. 이것은 판독 및 기록 성능을 향상시키는 데 도움이 된다. 특정의 워드 그룹으로부터 판독하거나 그에 기록할 때, 서브어레이 내의 모든 다른 워드 그룹은 누설 감소를 위해 바디가 역방향 바이어스(또는 0 바이어스)되어 있을 수 있다.
모드 전환을 용이하게 해주기 위해 바디 탭/바디 액세스 셀을 사용하는 6T SRAM의 어떤 사용에서, 동적 전환을 위해 얕은 P-우물이 사용될 수 있는 반면, 정적 바이어스를 위해 p-채널 바디(N-우물)이 사용될 수 있다. 그룹 내의 선택된 임의의 워드는 선택된 워드 그룹 내의 모든 n-채널 트랜지스터의 얕은 P-우물 바디가 전환되게 할 수 있다. p-채널 및 n-채널에 대한 바이어스가 0으로 설정될 수 있고, 이어서 원하는 모드에 따라 순방향 또는 역방향 바이어스될 수 있다.
바디 액세스 셀-기반 동적 모드 전환 SRAM 어레이는, 앞서 기술한 바와 같이, 스케일링가능한 미세 입도의 제어에서 장점이 있다. 그렇지만, 이 방식은 SRAM 셀에 부가하여 바디 액세스 셀을 필요로 할 것이다. 부가의 바디 액세스 셀을 필요로 하지 않는 다른 방법 및 시스템이 있다. 이들 방식 중 하나는 행마다 VSS를 사용하는 반면, 바디 액세스 셀 기반 방식에서의 SRAM 어레이의 모든 셀은 공통의 VSS를 공유한다. VSS가 행마다 개별적으로 제어될 수 있는 경우, 행에 대한 원하는 바디 바이어스를 생성하기 위해 고유의 VSS가 각각의 행에 인가될 수 있다. 이 시나리오에서, 바디 전압은 제어가능하지 않을 수 있다. 그렇지만, 상이한 VBS 전압(바디와 소스 사이의 전압)을 발생시켜 동적 모드 전환을 달성하기 위해 VSS가 개별적으로 제어될 수 있다.
도 47은 행별 VSS 기반 다중 모드 전환에 대한 6T-SRAM 회로(4700)의 한 일례를 나타낸 것이다. 다시 말하지만, SRAM 셀은 2개의 풀업(PU) 트랜지스터, 2개의 풀다운(PD) 트랜지스터, 및 2개의 통과 게이트(PG) 트랜지스터로 이루어져 있다. 도 47에 예시된 일례와 도 38의 6T SRAM 셀 간의 차이점들 중 하나는 도 47에서 사용되는 통과 게이트(PG)가 n-채널 3-단자 듀얼 게이트 트랜지스터(4710)에서 사용된다는 것이다. 3-단자 듀얼 게이트 트랜지스터의 레이아웃 및 대응하는 단면도가 도 26 및 도 27에 도시되어 있다. 듀얼 게이트 트랜지스터는 게이트가 바디에 연결되어 있다 - 즉, PG 트랜지스터의 게이트(즉, WL)가 셀의 바디에 연결되어 있다 -. PU 및 PD 트랜지스터는 도 38의 일례에서와 동일한 유형이다. 도 48은 도 47의 SRAM 셀의 레이아웃(4800)의 일례를 나타낸 것으로서, 여기서 셀 경계(4860)가 도시되어 있다. 얕은 P-우물에서의 PG 및 PD 트랜지스터에 대해서는 n-채널 디바이스가 사용되는 반면, PU 트랜지스터에 대해서는 p-채널 디바이스가 사용된다. 이 SRAM 셀의 우물 구조는 도 39의 구조와 아주 유사하다. 따라서, 단면도가 도시되어 있다. SPW 및 SNW 둘다가 공통의 N-우물 상에 있고, N-우물이 셀 전체에 걸쳐 사용된다.
도 49a는 도 48의 SRAM 레이아웃의 SPW 및 SNW를 보여주는 구조(4900)를 나타낸 것이다. 이 레이아웃에 대해 VSS 접점(4910)은 명백히 도시되어 있다. 다수의 SRAM 셀을 연결할 때, 접점은 종종 금속 영역을 사용하여 연결된다. 도 49b는 도 48의 SRAM 셀을 사용하는 2x2 SRAM 어레이(4920)를 나타낸 것이며, 여기서 SPW(3940)는 도 45 또는 도 46의 SRAM 어레이와 같이 연속적으로 형성되지 않는다. 도 49b는 또한 VSS가 각각의 행에 대해 개별적으로 연결되어 있는 것을 나타내고 있다[VSS0(4921) 및 VSS1(4922)]. 도 49c는 행별 VSS 기법에 기초한 4x4 SRAM 어레이(4930)를 나타낸 것이며, 여기서 고유의 VSS[VSS0(4931), VSS1(4932), VSS2(4933), 및 VSS3(4934)]가 각각의 행에 대해 사용된다.
도 49c에 대응하는 4x4 SRAM 어레이의 전체 레이아웃에서, 바디 액세스 셀 기법에 기초한 동적 모드 전환 4x4 SRAM 어레이와 유사하게, 워드 라인(WL)은 행마다 연결될 수 있는 반면, 비트 라인(BL)은 열마다 연결된다. 각각의 행에 대한 워드 라인은 SPW(즉, 각자의 디바이스의 바디)에 연결될 수 있다. VSS는 또한 행마다 연결될 수 있다. 따라서, 개별적인 바디 바이어스가 행마다 달성될 수 있다. N-우물 바디 탭이 매 16개(또는 32개) 워드 라인마다 있을 수 있다.
6T SRAM(5000)에 대한 VSS-기반 모드 전환의 대안의 구현예가 도 50에 도시되어 있고, 여기서 3-단자 듀얼 게이트 트랜지스터의 에피-바디 접점은 PG 채널 상에 형성되고, 셀 경계(5060)가 도시되어 있다. 도 51a는 도 50의 SRAM 레이아웃의 SPW 및 SNW를 나타낸 것이다. 이 레이아웃에 대해 VSS 접점(4910)이 명백히 도시되어 있다. 도 51b는 도 50의 SRAM 셀을 사용하는 2x2 SRAM 어레이(5120)를 나타낸 것이며, 여기서 SPW(3940)는 도 45 또는 도 46의 SRAM 어레이와 같이 연속적으로 형성되지 않는다. 도 51b는 또한 구조(5100)에서 VSS가 각각의 행에 대해 개별적으로 연결되어 있는 것을 나타내고 있다[VSS0(4921) 및 VSS1(4922)]. 도 51c는 행별 VSS 기법에 기초한 4x4 SRAM 어레이(5130)를 나타낸 것이며, 여기서 고유의 VSS[VSS0(4931), VSS1(4932), VSS2(4933), 및 VSS3(4934)]가 각각의 행에 대해 사용된다. 이 셀의 특성 및 면적은 도 48의 일례에서와 동일하다.
셀에 대한 동작 모드는 VSS, n-채널 바이어스, 워드 라인(WL) 상태, 비트 라인(BL) 상태, VDD 및 p-채널 바디 바이어스를 비롯한 몇가지 조건에 따라 결정된다. VSS, n-채널 바이어스, 워드 라인(WL) 상태 및 비트 라인(BL) 상태는 동적 제어를 위해 사용될 수 있는 반면, VDD 및 p-채널 바디 바이어스는 정적 모드 제어를 위해 사용될 수 있다. SRAM 어레이의 경우, 전용의 VSS가 행마다 사용된다(VSS0, - VSS2, VSS3). 이와 유사하게, n-채널 바디 바이어스를 동적으로 제어하기 위해 얕은 P-우물에 연결된 WL은 또한 행마다 하나의 WL(WL0 내지 WL3)로 구성되어 있다. BL 및 VDD 라인은 수직 방향으로 셀을 연결시키는 데 사용된다. 도시된 바와 같이, BL 및 VDD 둘다는 열마다 하나의 BL 및 하나의 VDD를 제공하도록 구성되어 있다. 통상적인 SRAM은 판독/기록, NOP(No Operation), 및 최대 절전 모드를 포함할 수 있다. 이들 모드의 추가적인 상세에 대해 이하에서 논의한다.
대기 및 데이터 보존 모드(최대 절전 모드에 대응함)에서, VSS는 n-채널 디바이스의 바디를 역방향 바이어스시키고 유효 VDS를 감소시키기 위해 플러스로 바이어스될 수 있다. 이 구성은 대기 누설(standby leakage)을 저하시킨다. 예를 들어, VSS는 0.3V로 설정될 수 있고, VDD는 0.6V 이하로 설정될 수 있으며, 따라서 VDS ≤ 0.3V이다. PG 및 PD 트랜지스터 둘다는 이 조건 하에서 역방향 바이어스될 것이다. PU 트랜지스터 전류를 PD 오프 전류의 1000배로 유지하기 위해 p-채널 디바이스가 0 바이어스되거나 역방향 바이어스된다. NOP 모드에서, PG 및 PD n-채널 디바이스 둘다는 역방향 바이어스로 바이어스된 바디를 가지며, PU p-채널 디바이스 바디는 0 바이어스 또는 역방향 바이어스에서 바이어스된다. 일례로서, VDD는 1.0V로 설정되고, VSS 및 BL은 0.6V로 설정되며, 따라서 VDS ≤ 0.4V이고 낮은 대기 전류가 달성된다.
판독 모드에서, PG 및 PD n-채널 디바이스 둘다는 순방향 바이어스를 가질 수 있다. 동적 VSS 전환은 선택된 워드(또는 행)로 제한될 수 있다. PG 디바이스의 경우, VGS = VBS ≤ 0.6V이고 VDS ≤ 0.6V이다. PD 디바이스의 경우, VGS = 1.0V이고 VBS ≤ 0.6V이다. 보다 큰 PD VDS로 인해 바람직한 PD/PG 베타율(beta ratio)이 달성될 수 있다. PG 디바이스 폭은 PD 디바이스 폭과 동일할 수 있다. 이것은 바람직한 판독 정적 잡음 여유 및 낮은 판독 셀 전류를 달성할 수 있다.
기록 모드에서, PG 및 PD n-채널 디바이스 둘다는 순방향 바이어스를 가질 수 있다. 동적 VSS 전환은 선택된 워드(또는 행)로 제한될 수 있다. PG 디바이스의 경우, VGS=VBS ≤ 0.6V이다. 얕은 P-우물에서의 n-채널 PG 트랜지스터와 PD 트랜지스터 및 p-채널 PU 트랜지스터가 상기 예에서 사용되고 있지만, 얕은 N-우물에서의 p-채널 PG 트랜지스터와 PD 트랜지스터 및 n-채널 PU 트랜지스터도 역시 동일한 설계 목표를 달성하는 데 사용될 수 있다.
행별 VSS 기법이 얕은 우물 분리를 위해 바디 액세스 셀을 필요로 하지 않는 반면, 각각의 SRAM 셀이 바디 액세스 셀 기반 기법에 대한 SRAM 셀보다 크다. 셀을 이웃 셀로부터 분리시켜 행마다 VSS 기반 바디 바이어스 제어를 용이하게 해주기 위해, 비활성 영역이 셀 주변에 추가될 수 있다. 결과적으로, 셀 높이가, 이 일례에서, 130㎚만큼 증가될 수 있다. 이것은 약 38%의 셀 면적 증가에 대응한다. 모든 트랜지스터는 동일한 방향으로으로 배향되어 있다. 설계 일례로서, 트랜지스터의 치수는 다음과 같다:
통과 게이트(PG): W/L=70㎚/40㎚
풀다운(PD): W/L=85㎚/35㎚
풀업(PU): W/L=65㎚/35㎚
이 예로부터 45㎚ 공정 노드에서 x*y=0.72 μm *0.475 μm =0.342 μm2의 면적이 얻어진다.
도 52는 필요에 따라, 상호연결부(5210)를 사용하여 상호연결된 다수의 기능 유닛을 포함하는 시스템(5200)을 나타낸 것이다. 예를 들어, 어떤 경우에, 상호연결부(5210)는 모든 기능 유닛들(5204-1, 5204-2, 5204-3, ... 5204-n) 간의 통신을 위한 공통의 경로를 제공한다. 다른 경우에, 상호연결부는 한 세트의 기능 유닛들 간의 포인트-투-포인트 통신을 제공하면서 다른 세트의 기능 유닛들 간의 공통 통신 경로를 제공한다. 상호연결부(5210)는 따라서 대상 시스템에서 이용가능한 기능 유닛을 사용하여 통신하는 종래의 기법 - 예를 들어, 유선, 무선, 브로드캐스트 및 포인트-투-포인트를 포함함 - 을 사용하여 시스템 설계자의 목표를 만족시키기에 적절한 임의의 방식으로 구성될 수 있다. 0n의 "n"은 시스템 설계자가 필요하다고 생각하는 만큼의 많은 기능 유닛이 있을 수 있다는 것을 나타내기 위한 것이며, 최대 9개의 기능 유닛이 있다는 것을 의미하지 않는다.
일부 실시예에 따르면, 시스템(5200)은 다수의 독립적으로 패키징된 구성요소 및/또는 서브어셈블리를 갖는 전자 시스템이다. 현재, 이러한 시스템의 일례로는 본 명세서에 개시된 기술에 의해 제공되는 향상된 전력 소비 제어로부터 이득을 볼 수 있는, 개인용 컴퓨터, 휴대폰, 디지털 음악 플레이어, 전자책 리더, 게임 콘솔, 휴대용 게임 시스템, 케이블 셋톱 박스, 텔레비전, 스테레오 장비, 및 임의의 다른 유사 전자 제품 시스템이 있다. 이러한 시스템에서, 기능 유닛(5201, 5201, 5203, 5204-1, ..., 5204-n)은 이러한 시스템에 대한 통상적인 시스템 구성요소이고, 상호연결부(5210)는 통상적으로 인쇄 배선 기판 또는 백플레인(도시 생략)을 사용하여 제공된다. 예를 들어, 개인용 컴퓨터의 경우에, 기능 구성요소는 CPU, 시스템 메모리, 및 대용량 저장 장치(하드 디스크 드라이브 또는 고상 디스크 드라이브 등) - 이들 모두는 필요에 따라 마더보드 상에 구현된 시스템 상호연결부에 의해 상호연결될 것임 - 를 포함할 것이다. 이와 유사하게, 휴대폰은, 예를 들어, 각종의 하나 이상의 칩 및 디스플레이 패널 - 이들 모두는 통상적으로 연성 커넥터를 포함할 수 있는 하나 이상의 인쇄 배선 기판(PWB)을 사용하여 상호연결될 것임 - 을 포함할 것이다.
다른 실시예에 따르면, 시스템(5210)은 각각의 기능 유닛이 집적 회로 - 이들 모두는 단일 멀티칩 패키지에 함께 패키징되어 있음 - 인 SIP(system-in-package)이다. SIP 시스템에서, 상호연결부(5210)는 직접적인 칩간 상호연결 - 예를 들어, 와이어 본드, 납 본드(lead bond), 솔더 볼, 또는 금 스터드 범프(gold stud bump) 등 - 에 의해서는 물론, 패키지 기판에 의해 제공되는 상호연결 - 예를 들어, 공통의 버스 유형 상호연결부, 포인트-투-포인트 상호연결부, 전압 평면 및 접지 평면을 포함할 수 있음 - 에 의해서도 제공될 수 있다.
또 다른 실시예에 따르면, 시스템(5200)은 SOC(system-on-chip)와 같은 단일 칩이고, 기능 유닛은 공통의 반도체 기판 또는 절연체상 반도체(semiconductor-on-insulator) 기판(예컨대, 벌크 CMOS 및 SOI 구조가 SOI 기판 상에 구현될 때) 상에 트랜지스터 그룹(예컨대, 회로 블록 또는 셀)으로서 구현된다. 이러한 실시예에서, 상호연결부(5210)는 집적 회로 내의 회로 블록을 상호연결시키는 데 이용가능한 임의의 기법을 사용하여 제공될 수 있다.
앞서 논의된 바와 같이, 논의된 트랜지스터 및 집적 회로 기술은, 공통의 반도체 기판 상에서, 설계에 의해 정적으로 및/또는 바디 바이어스 및/또는 동작 전압을 조정함으로써 동적으로, 독립적으로 지정될 수 있는 다중 모드 트랜지스터의 제조 및 사용을 가능하게 해준다. 기능 유닛들 중 하나만이 기술을 구현하는 경우라도, 이들 동일한 기술이 또한 시스템 레벨에서 유사한 이점을 제공할 수 있다. 예를 들어, 기능 유닛(5202)은 전력 소비를 감소시키기 위해 그의 DDC 트랜지스터의 동작 모드(들)를 동적으로 조정하는 논리(도시 생략)를 포함할 수 있다. 이것은, 예를 들어, 기능 유닛(5202) 상에 구현되는 디지털 또는 아날로그 기법을 통해 행해질 수 있다. 다른 대안으로서, 기능 유닛(5202)은 다른 기능 유닛 - 예를 들어, 기능 유닛(5201) - 으로부터의 외부 제어 신호에 응답하여 전력 소비를 제어할 수 있다. 각각의 기능 유닛에서의 전력 소비가 기능 유닛에 의해 로컬적으로 제어되든, 제어기 기능 유닛에 의해 중앙집중식으로 제어되든, 또는 하이브리드 방식에 의해 제어되든 간에, 통상적으로 전력 소비에 대한 더 많은 제어가 달성될 수 있다.
전력 소비의 시스템 레벨 제어는, 특히 컴퓨팅 시스템에서 알려져 있는 무언가이다. 예를 들어, ACPI(Advanced Configuration and Power Interface) 규격은 운영 체제에 의한 시스템 구성요소의 전력 관리에 대한 공개 표준이다. 앞서 기술한 깊게 공핍된 채널, 트랜지스터 및 집적 회로 기술은 시스템 내의 각각의 기능 유닛에서 개별적인 회로 블록의 시스템 제어를 가능하게 해줌으로써 이러한 전력 관리 방식의 능력을 보완하고 확장한다. 예를 들어, ACPI에 의해 제공되는 제어의 최하위 레벨은 디바이스 레벨로서, 이는 개인용 컴퓨터와 같은 다중-구성요소 시스템의 기능 유닛(예컨대, 칩 또는 하드 드라이브)에 대응한다. 디바이스 내의 개별적인 회로 블록의 전력 소비에 대한 세분화된 개별적인 제어를 제공함으로서, 보다 많은 디바이스 및 시스템 전력 상태가 가능하다.
시스템 레벨 전력 관리는 DDC 구조를 사용하는 SOC 시스템에서 특히 유익하다. 앞서 논의된 바와 같이, DDC 구조는 나노스케일 트랜지스터에서 높은 레벨의 프로그램성(programmability)을 가능하게 해준다. DDC 구조의 비교적 넓은 범위의 이용가능한 공칭 문턱 전압 VT, 비교적 낮은 σVT, 및 비교적 높은 바디 계수로 인해, 모두가 동일한 진성 VT를 갖고 동일한 동작 전압 VDD으로 동작되도록 제조되어 있는 트랜지스터는, 전원을 켠 후에, 회로 블록마다 상이한 실제 VT 및, 어쩌면, 상이한 실제 동작 전압 VDD를 사용하여 잠재적으로 상이한 동작 모드에서 동작하도록 구성될 수 있다. 이러한 종류의 유연성은 동일한 칩이 각종의 대상 시스템 및 동작 조건에서 사용되도록 설계될 수 있게 해주고 즉석에서 조작하도록 동적으로 구성될 수 있게 해준다. 이것은 때때로 AC 전원에 연결되고 다른 때에 배터리 전원을 사용하는 시스템 - SOC이든 그렇지 않든 상관없음 - 에 특히 유용할 수 있다.
도 53은 필요에 따라, 상호연결부(5310)를 사용하여 상호연결된 다수의 시스템(5301, 5302, 5303)을 포함하는 네트워크(5300)를 나타낸 것이다. 예를 들어, 어떤 경우에, 상호연결부(5310)는 모든 시스템(5304-1 ... 5304-n) 간의 통신을 위한 공통의 경로를 제공한다. 다른 경우에, 상호연결부는 한 세트의 시스템들 간의 포인트-투-포인트 통신을 제공하면서 다른 세트의 시스템들 간의 공통 통신 경로를 제공한다. 상호연결부(5310)는 따라서 대상 네트워크에 연결될 수 있는 시스템을 사용하여 통신하는 종래의 기법 - 예를 들어, 유선, 무선, 브로드캐스트, 포인트-투-포인트 및 피어-투-피어를 포함함 - 을 사용하여 네트워크 설계자의 목표를 만족시키기에 적절한 임의의 방식으로 구성될 수 있다. 5304-n의 "n"은 네트워크가 허용할 수 있는 만큼의 많은 시스템이 있을 수 있다는 것을 나타내기 위한 것이며, 최대 9개의 시스템이 있다는 것을 의미하지 않는다.
이상에서 기술한 깊게 공핍된 채널, 트랜지스터, 집적 회로 및 시스템 기술은 네트워크에 연결된 시스템의 아주 세분화된 제어를 가능하게 해준다. 네트워크로 연결된 시스템에 대한 이러한 높은 레벨의 제어를 가지는 것은 켜져 있지만 사용되고 있지 않은 장비에 의해 야기되는 에너지 비용을 감소시키기 위해 엔터프라이즈 네트워크에서 특히 유용할 수 있다. 이러한 제어는 또한, 전력 소비를 제어하는 데, 가입 조건에 따라 시스템 기능을 켜거나 끄는 데, 성능을 높이기 위해 특정의 기능 유닛 또는 그의 일부분을 선택적으로 더 높은 성능의 동작 모드(예컨대, "터보 모드")로 하는 데 도움을 주는지에 상관없이, 예를 들어, 휴대 전화 네트워크를 비롯한 가입-기반 무선 네트워크에 대한 것일 수 있다.
도 54는 도 52와 관련하여 기술한 것과 같은 시스템을, 단독으로 또는 도 53과 관련하여 기술한 것과 같은 네트워크와 함께, 사용하는 예시적인 방법을 나타낸 것이다. 단계(5410)에서 시스템이 켜진 후에, 시스템은, 네트워크를 통해 제공된 외부 신호, 시스템 내의 기능 유닛에 의해 제공된 중앙 모드 제어 신호, 또는 다중-모드 동작을 할 수 있는 각각의 기능 유닛에서 개별적으로 발생된 로컬 모드 제어 신호에 응답하여, 본 명세서에서 논의된 유형의 트랜지스터, 트랜지스터 그룹, 및/또는 집적 회로를 사용하여 제조되는 시스템 구성요소(예컨대, 기능 유닛)의 전력 모드를 설정한다. 앞서 기술한 바와 같이, 단일 구성요소가 상이한 모드에서 동작하도록 구성된 상이한 부분을 가질 수 있다 - 예를 들어, 구성요소의 한 부분은 레거시 모드로 동작하도록 구성될 수 있는 반면, 동일한 구성요소의 다른 부분은 저전력, 저누설 모드에서 동작하도록 구성될 수 있다 -. 단계(5430)에서, 시스템은 그의 전력 모드를 변경할지 여부를 결정하기 위해 그의 사용을 모니터링한다. 모니터링 기능이 하나의 기능 유닛에 의해 중앙 집중식으로 수행될 수 있거나, 각각이 특정의 조건을 모니터링한 것에 기초하여 모드에 관한 로컬 결정을 할 수 있는 다수의 기능 유닛에 분산되어 있을 수 있거나, 둘다일 수 있다(예컨대, 중앙 모니터가 전체 시스템을 최대 절전으로 두기로 결정하지 않은 것에 상관없이, 하나의 기능 유닛이 그 자신의 기준에 기초하여 절전 모드에 들어가야 하는지를 결정할 수 있고, 이와 유사하게, 하나의 구성요소가 초기 모드 설정 이후에 성능을 향상시키기 위해 그 자신을 터보 모드에 두기로 결정했음에도 불구하고, 중앙 모니터는 전체 시스템을 최대 절전 모드에 두기로 결정할 수 있다). 시스템 또는 기능 유닛의 상태가 변경됨으로써 새로운 전력 모드가 필요하다고 판정될 때 - 이 경우에, 단계(5440)가 수행됨 - 까지, 단계(5430)가 반복된다. 도시된 바와 같이, 단계(5440)에서 시스템 전원 꺼짐이 필요한 것으로 판정되는 경우, 단계(5450)에서 시스템이 종료된다. 그렇지 않은 경우, 어떤 상태 변화가 필요한지에 따라, 하나 이상의 기능 유닛에 대해 단계(5420)가 반복된다. 이러한 방식으로, 본 명세서에 기재된 기술을 사용하여 제조된 시스템 또는 칩의 사용자는 그의 장점으로부터 이득을 볼 수 있다.
특정의 예시적인 실시예가 기술되고 첨부 도면에 도시되어 있지만, 다양한 다른 수정이 당업자에게 안출될 수 있기 때문에, 이러한 실시예가 광의의 발명을 제한하는 것이 아니라 단지 예시적인 것이고 본 발명이 도시되고 기술된 특정의 구성 및 배열로 제한되지 않는다는 것을 잘 알 것이다. 그에 따라, 본 명세서 및 첨부 도면은 제한적인 의미가 아니라 예시적인 것으로 간주되어야 한다.

Claims (21)

  1. 전계 효과 트랜지스터로서,
    도핑된 웰;
    상기 도핑된 웰 위에 배치되어 드레인과 소스 사이의 전도를 제어하는 게이트;
    5×1017 원자/cm3 보다 작은 도펀트 농도를 갖는 비도핑된 채널 - 상기 비도핑된 채널은 상기 드레인과 상기 소스 사이 및 상기 게이트 아래에 배치됨 -; 및
    상기 비도핑된 채널의 도펀트 농도보다 열배 더 큰 도펀트 농도를 갖는 차폐 영역; 및
    상기 비도핑된 채널과 상기 차폐 영역 사이에 배치되어 상기 전계 효과 트랜지스터의 문턱 전압을 변경하는 문턱 전압 조정 영역
    을 포함하는 전계 효과 트랜지스터.
  2. 제1항에 있어서,
    상기 도핑된 웰은 상기 차폐 영역 아래의 절연층을 갖지 않고, 상기 문턱 전압 조정 영역은 차폐 영역 도펀트 농도의 1/50 내지 1/2 사이의 도펀트 농도를 갖는 전계 효과 트랜지스터.
  3. 제1항 또는 제2항에 있어서,
    상기 차폐 영역은 상기 드레인과 상기 소스 사이에서 연장되고, 상기 소스 및 상기 드레인에 각각 접촉하는 전계 효과 트랜지스터.
  4. 제1항 또는 제2항에 있어서,
    상기 차폐 영역은 상기 드레인 및 상기 소스와 접촉하지 않는 전계 효과 트랜지스터.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 차폐 영역은 상기 게이트 아래의 공핍 깊이를 설정하기 위해 약 2.5 내지 50㎚의 두께인 전계 효과 트랜지스터.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 문턱 전압 조정 영역은 제1 에피택셜층으로서 형성되고 상기 비도핑된 채널은 제2 에피택셜층으로서 형성되는 전계 효과 트랜지스터.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 문턱 전압 조정 영역과 상기 비도핑된 채널은 단일의 에피택셜층으로부터 형성되는 전계 효과 트랜지스터.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 비도핑된 채널은 실리콘으로 형성되고 두께가 30㎚보다 작은 전계 효과 트랜지스터.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 문턱 전압 조정 영역은 5㎚보다 크고 50㎚보다 작은 두께를 갖는 실질적으로 평탄한 층을 더 포함하는 전계 효과 트랜지스터.
  10. 전계 효과 트랜지스터를 형성하는 방법으로서,
    벌크 실리콘 내의 웰을 도핑하는 단계;
    상기 웰 내로 도펀트들을 주입하여 상기 도핑된 웰과 접촉하는 차폐 영역을 형성하는 단계;
    차폐 영역 도펀트 농도의 약 1/50 내지 1/2 사이의 도펀트 농도를 갖는 문턱 전압 조정 영역을 에피택셜하게 성장시키는 단계;
    비도핑된 채널을 에피택셜하게 성장시키는 단계 - 상기 비도핑된 채널은 상기 차폐 영역의 상기 도펀트 농도의 1/10보다 작은 포스트 어닐링 도펀트 농도(post anneal dopant concentration)를 갖도록 유지됨 - ; 및
    상기 도핑된 웰, 상기 차폐 영역, 및 상기 비도핑된 채널 위에 게이트를 형성하여 드레인과 소스 사이의 전도를 제어하는 단계
    를 포함하는 전계 효과 트랜지스터 형성 방법.
  11. 제10항에 있어서,
    제1 에피택셜층 내에 상기 문턱 전압 조정 영역을 형성하는 단계, 상기 문턱 전압 조정 영역 내로의 도펀트 주입 이후에만 상기 비도핑된 채널을 성장시키는 단계 및 상기 비도핑된 채널이 5 x1017 원자/cm3보다 작은 포스트 어닐링 도펀트 농도를 갖도록 유지하는 단계를 더 포함하는 전계 효과 트랜지스터 형성 방법.
  12. 제10항 또는 제11항에 있어서,
    상기 비도핑된 채널 및 상기 문턱 전압 조정 영역을 단일의 에피택셜층 내에 형성하는 단계를 더 포함하는 전계 효과 트랜지스터 형성 방법.
  13. 전계 효과 트랜지스터로서,
    도핑된 웰;
    상기 도핑된 웰 위에 그리고 게이트 아래에 적어도 부분적으로 연장하도록 배치된 차폐 영역;
    소스 및 드레인 - 그 사이에서 비도핑된 채널 영역이 연장하고, 상기 비도핑된 채널 영역은 5 x1017 원자/cm3보다 작은 포스트 어닐링 도펀트 농도를 가짐 - ; 및
    상기 차폐 영역 도펀트 농도의 약 1/50 내지 1/2 사이의 도펀트 농도를 갖고, 상기 비도핑된 채널 영역과 상기 차폐 영역 사이에 배치되어 상기 전계 효과 트랜지스터의 문턱 전압을 변경하는 문턱 전압 조정 영역
    을 포함하고, 동작시에,
    적어도 미리 결정된 문턱 전압이 상기 게이트에 인가되는 경우 상기 게이트와 상기 차폐 영역 사이에 깊게 공핍된 채널(DDC)이 형성가능하고, 반전 영역이 상기 게이트 근처에 형성되는 경우 상기 소스와 드레인 사이에서 전류가 허용되는 전계 효과 트랜지스터.
  14. 제13항에 있어서,
    상기 도핑된 웰은 벌크 실리콘 내에 형성되고 상기 차폐 영역 아래의 절연층을 지지하지 않는 전계 효과 트랜지스터.
  15. 다수의 PMOS 및 NMOS 전계 효과 트랜지스터들을 지지하는 다이로서,
    절연층이 없는 벌크 실리콘 내의 도핑된 웰;
    상기 도핑된 웰과 접촉하고 각각의 PMOS 및 NMOS 트랜지스터 게이트들 아래에 배치되며, 적어도 하나의 PMOS 및 NMOS 전계 효과 트랜지스터 쌍 아래에 연장하는 차폐 영역;
    적어도 하나의 PMOS 및 NMOS 전계 효과 트랜지스터 쌍을 가로질러 연장하도록 에피택셜하게 성장된 비도핑된 채널층 - 상기 비도핑된 채널층은 5 x1017 원자/cm3보다 작은 포스트 어닐링 도펀트 농도를 갖고, 각각의 PMOS 및 NMOS 트랜지스터의 비도핑된 채널들은 상기 비도핑된 채널층으로부터 형성되고, 상기 PMOS 및 NMOS 트랜지스터들은 얕은 트렌치 분리에 의해 서로 분리됨 -
    을 포함하고,
    상기 차폐 영역은 상기 비도핑된 채널층의 상기 포스트 어닐링 도펀트 농도보다 10배 더 큰 도펀트 농도를 갖는 다이.
  16. 제15항에 있어서,
    차폐 영역 도펀트 농도의 1/50 내지 1/2 사이의 도펀트 농도를 갖는 문턱 전압 조정 영역을 더 포함하고, 상기 문턱 전압 조정 영역은 상기 비도핑된 채널층과 상기 차폐 영역 사이에 배치되는 다이.
  17. 제16항에 있어서,
    상기 비도핑된 채널층은 제1 에피택셜층으로서 형성되고, 상기 문턱 전압 조정 영역은 제2 에피택셜층으로서 형성되는 다이.
  18. 제16항에 있어서,
    상기 비도핑된 채널층 및 상기 문턱 전압 조정 영역은 단일의 에피택셜층으로부터 형성되는 다이.
  19. 제15항 내지 제18항 중 어느 한 항에 있어서,
    상기 각각의 PMOS 및 NMOS 트랜지스터들의 상기 비도핑된 채널층들은 두께가 30㎚보다 작고 상기 차폐 영역은 약 2.5 나노미터 내지 50 나노미터 사이의 두께로 에피택셜하게 성장되고/성장되거나 주입되는 다이.
  20. 제15항 내지 제18항 중 어느 한 항에 있어서,
    상기 차폐 영역은 2.5㎚보다 큰 두께를 갖는 실질적으로 평평한 주입된 층을 더 포함하는 다이.
  21. 제15항 내지 제18항 중 어느 한 항에 있어서,
    상기 비도핑된 채널층은 30㎚보다 작은 두께를 갖도록 성장된 실질적으로 평평한 층을 더 포함하는 다이.
KR1020127024299A 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법 KR20130004909A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/708,497 2010-02-18
US12/708,497 US8273617B2 (en) 2009-09-30 2010-02-18 Electronic devices and systems, and methods for making and using the same
PCT/US2011/025278 WO2011103314A1 (en) 2010-02-18 2011-02-17 Electronic devices and systems, and methods for making and using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177033340A Division KR102068106B1 (ko) 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법

Publications (1)

Publication Number Publication Date
KR20130004909A true KR20130004909A (ko) 2013-01-14

Family

ID=43924267

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020127024299A KR20130004909A (ko) 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법
KR1020127024293A KR101922735B1 (ko) 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법
KR1020177033340A KR102068106B1 (ko) 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020127024293A KR101922735B1 (ko) 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법
KR1020177033340A KR102068106B1 (ko) 2010-02-18 2011-02-17 전자 장치 및 시스템과, 그 제조 및 사용 방법

Country Status (5)

Country Link
US (10) US8273617B2 (ko)
JP (2) JP5838172B2 (ko)
KR (3) KR20130004909A (ko)
CN (2) CN102918645B (ko)
WO (2) WO2011103314A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9553167B2 (en) 2015-03-27 2017-01-24 SK Hynix Inc. Semiconductor device and method for forming the same
KR20170057147A (ko) * 2015-11-16 2017-05-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 일렉트로마이그레이션 사인 오프 방법

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8212315B2 (en) * 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8212316B2 (en) * 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8253197B2 (en) * 2004-01-29 2012-08-28 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US7230302B2 (en) 2004-01-29 2007-06-12 Enpirion, Inc. Laterally diffused metal oxide semiconductor device and method of forming the same
US8253196B2 (en) 2004-01-29 2012-08-28 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8212317B2 (en) * 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US8253195B2 (en) * 2004-01-29 2012-08-28 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
US20110084356A1 (en) * 2008-06-02 2011-04-14 Nxp B.V. Local buried layer forming method and semiconductor device having such a layer
US8164969B2 (en) * 2008-07-01 2012-04-24 Jeng-Jye Shau Ultra-low power hybrid circuits
WO2011024213A1 (ja) * 2009-08-25 2011-03-03 株式会社 東芝 不揮発性半導体記憶装置
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5531848B2 (ja) * 2010-08-06 2014-06-25 富士通セミコンダクター株式会社 半導体装置、半導体集積回路装置、SRAM、Dt−MOSトランジスタの製造方法
US20120038960A1 (en) * 2010-08-13 2012-02-16 Han Wui Then Electro-optical logic techniques and circuits
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
US8378428B2 (en) * 2010-09-29 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5605134B2 (ja) * 2010-09-30 2014-10-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US10629250B2 (en) * 2010-11-16 2020-04-21 Texas Instruments Incorporated SRAM cell having an n-well bias
US8404551B2 (en) * 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) * 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8416009B2 (en) * 2011-07-13 2013-04-09 International Business Machines Corporation Solutions for controlling bulk bias voltage in an extremely thin silicon-on-insulator (ETSOI) integrated circuit chip
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8643108B2 (en) 2011-08-19 2014-02-04 Altera Corporation Buffered finFET device
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US9214400B2 (en) * 2011-08-31 2015-12-15 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with back gate isolation regions and method for manufacturing the same
US9054221B2 (en) * 2011-08-31 2015-06-09 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with a common back gate isolation region and method for manufacturing the same
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8803242B2 (en) 2011-09-19 2014-08-12 Eta Semiconductor Inc. High mobility enhancement mode FET
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9287253B2 (en) * 2011-11-04 2016-03-15 Synopsys, Inc. Method and apparatus for floating or applying voltage to a well of an integrated circuit
US8582352B2 (en) * 2011-12-06 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for FinFET SRAM cells
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
JP5915194B2 (ja) * 2012-01-17 2016-05-11 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9373684B2 (en) 2012-03-20 2016-06-21 Semiwise Limited Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
US20130277747A1 (en) 2012-04-24 2013-10-24 Stmicroelectronics, Inc. Transistor having a stressed body
CN103456786B (zh) * 2012-06-05 2015-11-25 中芯国际集成电路制造(上海)有限公司 Mos晶体管结构及其制造方法
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8962434B2 (en) 2012-07-10 2015-02-24 International Business Machines Corporation Field effect transistors with varying threshold voltages
CN103545210B (zh) * 2012-07-13 2015-12-02 中芯国际集成电路制造(上海)有限公司 深度耗尽沟道场效应晶体管及其制备方法
US9269804B2 (en) 2012-07-28 2016-02-23 Semiwise Limited Gate recessed FDSOI transistor with sandwich of active and etch control layers
US9263568B2 (en) 2012-07-28 2016-02-16 Semiwise Limited Fluctuation resistant low access resistance fully depleted SOI transistor with improved channel thickness control and reduced access resistance
US9190485B2 (en) 2012-07-28 2015-11-17 Gold Standard Simulations Ltd. Fluctuation resistant FDSOI transistor with implanted subchannel
WO2014020403A1 (en) 2012-07-28 2014-02-06 Gold Standard Simulations Ltd. Improved fluctuation resistant fdsoi transistors with charged subchannel and reduced access resistance
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
US8637955B1 (en) * 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US8946050B2 (en) * 2012-10-30 2015-02-03 Globalfoundries Inc. Double trench well formation in SRAM cells
JP2016500927A (ja) * 2012-10-31 2016-01-14 三重富士通セミコンダクター株式会社 低変動トランジスタ・ペリフェラル回路を備えるdram型デバイス、及び関連する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9331182B2 (en) * 2012-11-07 2016-05-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor devices with a gate conductor formed as a spacer, and methods for manufacturing the same
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
EP2738809A3 (en) 2012-11-30 2017-05-10 Enpirion, Inc. Semiconductor device including gate drivers around a periphery thereof
US9059206B2 (en) 2012-12-10 2015-06-16 International Business Machines Corporation Epitaxial grown extremely shallow extension region
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9093530B2 (en) * 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
JP6100535B2 (ja) * 2013-01-18 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8832619B2 (en) * 2013-01-28 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Analytical model for predicting current mismatch in metal oxide semiconductor arrays
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299699B2 (en) * 2013-03-13 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate and complementary varactors in FinFET process
WO2014142856A1 (en) * 2013-03-14 2014-09-18 Intel Corporation Leakage reduction structures for nanowire transistors
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9142674B2 (en) * 2013-03-15 2015-09-22 GlobalFoundries, Inc. FINFET devices having a body contact and methods of forming the same
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
FR3003685B1 (fr) * 2013-03-21 2015-04-17 St Microelectronics Crolles 2 Procede de modification localisee des contraintes dans un substrat du type soi, en particulier fd soi, et dispositif correspondant
US8952431B2 (en) * 2013-05-09 2015-02-10 International Business Machines Corporation Stacked carbon-based FETs
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
FR3007577B1 (fr) * 2013-06-19 2015-08-07 Commissariat Energie Atomique Transistors avec differents niveaux de tensions de seuil et absence de distorsions entre nmos et pmos
US9012276B2 (en) 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
US9209094B2 (en) 2013-07-29 2015-12-08 Globalfoundries Inc. Fin field effect transistor with dielectric isolation and anchored stressor elements
US20150050816A1 (en) * 2013-08-19 2015-02-19 Korea Atomic Energy Research Institute Method of electrochemically preparing silicon film
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US8975679B1 (en) 2013-09-10 2015-03-10 Gembedded Tech Ltd. Single-poly non-volatile memory cell
KR102137371B1 (ko) * 2013-10-29 2020-07-27 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
CN105900241B (zh) 2013-11-22 2020-07-24 阿托梅拉公司 包括超晶格耗尽层堆叠的半导体装置和相关方法
US9536938B1 (en) 2013-11-27 2017-01-03 Altera Corporation Semiconductor device including a resistor metallic layer and method of forming the same
US9673192B1 (en) 2013-11-27 2017-06-06 Altera Corporation Semiconductor device including a resistor metallic layer and method of forming the same
US10020739B2 (en) 2014-03-27 2018-07-10 Altera Corporation Integrated current replicator and method of operating the same
CN104810396B (zh) * 2014-01-23 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9379214B2 (en) 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
KR102279711B1 (ko) 2014-03-11 2021-07-21 삼성전자주식회사 반도체 장치의 레이아웃 방법, 포토 마스크 및 이를 이용하여 제조된 반도체 장치
US9484205B2 (en) 2014-04-07 2016-11-01 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US10559469B2 (en) * 2014-04-22 2020-02-11 Texas Instruments Incorporated Dual pocket approach in PFETs with embedded SI-GE source/drain
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
US9209172B2 (en) 2014-05-08 2015-12-08 International Business Machines Corporation FinFET and fin-passive devices
JP6514033B2 (ja) * 2014-05-23 2019-05-15 三重富士通セミコンダクター株式会社 埋込チャネル型深空乏化チャネルトランジスタ
US9472628B2 (en) 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
KR102101836B1 (ko) * 2014-07-24 2020-04-17 삼성전자 주식회사 딜레이 셀 및 이를 적용하는 지연 동기 루프 회로와 위상 동기 루프 회로
US9710006B2 (en) * 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US20160043092A1 (en) * 2014-08-08 2016-02-11 Qualcomm Incorporated Fin field-effect transistor static random access memory devices with p-channel metal-oxide-semiconductor pass gate transistors
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
KR102277398B1 (ko) 2014-09-17 2021-07-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9859286B2 (en) * 2014-12-23 2018-01-02 International Business Machines Corporation Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
KR102354463B1 (ko) 2015-01-09 2022-01-24 삼성전자주식회사 레트로그레이드 채널을 갖는 반도체 소자 및 그 제조방법
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US10103627B2 (en) 2015-02-26 2018-10-16 Altera Corporation Packaged integrated circuit including a switch-mode regulator and method of forming the same
JP6513450B2 (ja) * 2015-03-26 2019-05-15 三重富士通セミコンダクター株式会社 半導体装置
US9362407B1 (en) 2015-03-27 2016-06-07 International Business Machines Corporation Symmetrical extension junction formation with low-K spacer and dual epitaxial process in FinFET device
US10784372B2 (en) * 2015-04-03 2020-09-22 Magnachip Semiconductor, Ltd. Semiconductor device with high voltage field effect transistor and junction field effect transistor
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN106126762A (zh) * 2015-05-07 2016-11-16 飞思卡尔半导体公司 基于知晓封装状态的泄漏功耗减少
US9899479B2 (en) 2015-05-15 2018-02-20 Atomera Incorporated Semiconductor devices with superlattice layers providing halo implant peak confinement and related methods
US9899514B2 (en) * 2015-05-21 2018-02-20 Globalfoundries Singapore Pte. Ltd. Extended drain metal-oxide-semiconductor transistor
US9721790B2 (en) 2015-06-02 2017-08-01 Atomera Incorporated Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US9805987B2 (en) 2015-09-04 2017-10-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
KR102556027B1 (ko) * 2015-09-10 2023-07-17 삼성디스플레이 주식회사 디스플레이장치 및 이의 제조방법
US10068918B2 (en) 2015-09-21 2018-09-04 Globalfoundries Inc. Contacting SOI subsrates
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9966435B2 (en) 2015-12-09 2018-05-08 Qualcomm Incorporated Body tied intrinsic FET
US9553093B1 (en) 2015-12-11 2017-01-24 International Business Machines Corporation Spacer for dual epi CMOS devices
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
CN105652175B (zh) * 2016-01-11 2018-07-13 北京大学 一种不同涨落源对器件电学特性影响幅度的提取方法
US10114919B2 (en) * 2016-02-12 2018-10-30 Globalfoundries Inc. Placing and routing method for implementing back bias in FDSOI
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
US9627370B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9755029B1 (en) 2016-06-22 2017-09-05 Qualcomm Incorporated Switch device performance improvement through multisided biased shielding
CN108093279A (zh) * 2016-11-21 2018-05-29 新疆广电网络股份有限公司 一种面向电视、宽带、wifi终端“烟囱型”通风散热方法
US11437516B2 (en) * 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US10256242B2 (en) * 2016-12-13 2019-04-09 Etron Technology, Inc. Memory circuit with thyristor
US10592698B2 (en) * 2017-03-01 2020-03-17 International Business Machines Corporation Analog-based multiple-bit chip security
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
KR102324168B1 (ko) 2017-06-21 2021-11-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN109119343A (zh) * 2017-06-22 2019-01-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10050125B1 (en) 2017-08-14 2018-08-14 Globalfoundries Inc. Vertical-transport field-effect transistors with an etched-through source/drain cavity
US10211317B1 (en) 2017-08-14 2019-02-19 Globalfoundries Inc. Vertical-transport field-effect transistors with an etched-through source/drain cavity
US10290639B2 (en) * 2017-09-12 2019-05-14 Globalfoundries Inc. VNW SRAM with trinity cross-couple PD/PU contact and method for producing the same
US10014390B1 (en) 2017-10-10 2018-07-03 Globalfoundries Inc. Inner spacer formation for nanosheet field-effect transistors with tall suspensions
US11329099B2 (en) * 2017-12-30 2022-05-10 Integrated Silicon Solution, (Cayman) Inc. Magnetic memory chip having nvm class and SRAM class MRAM elements on the same chip
US10552563B2 (en) * 2018-01-10 2020-02-04 Qualcomm Incorporated Digital design with bundled data asynchronous logic and body-biasing tuning
US10636869B2 (en) * 2018-03-09 2020-04-28 Xilinx, Inc. Mitigation for FinFET technology using deep isolation
US10622491B2 (en) * 2018-06-21 2020-04-14 Qualcomm Incorporated Well doping for metal oxide semiconductor (MOS) varactor
US11276780B2 (en) * 2018-06-29 2022-03-15 Intel Corporation Transistor contact area enhancement
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
CN110136652B (zh) * 2019-05-24 2020-10-16 深圳市华星光电半导体显示技术有限公司 一种goa电路及阵列基板
US11165021B2 (en) * 2019-10-15 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. RRAM device with improved performance
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram
US20220302129A1 (en) * 2021-03-10 2022-09-22 Invention And Collaboration Laboratory Pte. Ltd. SRAM Cell Structures

Family Cites Families (535)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1487474A (en) 1921-09-20 1924-03-18 Rhodes Henry James Casing for small cooking stoves
US1681628A (en) 1926-09-16 1928-08-21 Schwarzkopf Erich Electrocardiograph
US1723750A (en) 1927-10-27 1929-08-06 William J Shore Fuse-testing device
US4021835A (en) * 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) * 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
DE3069973D1 (en) * 1979-08-25 1985-02-28 Zaidan Hojin Handotai Kenkyu Insulated-gate field-effect transistor
GB2065653B (en) 1979-12-19 1983-03-09 Nat Res Dev Anti-cancer quinazoline derivatives
US4315781A (en) * 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
FR2505676A1 (fr) 1981-05-15 1982-11-19 Rhone Poulenc Spec Chim Catalyseurs constitues de silice contenant du fluor leur procede de preparation et leur application a la preparation de nitriles
JPS5848936A (ja) 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066A (ja) * 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd Mos型半導体装置
JPS59193066U (ja) 1983-06-08 1984-12-21 三菱電機株式会社 エレベ−タの防犯テレビカメラ
JPS6097432U (ja) 1983-12-09 1985-07-03 株式会社小金井製作所 空気圧緩衝器
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) * 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPH0237663Y2 (ko) 1985-08-23 1990-10-11
JPS62128175A (ja) * 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
JPH0770606B2 (ja) * 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
EP0248988B1 (de) 1986-06-10 1990-10-31 Siemens Aktiengesellschaft Verfahren zum Herstellen von hochintegrierten komplementären MOS-Feldeffekttransistorschaltungen
JPH0697432B2 (ja) 1986-06-17 1994-11-30 松下電器産業株式会社 浮動小数点乗算回路
JPH0615661B2 (ja) 1986-07-23 1994-03-02 昭和高分子株式会社 不飽和ポリエステル樹脂成形品
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (de) * 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
US5923985A (en) * 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JPS63305566A (ja) 1987-06-05 1988-12-13 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) * 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
US5298435A (en) 1990-04-18 1994-03-29 National Semiconductor Corporation Application of electronic properties of germanium to inhibit n-type or p-type diffusion in silicon
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
JPH04179160A (ja) 1990-11-09 1992-06-25 Hitachi Ltd 半導体装置
JPH04186774A (ja) * 1990-11-21 1992-07-03 Hitachi Ltd 半導体装置
JP2899122B2 (ja) 1991-03-18 1999-06-02 キヤノン株式会社 絶縁ゲートトランジスタ及び半導体集積回路
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JP3146045B2 (ja) 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
JPH05183159A (ja) * 1992-01-07 1993-07-23 Fujitsu Ltd 半導体装置及びその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
JP3503961B2 (ja) * 1992-06-02 2004-03-08 株式会社東芝 半導体装置
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
JPH0697432A (ja) * 1992-09-10 1994-04-08 Hitachi Ltd 半導体装置およびその製造方法
US5422508A (en) 1992-09-21 1995-06-06 Siliconix Incorporated BiCDMOS structure
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) * 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
JP3200231B2 (ja) * 1992-12-14 2001-08-20 株式会社東芝 半導体装置の製造方法
US5298457A (en) 1993-07-01 1994-03-29 G. I. Corporation Method of making semiconductor devices using epitaxial techniques to form Si/Si-Ge interfaces and inverting the material
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
JPH07161974A (ja) * 1993-12-03 1995-06-23 Toshiba Corp 半導体装置の製造方法
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
DE69516767T2 (de) 1994-02-14 2000-11-23 Koninkl Philips Electronics Nv Referenzschaltung mit kontrollierter temperaturabhängigkeit
JPH07312423A (ja) 1994-05-17 1995-11-28 Hitachi Ltd Mis型半導体装置
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
JP2701762B2 (ja) * 1994-11-28 1998-01-21 日本電気株式会社 半導体装置及びその製造方法
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
JPH08172187A (ja) 1994-12-16 1996-07-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) * 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
JP2780670B2 (ja) * 1995-04-14 1998-07-30 日本電気株式会社 エピタキシャルチャネルmosトランジスタの製造方法
JPH08293557A (ja) * 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
JPH098296A (ja) 1995-06-23 1997-01-10 Hitachi Ltd 半導体装置
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) * 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) * 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) * 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
JPH09121049A (ja) 1995-10-25 1997-05-06 Sony Corp 半導体装置
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
JPH11500873A (ja) * 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JP3420879B2 (ja) * 1996-03-06 2003-06-30 沖電気工業株式会社 pMOSの製造方法、及びCMOSの製造方法
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
JPH10189766A (ja) 1996-10-29 1998-07-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびに半導体ウエハおよびその製造方法
JPH10135348A (ja) 1996-11-05 1998-05-22 Fujitsu Ltd 電界効果型半導体装置
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JP4521619B2 (ja) * 1996-11-21 2010-08-11 ルネサスエレクトロニクス株式会社 低電力プロセッサ
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
DE19706789C2 (de) 1997-02-20 1999-10-21 Siemens Ag CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
JP4253052B2 (ja) 1997-04-08 2009-04-08 株式会社東芝 半導体装置
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6218895B1 (en) * 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6194259B1 (en) * 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US6723621B1 (en) 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
JP4278202B2 (ja) 1998-03-27 2009-06-10 株式会社ルネサステクノロジ 半導体装置の設計方法、半導体装置及び記録媒体
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6380019B1 (en) * 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6184112B1 (en) * 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
JP4193259B2 (ja) 1999-01-07 2008-12-10 神鋼電機株式会社 部品整送装置
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
US6691311B1 (en) 1999-02-12 2004-02-10 Sony Corporation Digital signal receiving apparatus and receiving method
JP2000243958A (ja) 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
JP2000299462A (ja) 1999-04-15 2000-10-24 Toshiba Corp 半導体装置及びその製造方法
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6503801B1 (en) * 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
DE19940362A1 (de) 1999-08-25 2001-04-12 Infineon Technologies Ag MOS-Transistor und Verfahren zu dessen Herstellung
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) * 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) * 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) * 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) * 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
EP1231640A4 (en) 2000-06-27 2008-10-08 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
JP2001068674A (ja) 2000-08-10 2001-03-16 Canon Inc 絶縁ゲートトランジスタ及び半導体集積回路
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) * 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
JP2002198529A (ja) 2000-10-18 2002-07-12 Hitachi Ltd 半導体装置およびその製造方法
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
JP3950294B2 (ja) 2000-11-16 2007-07-25 シャープ株式会社 半導体装置
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
US6852602B2 (en) 2001-01-31 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor crystal film and method for preparation thereof
JP2002237575A (ja) 2001-02-08 2002-08-23 Sharp Corp 半導体装置及びその製造方法
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6551885B1 (en) * 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) * 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) * 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6518673B2 (en) 2001-06-15 2003-02-11 Trw Inc. Capacitor for signal propagation across ground plane boundaries in superconductor integrated circuits
US6483375B1 (en) 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
US20040207011A1 (en) 2001-07-19 2004-10-21 Hiroshi Iwata Semiconductor device, semiconductor storage device and production methods therefor
JP2003031803A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2003031813A (ja) 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2003086706A (ja) 2001-09-13 2003-03-20 Sharp Corp 半導体装置及びその製造方法、スタティック型ランダムアクセスメモリ装置並びに携帯電子機器
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
US6995397B2 (en) 2001-09-14 2006-02-07 Matsushita Electric Industrial Co., Ltd. Semiconductor device
JP2005504436A (ja) 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
US6933518B2 (en) * 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
KR100794094B1 (ko) 2001-12-28 2008-01-10 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) * 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
WO2003083951A1 (en) 2002-03-28 2003-10-09 Advanced Micro Devices, Inc. Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
DE10214066B4 (de) * 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
TWI290328B (en) 2002-05-23 2007-11-21 Nof Corp Transparent conductive laminated film and touch panel
US6893947B2 (en) * 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US7112856B2 (en) 2002-07-12 2006-09-26 Samsung Electronics Co., Ltd. Semiconductor device having a merged region and method of fabrication
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP2004087602A (ja) * 2002-08-23 2004-03-18 Fujitsu Ltd 半導体集積回路装置
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) * 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) * 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) * 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
JP2006512767A (ja) 2003-01-02 2006-04-13 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 歩留まり改善
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
JP2004214578A (ja) * 2003-01-09 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置
WO2004075295A1 (ja) * 2003-02-19 2004-09-02 Hitachi, Ltd. 半導体集積回路装置
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
JP2006524429A (ja) 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Nドープシリコン層のエピタキシャル成長のための方法
SE0300924D0 (sv) * 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) * 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
CN100514650C (zh) * 2003-04-10 2009-07-15 富士通微电子株式会社 半导体装置及其制造方法
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
JP4472633B2 (ja) 2003-06-10 2010-06-02 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置および半導体集積回路装置の製造方法
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US20060273299A1 (en) 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
EP1519421A1 (en) * 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
WO2005010946A2 (en) 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7521323B2 (en) 2003-09-03 2009-04-21 Nxp B.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
EP1697978A1 (en) 2003-12-18 2006-09-06 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
CN103199017B (zh) * 2003-12-30 2016-08-03 飞兆半导体公司 形成掩埋导电层方法、材料厚度控制法、形成晶体管方法
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (ko) * 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
JP4744807B2 (ja) * 2004-01-06 2011-08-10 パナソニック株式会社 半導体集積回路装置
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (ja) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) * 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) * 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) * 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US8106481B2 (en) * 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
US20060049464A1 (en) * 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
JP5244390B2 (ja) 2004-09-17 2013-07-24 カリフォルニア インスティテュート オブ テクノロジー Soiウェーハで作ったバック照明式cmos撮像素子(imager)の製造方法
JP4540438B2 (ja) * 2004-09-27 2010-09-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
KR100652381B1 (ko) * 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) * 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
JP2006295131A (ja) * 2005-03-17 2006-10-26 Renesas Technology Corp 半導体装置およびその製造方法
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) * 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7170120B2 (en) * 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7271079B2 (en) * 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) * 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) * 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) * 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP2007013025A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 電界効果型トランジスタおよびその製造方法
US7735452B2 (en) * 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
JP2007023979A (ja) 2005-07-21 2007-02-01 Nissan Motor Co Ltd 車両駆動スリップ制御装置
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
JPWO2007023979A1 (ja) 2005-08-22 2009-03-05 日本電気株式会社 Mosfetおよび半導体装置の製造方法
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
KR101455404B1 (ko) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를위한 시스템 및 방법
JP2009521801A (ja) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) * 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) * 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) * 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US20090321849A1 (en) 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) * 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
JP4650493B2 (ja) 2006-09-07 2011-03-16 株式会社Sumco 固体撮像素子用半導体基板およびその製造方法
US20080067589A1 (en) * 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7764137B2 (en) 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
US7683442B1 (en) * 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US8137179B2 (en) 2006-11-08 2012-03-20 Igt Gaming device having expanding and rolling wild symbols
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7696000B2 (en) * 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) * 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US7604399B2 (en) 2007-05-31 2009-10-20 Siemens Energy, Inc. Temperature monitor for bus structure flex connector
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) * 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
WO2009000934A1 (en) 2007-06-28 2008-12-31 Sagantec Israel Ltd Semiconductor layout modification method based on design rule and user constraints
US7651920B2 (en) * 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
US7795677B2 (en) * 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) * 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US8329564B2 (en) * 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
JP5528667B2 (ja) * 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
JP2009170472A (ja) * 2008-01-10 2009-07-30 Sharp Corp トランジスタ、半導体装置、半導体装置の製造方法
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
DE102008007029B4 (de) 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
WO2009102684A2 (en) 2008-02-14 2009-08-20 Maxpower Semiconductor Inc. Semiconductor device structures and related processes
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP5173582B2 (ja) * 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
CN102165561A (zh) 2008-09-25 2011-08-24 应用材料股份有限公司 使用十八硼烷自我非晶体化注入物的无缺陷接点形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) * 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
CN101661889B (zh) 2009-08-15 2011-09-07 北京大学深圳研究生院 一种部分耗尽的绝缘层上硅mos晶体管的制作方法
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
EP2309544B1 (en) * 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062788A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8436422B2 (en) 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
TWI522548B (zh) 2012-09-13 2016-02-21 Famosa Corp The electronic control damping structure of fitness equipment
JP6236967B2 (ja) 2013-07-31 2017-11-29 ブラザー工業株式会社 端末装置とプリンタ
EP3098671B1 (fr) 2015-05-27 2019-10-09 Montres Breguet S.A. Mécanisme d'affichage de phase de lune d'horlogerie

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9553167B2 (en) 2015-03-27 2017-01-24 SK Hynix Inc. Semiconductor device and method for forming the same
KR20170057147A (ko) * 2015-11-16 2017-05-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 일렉트로마이그레이션 사인 오프 방법

Also Published As

Publication number Publication date
US20170117366A1 (en) 2017-04-27
JP2013520799A (ja) 2013-06-06
US20130020638A1 (en) 2013-01-24
CN102844869B (zh) 2016-04-06
US20110074498A1 (en) 2011-03-31
KR20120139745A (ko) 2012-12-27
US11887895B2 (en) 2024-01-30
CN102844869A (zh) 2012-12-26
CN102918645B (zh) 2015-09-16
US8604530B2 (en) 2013-12-10
US8604527B2 (en) 2013-12-10
CN102918645A (zh) 2013-02-06
US8975128B2 (en) 2015-03-10
US10224244B2 (en) 2019-03-05
US20160358918A1 (en) 2016-12-08
WO2011103314A1 (en) 2011-08-25
CN105870060A (zh) 2016-08-17
US20190080967A1 (en) 2019-03-14
US20130020639A1 (en) 2013-01-24
US8541824B2 (en) 2013-09-24
KR102068106B1 (ko) 2020-01-20
KR101922735B1 (ko) 2018-11-27
US11062950B2 (en) 2021-07-13
US10074568B2 (en) 2018-09-11
US20140077312A1 (en) 2014-03-20
US10217668B2 (en) 2019-02-26
US20120299111A1 (en) 2012-11-29
JP5838172B2 (ja) 2016-01-06
KR20170129971A (ko) 2017-11-27
JP5934118B2 (ja) 2016-06-15
US8273617B2 (en) 2012-09-25
US20150255350A1 (en) 2015-09-10
US20210313231A1 (en) 2021-10-07
JP2013520798A (ja) 2013-06-06
WO2011103318A1 (en) 2011-08-25

Similar Documents

Publication Publication Date Title
US11887895B2 (en) Electronic devices and systems, and methods for making and using the same
JP6371823B2 (ja) 電界効果トランジスタ及びその製造方法
WO2011062789A1 (en) Electronic devices and systems,and methods for making and using the same
JP6042501B2 (ja) 電界効果トランジスタの製造方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101005553; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20171117

Effective date: 20190402