KR20200010478A - 기하학적 속성을 갖춘 비아를 가진 물품 및 이를 제조하는 방법 - Google Patents

기하학적 속성을 갖춘 비아를 가진 물품 및 이를 제조하는 방법 Download PDF

Info

Publication number
KR20200010478A
KR20200010478A KR1020197038012A KR20197038012A KR20200010478A KR 20200010478 A KR20200010478 A KR 20200010478A KR 1020197038012 A KR1020197038012 A KR 1020197038012A KR 20197038012 A KR20197038012 A KR 20197038012A KR 20200010478 A KR20200010478 A KR 20200010478A
Authority
KR
South Korea
Prior art keywords
major surface
microns
caustic
glass
tapered region
Prior art date
Application number
KR1020197038012A
Other languages
English (en)
Other versions
KR102539132B1 (ko
Inventor
티안 후앙
유후이 진
매튜 에반 윌헬름
Original Assignee
코닝 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코닝 인코포레이티드 filed Critical 코닝 인코포레이티드
Publication of KR20200010478A publication Critical patent/KR20200010478A/ko
Application granted granted Critical
Publication of KR102539132B1 publication Critical patent/KR102539132B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4864Cleaning, e.g. removing of solder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Printing Elements For Providing Electric Connections Between Printed Circuits (AREA)
  • Details Of Garments (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)
  • Woven Fabrics (AREA)
  • Structure Of Printed Boards (AREA)

Abstract

유리 기반 기판을 포함하는 물품 및 반도체 패키지가 개시되며, 더불어 이를 성형하는 방법이 개시된다. 물품은 서로로부터 일정거리 이격되고 서로 평행한 제1 및 제2 주 표면을 포함하는 유리 기반 기판과 상기 기판을 관통하여 연장되는 테이퍼 비아를 포함한다. 테이퍼 비아는 유리 기반 기판의 제1 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면과 상기 제1 주 표면과 평면 사이에 위치한 제1 테이퍼 영역 및 제2 테이퍼 영역을 가진 내부 벽을 포함한다. 상기 제1 및 제2 테이퍼 영역의 각각의 기울기는 일정하고 상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않다.

Description

기하학적 속성을 갖춘 비아를 가진 물품 및 이를 제조하는 방법
본 출원은 2017년 5월 25일에 제출된 미국 가출원 번호 62/510,869의 우선권을 주장하며, 그 전체가 본원에 참고로 포함된다.
본 발명은 물품에 에칭된 비아(via)를 가진 물품에 대한 것이다. 특히, 본 발명은 특정 기하학적 형상을 갖춘 비아를 가진 물품에 대한 것이며, 더불어 이러한 물품을 제조하기 위한 레이저 및 에칭 공정에 대한 것이다.
실리콘과 같은 기판은 전기 구성요소(예컨대, 인쇄된 회로 기판, 집적 회로, 등) 사이에 배치된 인터포저(interposer)로서 사용되었다. 금속화된 관통-기판 비아는 전자 신호를 위한 인터포저를 통과하는 경로를 제공하여 인터포저의 대향 측면 사이를 통과한다. 유리는 전기 신호 전송을 위한 매우 바람직한 기판 재료이며, 이는 유리가 치수적 안정성, 조정 가능한 열팽창계수("CTE"), 높은 열적 안정성, 및 두껍고 대형의 패널 크기로 형성되는 성능을 가지기 때문이다. 그러나, 관통-유리 비아("TGV") 형성 및 금속화는 유리 인터포저 시장에서 개발이 필요한 과제이다.
비아 기하학적 속성은 비아가 유리 기반 기판 내에 적절하게 금속화되도록 하는 능력의 역할을 한다. 예를 들어, 스퍼터 금속화 공정(sputter metallization) 중, 비아의 측벽의 테이퍼의 각도는 스퍼터된 재료에 대한 비아 측벽의 시야를 증가시키고, 결국, 이는 유리 표면에 대한 그리고 비아의 중심선을 향한 기포(air bubbles)의 캡슐화(encapsulation)를 방지한다. 이러한 기포는 고온 재분배 층("RDL", high temperature redistribution layer) 동작 중의 처리 문제를 발생시키고 기판의 신뢰성을 감소시킬 수 있다.
따라서, 특정한 비아 기하학적 형상을 가진 기판과 더불어 이를 형성하는 방법이 필요하다.
하나의 실시예에 따라, 물품은 제1 주 표면, 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 제1 주 표면에서 제2 주 표면을 향해 기판을 관통하여 연장되는 테이퍼 관통 비아를 가진 유리 기반 기판을 포함한다. 테이퍼 관통 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있으며 등거리에 있는 평면에 대해 대칭인 단면, 및 제1 테이퍼 영역(tapered region)과 제1 주 표면과 평면 사이에 위치한 제2 테이퍼 영역을 가진 내부 벽을 포함한다. 제1 테이퍼 영역의 기울기는 일정하고 제2 테이퍼 영역의 기울기는 일정하다. 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않다.
다른 실시예에서, 물품은 제1 주 표면, 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 제1 주 표면에서 제2 주 표면을 향해 기판을 관통하여 연장되는 테이퍼 비아(tapered via)를 가진 유리 기반 기판을 포함한다. 상기 테이퍼 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있으며 등거리에 있는 평면에 대해 비대칭인 단면, 및 제1 테이퍼 영역 및 제1 주 표면과 평면 사이에 위치한 제2 테이퍼 영역을 가진 내부 벽을 포함한다. 제1 테이퍼 영역의 기울기는 일정하며 제2 테이퍼 영역의 기울기는 일정하다. 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않다.
다른 실시예에서, 반도체 패키지(semiconductor package)는 제1 주 표면, 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 제1 주 표면에서 제2 주 표면을 향해 기판을 관통하여 연장되는 테이퍼 비아를 가진 유리 기반 기판을 포함한다. 상기 테이퍼 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있으며 등거리에 있는 평면에 대해 대칭인 단면, 및 제1 테이퍼 영역과 제1 주 표면과 평면 사이에 위치한 제2 테이퍼 영역을 가진 내부 벽을 포함한다. 제1 테이퍼 영역의 기울기는 일정하며 제2 테이퍼 영역의 기울기는 일정하다. 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않다. 상기 반도체 패키지는 상기 테이퍼 비아 내에 배치된 전기 전도성 재료와 상기 테이퍼 비아 내에 배치된 전기 전도성 재료에 전기적으로 결합된 반도체 장치를 더욱 포함한다.
다른 실시예에서, 반도체 패키지는 제1 주 표면, 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 제1 주 표면에서 제2 주 표면을 향해 기판을 관통하여 연장되는 테이퍼 비아를 가진 유리 기반 기판을 포함한다. 상기 테이퍼 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있으며 등거리에 있는 평면에 대해 비대칭인 단면, 및 제1 테이퍼 영역과 제1 주 표면과 평면 사이에 위치한 제2 테이퍼 영역을 가진 내부 벽을 포함한다. 제1 테이퍼 영역의 기울기는 일정하며 제2 테이퍼 영역의 기울기는 일정하다. 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않다. 상기 반도체 패키지는 상기 테이퍼 비아 내에 배치된 전기 전도성 재료와 상기 테이퍼 비아 내에 배치된 전기 전도성 재료에 전기적으로 결합된 반도체 장치를 더욱 포함한다.
다른 실시예에서, 적어도 하나의 비아를 가진 유리 기반 기판을 형성하는 방법은 제1 에칭 속도에서 제1 부식제(etchant)를 통해 적어도 하나의 손상 트랙(damage track)을 가진 유리 기반 물품을 에칭하는 단계 및 적어도 하나의 비아를 가진 유리 기반 기판을 형성하기 위해 제2 에칭 속도에서 제2 부식제를 통해 유리 기반 물품을 에칭하는 단계를 포함한다. 제2 부식제 욕조(etchant bath)는 제1 부식제 욕조의 부식제 농도와 상이한 부식제 농도를 포함한다. 적어도 하나의 비아는 제1 일정 기울기를 가진 제1 테이퍼 영역과 제2 일정 기울기를 가진 제2 테이퍼 영역을 포함하며, 제1 일정 기울기와 제2 일정 기울기는 같지 않다.
다른 실시예에서, 적어도 하나의 관통 비아를 가진 유리 기반 기판을 형성하는 방법은 부식제에서 유리 기반 물품을 에칭하는 단계 및 상기 적어도 하나의 관통 비아가 연속으로 변화하는 측벽 테이퍼와 유리 기반 기판의 중심에 대해 대칭인 프로파일을 갖도록 적어도 하나의 관통 비아를 가진 유리 기반 기판을 형성하기 위해 부식제의 온도, 농도, 및 교반 정도 중 적어도 하나를 조절하는 단계를 포함한다.
다른 실시예에서, 적어도 하나의 블라인드 비아(blind via)를 가진 유리 기반 기판을 형성하는 방법은 상기 적어도 하나의 블라인드 비아가 연속으로 변화하는 측벽 테이퍼를 포함하도록 적어도 하나의 블라인드 비아를 가진 유리 기반 기판을 형성하기 위해 부식제 욕조의 온도, 농도, 및 교반 정도 중 적어도 하나를 조절하는 단계를 포함한다.
인터포저 및 인터포저 조립체와 같은, 유리 기반 구조를 형성하는 방법의 추가적인 특징 및 장점은 다음의 상세한 설명에서 제시될 것이며, 일부는 상기 설명으로부터 당업자에게 명백할 것이며, 또는 다음의 상세한 설명, 청구 범위, 및 첨부된 도면을 포함하여, 본원에 개시된 실시예를 구현함으로써 쉽게 이해될 것이다.
전술한 일반적인 설명 및 다음의 상세한 설명은 다양한 실시예를 설명하고 청구된 주제의 본질 및 특성을 이해하기 위한 개요 또는 프레임워크를 제공하기위한 것으로 이해되어야 한다. 첨부된 도면은 다양한 실시예에 대한 추가 이해를 제공하기 위해 포함되며, 본 명세서에 포함되어 본 명세서의 일부를 구성한다. 도면은 본 명세서에서 설명된 다양한 실시예를 도시하고, 상세한 설명과 함께 청구된 주제의 원리 및 동작을 설명하는 역할을 한다.
도면에 제시된 실시예는 본질적으로 예시적이고 예시적이며 청구 범위에 의해 정의된 주제를 제한하도록 의도되지 않는다. 예시적인 실시예들의 다음의 상세한 설명은 다음의 도면들과 함께 읽을 때 이해될 수 있으며, 여기서 유사한 구조는 유사한 참조 번호로 표시된다.
도 1은 본원에 개시되고 도시된 하나 이상의 실시예에 따른 유리 인터포저를 포함하는 예시의 반도체 조립체를 개략적으로 도시한다.
도 2a는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 비아를 가진 웨이퍼(wafer)로서 구성된 예시의 물품을 개략적으로 도시한다.
도 2b는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 비아를 가진 예시의 웨이퍼의 일부의 평면도이다.
도 3a는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 예시의 비아 기하학적 형상의 측단면을 개략적으로 도시한다.
도 3b는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 도 3a의 비아의 내부벽의 2개의 테이퍼 영역 사이의 기울기의 변화의 상세도이다.
도 3c는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 다른 예시의 비아 기하학적 형상의 측단면도이다.
도 3d는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 또 다른 예시의 비아 기하학적 형상의 측단면도이다.
도 3e는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 또 다른 예시의 비아 기하학적 형상의 측단면도이다.
도 3f는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 또 다른 예시의 비아 기하학적 형상의 측단면도이다.
도 3g는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 특정 비아 기하학적 형상을 가진 예시의 테이퍼 비아의 측단면도이다.
도 4는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 내벽의 다양한 테이퍼 영역의 길이를 나타내는, 예시의 테이퍼의 일부에 대한 단면도이다.
도 5a는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 유리 물품을 형성하는 예시의 방법의 흐름도이다.
도 5b는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 복수의 에칭 공정을 겪는 예시의 테이퍼 비아의 측단면도이다.
도 6은 도 5a에 대해 개시된 공정으로부터 형성된 유리 물품의 표면의 예시의 반경 대 깊이를 그래프로 도시한다.
도 7은 본원에 개시되고 도시된 하나 이상의 실시예에 따른 유리 물품을 형성하는 다른 예시의 방법의 흐름도이다.
도 8은 도 7에 대해 개시된 공정으로부터 형성된 유리 물품 중 하나의 예시의 축방향 위치 대 비아 반경을 그래프로 도시한다.
도 9는 도 7에 대해 개시된 공정으로부터 형성된 유리 물품 중 다른 하나의 다른 예시의 비아 반경 대 축방향 위치를 그래프로 도시한다.
도 10은 본원에 개시되고 도시된 하나 이상의 실시예에 따른 유리 물품을 형성하는 또 다른 예시의 방법의 흐름도이다.
도 11은 도 10에 개시된 공정으로부터 형성된 유리 물품 중 하나의 예시의 비아 프로파일 대 축방향 위치의 그래프이다.
도 12는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 유리 물품을 형성하는 또 다른 예시의 방법의 흐름도이다.
도 13은 도 12에 개시된 공정으로 형성된 유리 물품 중 하나의 예시의 비아 프로파일 대 축방향 위치의 그래프이다.
도 14a는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 예시의 테이퍼 비아의 단면의 이미지를 도시한다.
도 14b는 도 14a에 도시된 예시의 테이퍼 비아의 내부 벽의 컴퓨터 보조 추적을 개략적으로 도시한다.
도 15a는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 컴퓨터 프로그램에 의해 결정된 것으로서, 도 14a에 도시된 예시의 테이퍼 비아의 복수의 테이퍼 영역을 그래프로 도시한다.
도 15b는 본원에 개시되고 도시된 하나 이상의 실시예에 따른 도 15a에 도시된 그래프의 예시의 적합 잔류(fit residual)의 절대 값을 그래프로 도시한다.
일반적으로 도면을 참고하여, 본 발명의 실시예들은 일반적으로, 이에 한정하지 않지만, 비아 금속화 및 재분배 층(RDL)의 적용을 포함하는 성공적인 하류 공정을 허용하는 비아(예컨대, 구멍)를 가진 물품 및 표면 속성에 대한 것이다. 물품은 반도체 장치, 무선 주파수(RF) 장치(예컨대, 안테나, 스위치, 등), 인터포저 장치, 마이크로 전자 장치, 광전자 장치, 마이크로 전자 기계 시스템(MEMS) 장치 및 비아가 활용될 수 있는 다른 분야에서의 용도일 수 있다.
더욱 구체적으로, 본원에 개시된 실시예들은 레이저 손상(laser damage)에 의해 형성된 비아를 가진 유리 기반 물품 및, 각각 특정 기울기를 가진 복수의 영역을 가진 내부 벽과 같은, 특정 내부 벽 기하학적 형상을 포함하는 에칭 공정에 대한 것이다. 궁극적으로, 비아는 전기 전도성 재료로 코팅 또는 채워질 수 있다. 특정 내부 벽 기하학적 형상을 가진 비아는 금속화 공정과 같은 하류 공정의 신뢰성을 증가시킬 수 있다. 예를 들어, 내부 벽의 특정 기하학적 형상은 금속화 공정 중 측벽의 표면에 대해 기포의 캡슐화를 방지할 수 있다.
본 발명의 실시예는 원하는 기하학적 형상을 가진 비아를 가진 유리 기반 물품을 야기하는 레이저 형성 및 에칭 공정에 관한 것이다. 본원에 개시된 원하는 비아 기하학적 형상을 가진, 유리 물품과 같은 물품은 RF 안테나와 같은 반도체 장치에 인터포저로서 구현될 수 있다.
물품의 다양한 실시예, 반도체 패키지, 및 기판에 비아를 형성하는 방법은 아래에서 자세히 설명된다.
용어 "인터포저(interposer)"는 일반적으로, 이에 한정하지 않지만, 인터포저의 대향 표면 상에 배치된 2개 이상의 전자 장치들 사이의 구조를 통해 전기적 연결을 연장 또는 완료하는 임의의 구조를 지칭한다. 2개 이상의 전자 장치는 단일 구조로 함께 위치될 수 있거나 또는 인터포저가 상호연결 모듈 등의 일부로서 기능하도록 상이한 구조로 서로 인접하여 위치될 수 있다. 이와 같이, 인터포저는 관통 유리 비아 및 다른 상호연결 전도체(예컨대, 전력, 접지 및 신호 전도체와 같은)가 존재하고 형성되는 하나 이상의 활성 구역을 포함할 수 있다. 인터포저는 또한 블라인드 비아가 존재하고 형성되는 하나 이상의 활성 구역을 포함할 수 있다. 인터포저가 다이(dies), 언더필(underfill) 재료, 캡슐재(encapsulants) 등과 같은 다른 구성요소로 형성될 때, 인터포저는 인터포저 조립체로 지칭될 수 있다. 또한, 용어 "인터포저"는 예컨대 인터포저 배열 등과 같은, 복수의 인터 포저를 더욱 포함할 수 있다.
도 1은 물품(15), 전도성 재료(20), 및 반도체 장치(25)를 포함하는, 일반적으로(10)으로 지정된 반도체 패키지의 예시의 예시를 도시한다. 반도체 패키지(10)의 다양한 구성요소는 전도성 재료(20)가 물품(15)의 적어도 일부에 배치되도록, 예를 들어, 본원에서 더 자세히 설명된 것처럼, 물품(15)의 기판의 비아 내에 배치되도록 배열될 수 있다. 반도체 장치(25)는 반도체 장치(25)가 전도성 재료(20)와 전기적으로 접촉하도록 결합될 수 있다. 일부 실시예에서, 반도체 장치(25)는 전도성 재료(20)와 직접 접촉할 수 있다. 다른 실시예에서, 반도체 장치(25)는 범프(30 ,bump) 및/또는 등을 통해, 전도성 재료(20)와 간접적으로 접촉할 수 있다.
도 2a는 복수의 비아(120)를 가진 예시의 기판(100)의 사시도이다. 도 2b는 도 2a에 도시된 예시의 물품의 평면도이다. 도 2a 및 2b가 웨이퍼(wafer)로서 구성된 기판(100)을 도시하지만, 물품은 이에 제한하지 않지만 패널과 같은 임의의 형상으로 취해질 수 있음을 이해해야 한다. 기판(100)은 일반적으로 평평할 수 있으며 제1 주 표면(110) 및 제1 주 표면(110)과 평평하고 대향하여 위치된 제2 주 표면(112)을 가질 수 있다.
본원에 개시된 물품은 가시 스펙트럼을 통과하기 위해 가시 스펙트럼 내에 파장을 가진 방사를 허용할 수 있는 광-전달 재료로 제조된다. 예를 들어, 기판(100)은 약 390 nm 내지 약 700 nm의 범위의 적어도 하나의 파장의 적어도 약 70 %, 적어도 약 75 %, 적어도 약 80 %, 적어도 약 85 %, 또는 적어도 약 90 %를 전달할 수 있다. 기판(100)은 유리 기반 기판일 수 있다. 유리 기반 기판 재료는 부분적으로 또는 전체적으로 유리로 만들어진 재료이며 이에 제한하지 않지만, 유리(융합된 실리카 포함), 및 유리-세라믹을 포함한다. 일부 실시예에서, 기판(100)은 유리일 수 있으며, 유리는 융합된 실리카, 알칼리 함유 유리, 또는 무-알칼리 유리(예를 들어, 무-알칼리 알카라인 알루미노보로실리케이트 유리)를 포함할 수 있다. 일부 실시예에서, 기판(100)은 유리 층, 유리 세라믹 층, 또는 유리 및 유리-세라믹 층의 조합의 라미네이트일 수 있다. 일부 실시에에서, 기판(100)은 이온 교환 공정에 의해 화학적으로 강화될 수 있는(예컨대, "화학 강화 유리" 또는 "화학 강화 유리-세라믹")유리 또는 유리-세라믹으로 형성된다. 예를 들어, 기판(100)은 소다-라임 유리 배치 조성물, 알칼리 알루미노실리케이트 유리 배치 조성물, 또는 형성 후 이온 교환에 의해 강화될 수 있는 다른 유리 배치 조성물로부터 형성 될 수 있다. 하나의 특정 예시에서, 기판(100)은 코닝 인코포레이티드(Corning Incorporated)에 의해 제조된 Gorilla® 유리로 형성될 수 있다.
일부 실시예에서, 기판(100)은 낮은 열팽창계수(예컨대 약 4 ppm/℃ 이하)를 가지며 다른 실시예에서, 기판(100)은 높은 열팽창계수(예컨대, 약 4 ppm/℃ 이상)를 가질 수 있다.
위에서 언급한 바와 같이, 기판(100)은, 이에 한정되는 것은 아니지만. 예를 들어, 제1 주 표면(110)에 결합된 하나 이상의 전자 구성요소들과 기판(100)의 제2 주 표면(112)에 결합된 하나 이상의 전자 구성요소들 사이에서, 기판(100)을 통해 전자 신호를 통과시키기 위해 전자 장치의 인터포저로서 구현될 수 있다. 기판(100)의 비아(120)는 전기 신호가 통과할 수 있는 전기 전도성 비아를 제공하기 위해 전기 전도성 재료로 채워진다. 비아(120)는 예를 들어 관통 유리 비아 또는 블라인드 비아일 수 있다. 본원에 사용되는 바와 같이, 관통 유리 비아는 제1 주 표면(110)으로부터 제2 주 표면(112)으로 기판(100)의 두께(T)를 통해 연장된다. 본원에 사용되는 바와 같이, 블라인드 비아는 제1 주 표면(110) 또는 제2 주 표면(112) 중 하나로부터 기판(100)의 두께(T)를 부분적으로만 관통하여 연장되지만, 제1 주 표면(110) 또는 제2 주 표면(112) 중 다른 하나로는 연장되지 않는다. 이에 한정하지 않지만, 하나 이상의 전기통로를 제공하도록 금속화될 수 있는 채널과 같은 다른 특징들은 기판(100)의 제1 주 표면(110) 또는 제2 주 표면(112) 내에 형성될 수 있다. 다른 특징들이 또한 제공될 수 있다.
기판(100)은 임의의 크기 및/또는 형상을 가지며, 이는, 예를 들어, 최종 적용에 의존할 수 있다. 예로서 제한없이, 기판(100)의 두께(T)는 약 25 미크론, 약 50 미크론, 약 75 미크론, 약 100 미크론, 약 200 미크론, 약 300 미크론, 약 400 미크론, 약 500 미크론, 약 600 미크론, 약 700 미크론, 약 800 미크론, 약 900 미크론, 약 1,000 미크론, 약 2,000 미크론, 약 3,000 미크론, 또는 이들 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여 약 25 미크론 내지 약 3,000 미크론의 범위에 있을 수 있다.
기판(100)의 비아(120)는 약 15 미크론 이하, 약 20 미크론 이하, 약 25 미크론 이하, 약 30 미크론 이하, 약 35 미크론 이하, 약 40 미크론 이하, 약 50 미크론 이하, 약 60 미크론 이하, 약 70 미크론 이하, 약 80 미크론 이하, 약 90 미크론 이하, 약 100 미크론 이하 약 110 미크론 이하, 약 120 미크론 이하, 약 130 미크론 이하, 약 140 미크론 이하, 약 150 미크론 이하, 약 160 미크론 이하, 약 170 미크론 이하, 약 180 미크론 이하, 약 190 미크론 이하, 약 200 미크론 이하, 약 210 미크론 이하, 약 220 미크론 이하, 약 230 미크론 이하, 약 240 미크론 이하, 약 250 미크론 이하, 또는 이들 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 10 미크론 내지 약 250 미크론 범위의 개구 직경(D)을 가질 수 있다. 본원에 사용되는 바와 같이, 개구 직경(D)은 기판(100)의 제1 주 표면(110) 또는 제2 주 표면(112)에서 비아(120)의 개구의 직경을 나타낸다. 비아(120)의 개구는 일반적으로 실질적으로 수평의 주 표면(110, 112)과 비아(120)의 벽의 경사진 표면 사이의 전이를 표시하는 위치에 있다. 비아(120)의 개구 직경(D)은 광학 현미경에 의해 이미지화된 것으로서 비아(120)의 입구의 엣지(edge)에 대해 최소 자승 최적 적합(least squares best fit) 원의 지름을 찾음으로써 결정될 수 있다.
유사하게, 기판(100)의 비아(120)는 약 5 미크론 내지 약 150 미크론의 개구 반경(R)을 가질 수 있다. 본원에 사용된 바와 같이, 개구 반경(R)은 기판(100)의 제1 주 표면(110)에서 또는 제2 주 표면에서 비아(120)의 개구의 중심점(C)로부터 반경으로 나타낸다.
인접한 비아(120) 사이의 중심 대 중심 간격인 비아(120)의 피치(Z)는 이에 한정하지 않고, 약 10 미크론, 약 50 미크론, 약 100 미크론, 약 250 미크론, 약 1,000 미크론, 약 2,000 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 10 미크론 내지 약 2,000 미크론과 같은 원하는 적용에 따른 임의의 치수일 수 있다. 일부 실시예에서, 피치(Z)는 동일한 기판(100)상의 비아(120) 사이에서 변할 수 있다(즉, 제1 비아와 제2 비아 사이의 피치(Z)는 제1 비아와 제3 비아 사이의 피치(Z)와 상이할 수 있다). 일부 실시예에서, 피치(Z)는 약 10 미크론 내지 약 100 미크론, 약 25 미크론 내지 약 500 미크론, 약 10 미크론 내지 약 1,000 미크론, 또는 약 250 미크론 내지 약 2,000 미크론과 같은 범위일 수 있다.
본원에 정의된 바와 같이, 기판(100)의 평균 두께(T)는 비아(120)의 형성으로 인해 제1 주 표면(110) 또는 제2 주 표면(112) 상의 임의의 눌려진 영역 외에서 취해진 3개의 두께 측정의 평균을 계산하여 결정된다. 본원에 정의된 바와 같이, 두께 측정은 간섭계(interferometer)에 의해 취해진다. 아래에서 더 자세히 설명된 바와 같이, 레이저 손상 및 에칭 공정은 기판(100) 내에 형성된 구멍을 둘러싸는 눌려진 영역(depressed region)을 생성할 수 있다. 따라서, 평균 두께(T)는 눌려진 영역 이외의 3개의 위치에서 기판(100)의 두께를 측정하여 결정된다. 본원에 사용된 바와 같이, "눌려진 영역 이외의"라는 표현은 비아(120) 가장 가까운 곳으로부터 약 500 미크론 내지 약 2,000 미크론의 범위의 거리에서 측정이 취해진 것을 의미한다. 또한, 물품의 평균 두께의 정확한 표시를 얻기 위해, 측정 지점은 서로로붜 적어도 약 100 미크론 떨어져 있어야 한다. 다시 말해서, 어떤 측정 지점도 다른 측정 지점의 100 미크론 내에 있어서는 안된다.
전술한 바와 같이, 비아(120)(및 일부 실시예의 다른 특징)는, 이에 한정하지 않지만, 스퍼터링(sputtering), 무전해 및/또는 전해 도금, 화학 기상 증착, 및/또는 등을 포함하는 임의의 공지된 기술을 이용하여 전지 전도성 재료로 채워질 수 있다. 상기 전기 전도성 재료는, 예를 들어 구리, 은, 알루미늄, 티타늄, 금, 백금, 니켈, 텅스텐, 마그네슘 또는 임의의 다른 적절한 재료일 수 있다. 비아(120)가 충전될 때, 비아(120)는 기판(100)의 제1 주 표면(110) 및 제2 주 표면(112) 상에 배치된 전기 구성요소의 전기통로(electrical traces)를 전기적으로 결합시킬 수 있다.
비아(120)의 기하학적 형상은 비아(120)의 결과적인 채움의 품질에 중요한 역할을 한다. 비아(120)의 내부 형상(즉, 프로파일)은 금속화 공정의 성공에 중요한 역할을 할 수 있다. 예를 들어, 너무 모래시계(hourglass) 같은 형상의 비아는 좋지 못한 금속화 및 금속화 이후 불충분한 전기적 성능을 야기할 수 있다. 진공 증착 코팅과 같은, 금속화 공정은 표면의 일부 지점이 코팅 공정에서 다른 부분을 "그림자(shadow)"로 만들기 때문에, 종종 가시거리의 문제(line-of-sight issues)를 가지며, 이는 거친 텍스쳐의 가장 깊은 곳의 구역 또는 모래시계 형상의 비아의 낮은 영역에 도달할 수 없음을 의미한다. 동일한 모래시계 형상은 또한 예컨대 부품이 열 사이클링과 같은 환경적 스트레스에 노출될 때 균열 및 다른 파손이 일어날 수 있는, 금속화 후 신뢰성 문제를 야기할 수 있다. 게다가, 물품의 상단 및 바닥 표면을 따라, 비아(120)의 입구 및/또는 출구 근처의 함몰(depressions) 또는 융기부(mounds)은 재배치 층 공정이 적용될 때 도금, 코팅 및 접합 문제를 야기할 수 있다. 따라서, 구멍의 형태의 엄격한 제어는 기술적으로 실행 가능한 제품을 제조하기 위해 제공되어야 한다. 본 발명의 실시예는 원하는 기하학적 속성 및 공차를 가진 물품과, 그러한 기하학적 속성 및 공차를 가진 물품을 달성하기 위한 예시의 제조 공정을 제공한다.
기판(100)의 두께를 통과하는 상이한 단면 기하학적 형상을 가진 비아(120)에 대해 본원에서 구체적으로 언급되었지만, 비아(120)가 다른 단면 기하학적 형상의 변형을 포함할 수 있으며, 예컨대, 비아(120)의 임의의 특정 단면 기하학적 형상에 본원에 개시된 실시예가 한정되지 않는 것으로 이해되어야 한다. 더욱이, 비아(120)가 기판(100)의 평면에서 원형 단면을 갖는 것으로 도시되어 있지만, 비아(120)는 다른 평면 단면 기하학적 형상을 가질 수 있다. 예를 들어, 비아(120)는 이에 한정하지 않지만, 타원 단면, 사각형 단면, 직사각형 단면, 삼각형 단면, 및 등을 포함하여, 기판(100)의 평면에서 다양한 다른 단면 형상을 가질 수 있다. 또한, 상이한 평단면 기하학적 형상을 가진 비아(120)는 단일 인터포저 패널에 형성될 수 있다.
도 3a-3g는 각각 기판(100) 내에 다양한 예시의 비아를 개략적으로 도시한다. 도 3a, 3c, 3d, 3e 및 3f는 각각 관통 유리 비아를 도시하고 도 3g는 블라인드 비아를 도시한다. 본원에 제공된 설명의 일부는 도 3a-3g 중 특정한 하나에 대한 것일 수 있으며, 그러나 일반적으로 특별히 달리 언급되지 않는한, 도 3a-3g에 대해 도시된 다양한 실시예 중 어느 하나에 대해 적용될 수 있다.
도 3a는 실시예에 따른 예시의 비아(120)의 단면도이다. 비아(120)가 기판(100)의 제1 주 표면(110)과 제2 주 표면(112) 사이에 기판(100)을 관통하는 전체 거리를 연장하기 때문에 비아(120)는 일반적으로 관통 유리 비아일 수 있다. 제1 주 표면(110)과 제2 주 표면(112)은 일반적으로 서로 평행하거나 및/또는 서로 일정거리 이격될 수 있다. 일부 실시예에서, 제1 주 표면(110)과 제2 주 표면(112) 사이의 거리는 평균 두께(T)(도 2a)에 상응할 수 있다. 테이퍼 비아(120)는 일반적으로 테이퍼 비아(120)의 전체 길이를 연장하는 내부 벽(122)을 포함할 수 있다. 즉, 내부 벽(122)은 기판(100)의 제1 주 표면(110)에서 제2 주 표면(112)으로 연장된다. 내부 벽(122)은 복수의 테이퍼 영역을 포함하며, 여기서 각각의 테이퍼 영역은 본원에서 더 자세히 설명된 것처럼, 그 상대적인 기울기에 의해 다른 테이퍼 영역과 구별된다. 비제한적 예시에서, 도 3a는 제1 테이퍼 영역(124), 제2 테이퍼 영역(126), 및 제3 테이퍼 영역(128)을 가진 내부 벽(122)을 도시하며, 여기서 각각의 제1, 제2, 및 제3 테이퍼 영역(124, 126, 128)은 상이한 기울기를 갖는다. 내부 벽(122)은 본 발명의 기울기를 벗어나지 않고 더 크거나 더 작은 테이퍼 영역을 가질 수 있다.
제1 테이퍼 영역(124), 제2 테이퍼 영역(126), 및 제3 테이퍼 영역(128) 각각은 일반적으로 제1 주 표면(110)으로부터 제2 주 표면(112)을 향한 방향으로 연장될 수 있다. 일부 실시예에서, 테이퍼 영역이 제1 주 표면(110)과 제2 주 표면(112)에 수직한 방향으로 연장될 수 있지만, 이는 항상 그렇지는 않다. 즉, 일부 실시예에서, 테이퍼 영역은 제1 주 표면(110)으로부터 각도를 가지고, 그러나, 일반적으로는 제2 주 표면(112)을 향해 연장될 수 있다. 그러한 각도는 특정 테이퍼 영역의 기울기로서 나타낼 수 있다.
(제1 테이퍼 영역(124), 제2 테이퍼 영역(126), 및 제3 테이퍼 영역(128)을 포함하는) 내부 벽(122)의 다양한 테이퍼 영역 각각의 기울기는 본 발명에 한정되지 않는다. 즉, 테이퍼 영역(124, 126, 128) 각각은 특히 테이퍼 영역(124, 126, 128)의 이미지를 얻고, 얻어진 이미지로부터 테이퍼 영역(124, 126, 128)의 프로파일을 추출하고, 그리고 특정 지점, 복수의 지점, 및/또는 특정 영역에서 프로파일로부터 기울기를 결정하도록 구성되는 임의의 이미지 처리 소프트웨어에 의해 계산된 임의의 기울기를 가질 수 있다. 이미지 처리 소프트웨어의 하나의 그러한 예시는, 이에 한정하지 않지만, Igor Pro (WaveMetrics, Inc., Portland OR)를 포함할 수 있다.
더욱 구체적으로, 비아(120)의 프로파일(1405)의 이미지(1400)는 도 14a에 도시된 것처럼, 얻어질 수 있다. 그러한 이미지(1400)를 얻기 위해, 기판(100)(도 3a)은 비아(120)의 단면이 보이도록 비아(120)를 관통하는 제1 주 평면(110)과 제2 주 평면(112)(도 3a) 사이에서 연장하는 방향으로 슬라이스되어야 한다. 비아(120)의 프로파일(1405)의 이미지(1400)를 얻기 위해 광학 현미경, 주사 전자 현미경(SEM) 등이 사용될 수 있다.
도 14a 및 14b 모두를 참조하면, (박스를 형성하는 파선에 의해 나타내진) 관심 영역(1410)이 선택될 수 있다. 일부 실시예에서, 관심 영역(1410)은 전체 비아(120)를 포함할 수 있다. 다른 실시예에서, 관심 영역(1410)은 비아(120)의 일부만을 포함할 수 있다. 예를 들어, 비아(120)는 대칭 비아이며, 오직 비아(120)의 절반만이 관심 영역(1410)으로 선택될 수 있다(예컨대, 제1 주 표면(110)에서 평면 (P)로 연장되는 비아(120)의 일부, 도 3a 참조). 관심 영역(1410)을 선택한 후, (다양한 테이퍼 영역(124, 126, 128)을 포함하는) 비아(120)의 내부 벽(122)은 추적 라인(1415, traced line)을 얻기 위해 컴퓨터 소프트웨어를 이용하여 전기적으로 추적될 수 있다. 추적 라인(1415)은 추적 라인(1415)의 윤곽이 이미지(1400)에 비아(120)의 내부 벽(122)의 윤곽에 상응하도록 프로파일(1405)의 이미지(1400) 위에 그려질 수 있다. 그러한 추적 라인(1415)을 포함하는 그림은 본원에 더 자세히 설명된 것처럼, 상업적으로 이용가능한 데이터/이미지 처리 소프트웨어로부터 이용가능한 표준 엣지 탐지 기술을 이용하여 완성될 수 있다.
추적 라인(1415)은 이후 (다양한 테이퍼 영역(124, 126, 128)을 포함하는) 내부 벽(122)의 하나 이상의 부분의 기울기를 결정하도록 분석될 수 있다. 예를 들어, 도 15a에 도시된 바와 같이, 추적 라인(1415)은 그래프로 도시되고 본원에 설명된 컴퓨터 소프트웨어는 추적 라인(1415)의 하나 이상의 직선 영역을 결정하는데 사용된다. 직선 영역은 다음과 같이 정의된다: (1) 영역의 길이는 5 ㎛보다 작지 않고, 일반적으로 10 ㎛보다 클 수 있다; (2) 영역은 최소 자승 적합(a least squares fit)을 이용하여 선형 함수(y = a + bx)로 맞춰질 수 있으며, 여기서, x는 (표면에서 이격된) 깊이이고, y는 깊이 x에서 비아의 반지름이고, 최소 자승 적합 잔류의 절대 값은 1 ㎛ 이하이다(도 15b에서 상응하는 그래프에 의해 지칭된 것처럼, 잔류는 주어진 깊이(x)에서의 실제 반경(y)과 주어진 깊이(x)에서의 적합된 반경(y) 사이의 차이이다); 및 (3) 임의의 인접한 영역에 대한 적합 함수의 기울기는 적어도 0.01까지 상이해야 하며, 이는 테이퍼진 각도의 관점에서 0.57 도 차이로 변환된다. 상기 설명된 (1), (2), 및 (3)을 만족하는 영역은 일정한 기울기를 가진 영역으로서 나타낸다. 도 15a에 도시된 것처럼, 추적 라인(1415)은 4개의 뚜렷한 직선 영역을 갖는다: 지점 A와 B 사이의 영역, 지점 C와 D 사이 영역, 지점 E와 F 사이 영역, 및 지점 G와 H 사이의 영역. 이와 같이, 지점 A와 B 사이, 지점 C와 D사이, 지점 E와 F 사이, 및 지점 G와 H 사이의 영역의 기울기는 일정하다. 게다가, 지점 B와 C, 지점 D와 E 사이, 및 지점 F와 G 사이의 추적 라인(1415)의 구역은 본원에 더 자세히 설명된 것처럼, 일정한 기울기의 구역들 사이의 전이 구역일 수 있다.
도 3a를 다시 참고하면, 일부 실시예에서, 각 테이퍼 영역의 기울기는 특정 지점에서 특정 축에 대한 각도일 수 있다. 예를 들어, 일부 실시예에서, 기울기는 제1 주 표면(110) 및/또는 제2 주 표면(112)에 실질적으로 평행한 축에 대한 각도일 수 있다. 다른 실시예에서, 각 테이퍼 영역의 기울기는 제1 주 표면(110) 및/또는 제2 주 표면(112)에 실질적으로 수직인 축에 대한 각도일 수 있다. 일부 실시예에서, 각 테이퍼 영역의 기울기는 제1 주 표면(110) 및/또는 제2 주 표면(112)에 수직이며 평행한 축에 대한 비율로서 표현될 수 있다. 예를 들어, 각 테이퍼 영역의 기울기는 3 : 1로서 표현될 수 있으며, 이는 기울기가 일반적으로 제1 주 표면(110) 및/또는 제2 주 표면(112)에 수직인 제1 방향으로 3 유닛(units) 연장되는 제1 다리 및 제1 주 표면(110) 및/또는 제2 주 표면(112)에 평행한 제2 방향으로 1 유닛 연장되는 제2 다리를 가진 우측 삼각형의 빗변임을 의미한다. (제1 테이퍼 영역(124), 제2 테이퍼 영역(126), 및 제3 테이퍼 영역(128)을 포함하는) 테이퍼 영역의 예시의 기울기는 약 3 : 1 내지 약 100 : 1일 수 있으며, 약 3 : 1, 약 4 : 1, 약 5 : 1, 약 6 : 1, 약 7 : 1, 약 8 : 1, 약 9 : 1, 약 10 : 1, 약 20 : 1, 약 30 : 1 포함 , 약 40 : 1, 약 50 : 1, 약 60 : 1, 약 70 : 1, 약 80 : 1, 약 90 : 1, 약 100 : 1, 또는 이들 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함할 수 있다.
다양한 실시예에서, 각 테이퍼 영역의 기울기 사이의 전이 구역은 내부 벽(122)의 일정한 기울기의 영역이 끝나는 어떤 경우에 일어날 수 있다. 전이 구역의 예시는 지점 B와 C 사이, 지점 D와 E 사이, 및 지점 F와 G 사이의 추적 라인(1415)의 영역이다. 일부 실시예에서, 전이 구역의 기울기는 약 0.57도 이상, 약 1도 이상, 약 2도 이상, 약 3도 이상, 약 4도 이상, 또는 약 5도 이상까지 일정한 기울기의 영역의 기울기와 차이가 난다. 예를 들어, 도 3b에 도시된 바와 같이, 제2 테이퍼 영역(126)의 기울기로부터 제3 테이퍼 영역(128)의 기울기로의 전이 구역은 내부 벽(122)의 탄젠트 선(155)의 기울기가 제2 테이퍼 영역(126)의 일정한 기울기에서 적어도 0.57도 변하는 지점에서 일어날 수 있다. 상기 지점의 위치는 일반적으로 테이퍼 영역 중 하나에서 멀어지는 방향으로 내부 벽(122) 상의 연속 지점을 가로지르고, 상기 연속 지점 각각을 측정하고, 그리고 각각의 연속 지점이 특정 연속 지점 앞의 테이퍼 영역의 기울기에서 적어도 0.57도 변하는지 여부를 결정하는 전술한 이미지 처리 소프트웨어에 의해 결정될 수 있다. 일부 실시예에서, 이러한 전이 구역은 특정 지점(150)에 의해 한계가 정해질 수 있다. 다른 실시예에서, 이러한 전이 구역은 확장된 영역일 수 있다. 즉, 전이 구역은 제3 테이퍼 영역(128)의 기울기로의 제2 테이퍼 영역(126)의 기울기 사이의 전이가 특정 지점에서 일어난 것이 아니라, 전이 구역 내의 내부 벽(122)의 평균 기울기가 제2 테이퍼 영역(126)과 제3 테이퍼 영역(128)에서 약 0.57도 이상 변화하는 영역에서 일어나도록 서서히 일어난다.
일부 실시예에서, 테이퍼 영역들 사이의 전기 구역은 도 3a, 3c, 3f 및 3g에 도시된 것처럼, 뚜렸할 수 있다. 즉, 전이 영역은 특정 지점(150)(도 3b)이거나, 또는 각 테이퍼 영역이 다른 테이퍼 영역에 대해 시작되고 끝나는 곳을 더 쉽게 파악할 수 있도록 길이가 상대적으로 짧은 영역일 수 있다. 다른 실시예에서, 테이퍼 영역들 사이의 전이 구역은 도 3d 및 3e에 도시된 것처럼, 더 커져서, 내부 벽(122)의 기울기가 연속으로 변화하는 것으로 나타나고 각 테이퍼 영역이 다른 테이퍼 영역에 대해 시작되고 끝나는 곳을 파악하기 더 어려울 수 있다. 예를 들어, 도 3d에 도시된 것처럼, 제1 테이퍼 영역(124)과 제2 테이퍼 영역(126)의 기울기 사이의 전이 구역은 도 3a에 도시된 것처럼, 제1 테이퍼 영역(124)의 기울기와 제2 테이퍼 영역(126)의 기울기 사이의 전이 구역에 비해 더 길 수 있다.
다양한 테이퍼 영역의 각각의 길이는 변할 수 있으며, 일반적으로 본 개시에 의해 제한되지 않는다. 다양한 테이퍼 영역들 각각의 길이는 테이퍼 영역들의 수량, 제1 주 표면(110)과 제2 주 표면 사이의 거리, 각 테이퍼 영역의 기울기, 테이퍼 영역들 사이의 전이의 크기, 등에 기초할 수 있다. 각 특정 영역의 길이는 본원에 더 자세히 설명된 것처럼, 각 특정 영역에 대한 말단점에 기초할 수 있다. 예를 들어, 제1 테이퍼 영역(124)은 내부 벽(122)과 제1 주 표면(110)의 교차지점에 위치한 제1 말단점과 내부 벽(122)의 일정한 기울기가 끝나는, 예를 들어, 기울기가 제1 테이퍼 영역(124)의 기울기에서 적어도 0.57도 변하는 내부 벽(122) 상의 지점인 제2 말단점을 포함할 수 있다. 유사하게, 제2 테이퍼 영역(126)은 제2 주 표면(112)을 향한 제1 테이퍼 영역(124)과의 교차지점에서 연장될 수 있다. (조합된 모든 테이퍼 영역을 포함하는 총 길이를 포함하는) 다양한 테이퍼 영역에 대해 본원에 사용된 것처럼, 길이는 시작점에서 말단점으로 내부 벽(122)의 윤곽/프로파일을 따라갈 때 내부 벽(122)을 가로지르는 내부 벽(122)의 길이를 나타낸다는 것을 이해해야 한다.
일부 실시예에서,(제1 테이퍼 영역(124), 제2 테이퍼 영역(126), 및/또는 제3 테이퍼 영역(128)을 포함하는) 특정 테이퍼 영역의 길이는 약 15 미크론, 약 25 미크론, 약 50 미크론, 약 75 미크론, 약 100 미크론, 약 150 미크론, 약 200 미크론, 약 250 미크론, 약 300 미크론, 약 350 미크론, 약 360 미크론, 또는 이 값 중 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 15 미크론 내지 약 360 미크론일 수 있다.
비아(120)는 제1 주 표면(110)과 제2 주 표면(112) 사이에 위치하고 제1 주 표면(110)과 제2 주 표면(112) 사이에서 등거리인(예컨대, 제1 주 표면(110)과 제2 주 표면(112) 사이의 중간 높이) 평면(P)에 대해 대칭 또는 비대칭일 수 있다. 게다가, 평면 (P)는 또한 제1 주 표면(110)과 제2 주 표면(112)에 실질적으로 평행할 수 있다.
비아(120)가 평면(P)에 대해 대칭인 경우, 평면 (P)와 제1 주 표면(110) 사이의 제1 부분(130)의 내부 벽(122)의 다양한 테이퍼 영역은 평면(P)과 제2 주 표면(112) 사이의 제2 부분(140)의 내부 벽(122)의 다양한 테이퍼 영역의 거울 이미지일 수 있다. 즉, 제1 부분(130)의 평면(P)으로부터의 임의의 주어진 거리에서, 비아(120)의 지름은 제2 부분(140)의 평면(P)로부터의 상응하는 거리에서 비아(120)의 지름과 실질적으로 동일하다. 예를 들어, 도 3a 및 3d에 도시된 것처럼, 제1 부분(130)에서의 제1 주 표면(110)에서 비아(120)의 개구에서의 비아(120)의 제1 지름(D1)은 제2 주 표면(112)에서 비아(120)의 개구에서의 비아(120)의 제2 지름(D2)과 실질적으로 동일하다. 대칭 형태에 대해 본원에 사용된 것처럼, "실질적으로 동일하다"는 표현은 오차 한계 내에서 동일한 지름을 나타낸다. 오차 한계는 3 미크론 이하, 약 2 미크론 이하, 1 미크론 이하, 약 0.5 미크론 이하, 약 0.25 미크론 이하, 약 0.25 미크론 이하, 또는 약 0.1 미크론 또는 약 0 미크론일 수 있다.
대조적으로, 도 3c, 3e, 및 3f에 도시된 것처럼, 다른 비아(120')가 평면 (P)에 대해 비대칭인 경우, 제1 부분(130)에서의 내부 벽(122)의 다양한 테이퍼 영역은 제2 부분(140)의 내부 벽(122)의 다양한 테이퍼 영역의 거울 이미지가 아니다. 즉, 도 3c, 3e, 및 3fdp 도시된 것처럼, 제1 부분(130) 상의 어떤 주어진 위치에서 비아(120')의 제1 지름(D1)은 제2 부분(140)의 상응하는 위치에서 비아(120')의 제2 지름(D2)과 동일하지 않다. 특히 도 3g의 블라인드 비아에 도시된 것처럼, 비아(120')는 평면(P)의 한쪽 측면에 제1 부분(130)이 평면(P) 아래의 제2 부분(140)의 대칭 이미지가 아니기 때문에 비대칭이다. 더욱이, 비아(120')는, 블라인트 비아이므로, 제2 주 표면(112) 상에 개구를 포함하지 않는다. 오히려, 비아(120')는 오직 제1 주 표면(110)에만 개구를 포함한다.
도 4에 도시된 것처럼, 비아(120)는 평면(P)에 특정 허리 지름(W)을 가질 수 있다. 일부 실시예에서, 허리 지름(W)은 제1 지름(D1) 및 제2 지름(미도시) 중 가장 큰 것의 약 80 % 이상일 수 있다. 다른 실시예에서, 허리 지름(W, waist diameter)은 제1 지름(D1)과 제2 지름 중 가장 큰 것의 약 20 % 내지 약 100 %의 범위 내에 있을 수 있다. 다른 실시예에서, 허리 지름(W)은 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 85 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 90 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 30 % 내지 약 100 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 40 % 내지 약 100 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 50 % 내지 약 100 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 60 % 내지 약 100 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 70 % 내지 약 100 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 80 % 내지 약 100 %, 제1 지름(D1) 및 제2 지름 중 가장 큰 것의 약 90 % 내지 약 100 %일 수 있다. 일부 실시예에서, 허리 지름은 약 5 미크론, 약 10 미크론, 약 25 미크론, 약 50 미크론, 약 100 미크론, 약 200 미크론, 또는 이들 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 5 미크론 내지 약 200 미크론일 수 있다.
도 5a는 하나 이상의 실시예에 따른 적어도 하나의 대칭의 테이퍼 비아를 포함하는 유리 기반 기판을 형성하는 예시의 방법을 도시한다. 도 5a에 도시된 단계들은 단지 예시이며, 단계들은 포함된 단계들을 제외하거나 추가할 수 있다. 일부 실시예에서, 본원에 더 자세히 설명된 것처럼, 도 5a에 대해 설명된 방법으로 형성된 유리 기반 기판은 복수의 테이퍼 영역을 가진 내부 벽과 평면에 대해 대칭인 단면을 가진 관통 비아를 포함할 수 있다.
단계 (505)에서, 평평한 유리 기반 기판이 제공될 수 있다. 본원에 설명된 것처럼, 유리 기반 기판은 일반적으로 그를 통해 비아가 생성되기 적합한 임의의 유리 기반 기판일 수 있다. 유리 기반 기판은 임의의 적절한 두께 및/또는 형태를 가질 수 있으며, 예를 들어, 0.7 mm 두께에 150 mm 지름의 웨이퍼일 수 있다.
단계 (510)에서, 하나 이상의 레이저 손상 영역 또는 파일럿 홀(pilot holes)은 유리 기반 기판에 형성될 수 있다. 레이저 손상 영역은 에칭 용액의 적용시 비손상 영역보다 더 빠른 에칭 속도로 에칭되는 기판(100) 내에 손상 구역을 생성한다. 하나 이상의 손상 트랙(tracks)은 미국 특허 공개 번호 2015/0166395에 개시된, 라인-포커싱된 레이저(line-focused laser)를 통해 형성될 수 있으며, 이는 그 전체가 본원에 포함된다. 그러나, 본 발명은 이러한 레이저에 한정되지 않으며, 하나 이상의 손상 트랙은 본 발명의 범주를 벗어나지 않는 한 다른 레이저로 형성될 수 있다. 레이저의 에너지 밀도(예컨대, 유리 기반 기판으로 전달되는 에너지)는 유리 기반 기판의 적어도 일부를 따라(예컨대, 관통 유리 비아가 필요한 경우 유리 기반 기판의 전체 폭을 따라) 그리고 레이저 전체 축을 따라 손상 한계 이상이 되도록 선택될 수 있다. 블라인드 비아를 원하는 실시예에서, 하나 이상의 손상 트랙을 형성하는 것은 유리 기반 기판에 전달되는 에너지가 제1 세트의 손상 트랙의 손상 한계 이상이며 제2 세트의 손상 트랙에 대한 손상 한계 이하가 되도록 기판의 제1 주 표면에 제1 세트의 손상 트랙 및 제2 주 표면에 제2 세트의 손상 트랙을 형성하는 단계를 포함할 수 있다. 유리 기반 기판에 하나 이상의 손상 트랙을 형성하는 다른 수단은 일반적으로 본 발명의 범주 내에 포함되는 것으로 이해되고 의도된다.
손상 트랙을 형성하는 것은 임의의 성형 기술을 포함할 수 있으며, 본 발명은 임의의 특정 기술에 한정되지 않는다. 예시의 성형 기술은 이에 한정하지 않지만, 기계 드릴링, 에칭, 레이저 절제, 레이저 보조 공정, 레이저 손상 및 에칭 공정, 연마 블라스팅, 연마 워터 제트 가공, 포커싱된 전열 에너지, 또는 임의의 다른 적합한 성형 기술을 포함할 수 있다.
단계 (515)에서, 유리 기반 기판은 예를 들어, 부식제 욕조에 배치되어 부식제에 노출되고, 특정 에칭 속도로 에칭되어 비아의 적어도 일부를 형성하기 위해 레이저 손상된 영역을 제거하고 및/또는 파일럿 홀을 확대한다. 다른 실시예에서, 부식제에 대한 노출은 이에 한정되지 않지만, 부식제 분사, 또는 부식제 크림 도포를 포함하는 어떤 종래의 수단을 통해 성취될 수 있다. 제1 부식제는 예를 들어, 산성 부식제 또는 염기성 부식제일 수 있다. 산성 부식제의 예시는 이에 한정하지 않지만, 일정량의 질산(HNO3)을 포함하는 부식제, 불화수소산(HF)을 포함하는 부식제, 및/또는 등을 포함한다. 염기성 부식제의 예시는 이에 한정하지 않지만, 수산화 나트륨(NaOH), 수산화칼륨(KOH), 수산화암모늄(NH4OH), 등과 같은 알칼리인 부식제를 포함한다. 일부 실시예에서, 제1 부식제 욕조(bath)는 약 9.8 % (w/w) 불화수소산 수용액의 고여있는 (예컨대, 교반되지 않은) 욕조일 수 있다. 그러나, 공지되거나 이후 개발된 다른 부식제 욕조가 또한 본 발명의 범주를 벗어나지 않는한 사용될 수 있다. 제1 에칭 속도는 유사하게 본 발명에 의해 한정되지 않으며, 임의의 에칭 속도일 수 있다. 일부 실시예에서, 제1 에칭 속도는, 약 2.8 nm/min, 약 2.9 nm/min, 약 3.0 nm/min, 약 3.1 nm/min, 약 3.2 nm/min, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 2.8 nm/min 내지 약 3.2 nm/min 일 수 있다. 일부 실시예에서, 단계 (515)는 본원에 더 자세히 설명된 것처럼, 비아의 제1 테이퍼 영역을 생성할 수 있다.
일정 시간이 경과한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 단계 (520)에서 유리 기반 기판이 부식제(예컨대, 부식제 욕조)로부터 제거될 수 있다. 일부 실시예에서, 특정 시간은 예를 들어 약 5 분, 약 15 분, 약 30 분, 약 60 분, 약 120 분 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 5 분 내지 약 120 분일 수 있다. 특정 실시예에서, 특정 시간은 약 75 분일 수 있다. 다른 특정 실시예에서, 특정 시간은 약 14 분일 수 있다. 다른 기간은 본 발명의 범주를 벗어나지 않으면서 고려된다. 일부 실시예에서, 제거되는 유리 기반 기판의 특정 양은 예를 들어, 재료의 약 10 미크론 내지 약 200 미크론의 일 수 있으며, 이는 제1 주 표면 및 제2 주 표면 중 하나로부터 측정된 것이고, 재료의 약 10 미크론의 재료, 재료의 약 50 미크론, 재료의 약 100 미크론, 재료의 약 150 미크론, 재료의 약 200 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함한다. 특정 실시예에서, 제1 주 표면 및 제2 주 표면 중 하나로부터 측정된 약 42 미크론 또는 약 180 미크론의 재료가 제거될 수 있다.
단계 (525)에서, 유리 기반 기판은 부식제 재료로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은, 예를 들어, 0.5M HCl 용액과 같은 염산(HCl)을 포함하는 용액으로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 탈염수(deionized water)로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 제1 린스(rinse)로 세정되고 이어서 제2 린스로 세정될 수 있다. 예를 들어, 유리 기반 기판을 0.5M HCl 용액으로 세정하고 이어서 탈염수 용액으로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 모든 부식제 재료가 제거되고 및/또는 부식제로부터 제거된 모든 웨이퍼 재료가 분리되는 것을 보장하기 위해, 예를 들어 약 10분과 같이 특정 기간 동안 세정될 수 있다. 특정 실시예에서, 유리 기반 기판을 0.5M HCl 용액에서 10 분 동안 세정하고 이어서 탈염수로 10 분 동안 세정될 수 있다.
단계 (535)에서, 유리 기반 기판은 예를 들어, 부식제 욕조(제2 부식제 욕조)에 배치되어 부식제에 노출되고, 특정 에칭 속도(예컨대, 제2 에칭 속도)로 에칭된다. 다른 실시예에서, 부식제에 대한 노출은 이에 한정되지 않지만, 부식제 분사, 또는 부식제 크림 도포를 포함하는 어떤 종래의 수단을 통해 성취될 수 있다. 제2 부식제는, 예를 들어, 산성 부식제 또는 염기성 부식제일 수 있다. 제2 부식제는 일반적으로 제1 부식제와 상이한 농도를 가질 수 있다. 예를 들어, 전술한 바와 같은 제1 부식제는 제2 부식제보다 더 높은 농도의 산성 부식제 또는 염기성 부식제를 가질 수 있다. 다른 실시예에서, 제1 부식제는 제2 부식제보다 더 낮은 농도의 산성 부식제 또는 염기성 부식제를 가질 수 있다. 특정 실시예에서, 제2 부식제 욕조는 6M NaOH 용액일 수 있다. 그러나, 현재 공지되거나 이후에 개발된 다른 부식제가 또한 본 발명의 범주를 벗어나지 않고 사용될 수 있다. 제2 에칭 속도는 본 개시에 의해 유사하게 제한되지 않으며, 임의의 에칭 속도일 수 있다. 특정 실시예에서, 제2 에칭 속도는 약 30 nm/min일 수 있다. 일부 실시예에서, 전술한 바와 같은 제1 에칭 속도는 제2 에칭 속도보다 클 수 있다. 다른 실시예에서, 제1 에칭 속도는 제2 에칭 속도보다 작을 수 있다. 일부 실시예에서, 단계 (535)는 본원에서 더 상세히 설명되는 바와 같이, 비아의 제2 테이퍼 영역을 생성할 수 있다.
일정 시간이 경과 한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 단계 (540)에서 유리 기반 기판이 부식제(예컨대, 부식제 욕조)로부터 제거될 수 있다. 일부 실시예에서, 특정 시간은 예를 들어 약 3 일일 수 있다. 다른 실시예에서, 특정 시간은 약 6 시간일 수 있다. 다른 기간은 본 발명의 범위를 벗어나지 않으면서 고려된다. 일부 실시예에서, 제거되는 특정 량의 유리 기반 기판은 예를 들어 제1 주 표면 및 제2 주 표면 중 하나로부터 측정될 때 약 65 미크론의 재료일 수 있다.
부식제는 본원에서 구체적으로 설명되지 않은 하나 이상의 다른 특성을 가질 수 있다. 예를 들어, 일부 실시예에서, 부식제 욕조는 특정 온도로 유지될 수 있다. 이러한 예시적인 온도 중 하나는 약 85 ℃이다.
단계 (545)에서, 유리 기반 기판이 세정되어 부식제 재료를 제거할 수 있다. 예를 들어, 유리 기반 기판은 탈염수로 세정될 수 있다. 결과적인 유리 기반 기판은 하나 이상의 기하학적 특성을 갖는 적어도 하나의 대칭 비아를 포함한다. 예를 들어, 결과적인 비아는 제1 주 표면 및 제2 주 표면에 약 100 미크론의 직경, 약 40 미크론의 허리 직경, 및 3 : 1 테이퍼에서 제1 주 표면에서 제2 주 표면을 향해 약 115 미크론 연장되는 제1 테이퍼 영역 및 30 : 1 테이퍼로 기판의 중심과 제1 테이퍼 영역과의 교차지점 사이에서 연장되는 제2 테이퍼 영역을 가진 내부 벽을 가질 수 있다.
추가 테이퍼 영역을 생성하기 위해, 단계 (535) 내지 단계 (545)와 관련하여 도시된 공정은 예를 들어 도 5b에 도시된 바와 같이, 각각의 추가 테이퍼 영역에 대해 반복될 수 있다. 부식제 욕조의 하나 이상의 특성을 변경 및/또는 에칭 속도를 변경하면 특정 특성을 갖는 추가 테이퍼 영역이 생성될 수 있음을 이해해야 한다.
예시 1은 아래에서 도 5a에 대해 본원에 설명된 단계를 이용하여 생성된 비아의 특정 예시를 설명한다:
예시 1
대칭의 조각 단위 테이퍼(piece-wise taper)를 가진 관통 유리 비아는 다음 단계로 형성될 수 있다.
- 손상 트랙은 처음에 0.7 mm 두께에 150 mm 지름의 유리 기반 웨이퍼로 1064 nm 피코초 레이저(picosecond laser)를 이용하여 형성되었다. 이 에너지 밀도는 레이저의 전체 축을 따라 유리 기반 웨이퍼의 손상 한계 이상으로 선택되었다.
- 상기 웨이퍼는 75 분 동안 9.8% (w/w) 불화수소산 수용액의 고여있는 욕조에 배치된다(~ 180 미크론 제거). 이런 공정은 약 3(예컨대, 2.8 - 3.2)의 에칭 속도를 갖는다.
- 이 웨이퍼는 이후 10 분 동안 0.5M HCl에서 세정되고 10분 동안 DI 수(탈염수)로 세정된다.
- 이 웨이퍼는 이후 85 ℃에서 6M 수산화 나트륨 욕조에서 3 일간 침지되었다(~ 65 미크론 제거). 이 공정은 약 30의 에칭 속도를 가졌다.
- 이 공정 캐리어는 이후 알칼리성 욕조에서 제거되어 다량의 DI 수로 세정되었다.
- 이는 100 미크론의 전체 지름, 40 미크론의 허리 지름, 표면에서 제1 115 미크론 깊이에 대한 3 : 1 테이퍼 및 기판의 중심으로 거리의 나머지에 대한 30 : 1 테이퍼로 비아가 개방된 기판을 야기한다.
일부 실시예에서, 도 5a에 대해 설명된 공정의 적어도 일부가 블라인드 비아를 생성하기 위해 또한 사용될 수 있다. 예시 2는 예시의 공정을 도시한다.
예시 2
조각 단위 다양한 측벽 테이퍼를 가진 블라인드 비아는 다음 단계를 통해 형성될 수 있다:
- 손상 트랙은 처음에 0.7 mm 두께에 150 mm 지름의 유리 기반 웨이퍼로 1064 nm 피코초 레이저(picosecond laser)를 이용하여 형성되었다. 이 에너지 밀도는 유리의 중심을 향해 그리고 일측에 유리 기반 웨이퍼의 유리 조성의 손상 한계 이하이다.
- 상기 웨이퍼는 14 분 동안 9.8% (w/w) 불화수소산 수용액의 고여있는 욕조에 배치된다(~ 42 미크론 제거). 이런 공정은 약 3(예컨대, 2.8 - 3.2)의 에칭 속도를 갖는다.
- 이 웨이퍼는 이후 10 분 동안 0.5M HCl에서 세정되고 10분 동안 DI 수로 세정된다.
- 이 웨이퍼는 이후 85 ℃에서 6M 수산화 나트륨 욕조에서 6시간 동안 침지되었다(~ 6 미크론 제거). 이 공정은 약 30의 에칭 속도를 가졌다.
- 캐리어는 이후 알칼리성 욕조에서 제거되어 다량의 DI 수로 세정되었다.
- 이는 36 미크론의 전체 지름, 228 미크론의 깊이, 표면에서 제1 54 미크론 깊이에 대한 3 : 1 테이퍼 및 도 6에 도시된 바와 같이, 블라인트 비아의 단부로 거리의 나머지에 대한 30 : 1 테이퍼로 비아가 개방된 기판을 야기한다.
도 7은 본원에 설명된 것처럼 하나 이상의 비아를 가진 유리 기반 기판을 형성하는 다른 방법이 도시된다. 결과적인 유리 기반 기판은, 하나 이상의 비아는 본원에 설명된 것처럼, 다양한 기울기의 별개의 테이퍼 영역을 갖는, 도 5a에 따른 방법으로 형성된 유리 기반 기판과 대조적으로, 예를 들어 도 3d에 도시된 것처럼, 연속적으로 기울기가 변하는 측벽을 가진 하나 이상의 대칭 비아를 가질 수 있다. 도 7의 단계는 단지 예시이며, 단계들은 포함된 단계를 제외하거나 추가할 수 있다. 단계 (705)에서, 평평한 유리 기반 기판이 제공될 수 있다. 본원에 도시된 것처럼, 유리 기반 기판은 일반적으로 그를 통과해 비아가 생성되기 적합한 유리 기반 기판일 수 있다. 특정 예시에서, 유리 기반 기판은 0.5 mm 두께와 150 mm 지름의 웨이퍼일 수 있다.
단계 (710)에서, 하나 이상의 손상 영역 또는 파일럿 홀이 도 5a의 단계 (510)와 관련하여 전술된 바와 같이, 유리 기반 기판 상에 형성될 수 있다. 레이저의 에너지 밀도(예를 들어, 유리 기반 기판으로 전달된 에너지)는 유리 기반 기판의 적어도 일부를 따라(예를 들어, 관통 비아가 필요한 경우 유리 기반 기판의 전체 폭을 따라) 그리고 레이저의 전체 축을 따라 손상 한계 이상이 되도록 선택될 수 있다. 블라인드 비아가 요구되는 실시예에서, 하나 이상의 손상 트랙을 형성하는 단계는 유리 기반 기판으로 전달된 에너지가 제1 세트의 손상 트랙의 손상 한계보다 높고, 제2 세트의 손상 트랙의 손상 한계보다 낮도록 기판의 제1 주 표면에 제1 세트의 손상 트랙을 형성하고 제2 주 표면에 제2 세트의 손상 트랙을 형성하는 단계를 포함한다. 유리 기반 기판 상에 하나 이상의 손상 트랙을 형성하는 다른 수단이 일반적으로 본 개시의 범위 내에 포함되는 것으로 이해되고 의도된다.
단계 (715)에서, 유리 기반 기판은 부식제, 예를 들어 부식제 욕조에 노출된다. 다른 실시예에서, 부식제에 대한 노출은 이에 한정되지 않지만, 부식제 분사, 또는 부식제 크림 도포를 포함하는 어떤 종래의 수단을 통해 성취될 수 있다. 제1 부식제는 예를 들어, 산성 부식제 또는 염기성 부식제일 수 있다. 일부 실시예에서, 부식제는 고여있는 욕조일 수 있다. 부식제는 일반적으로 수용액, 물, 물의 혼합물 및 기타 극성 유기 용제(water miscible organic solvents), 예컨대 에틸렌 글리콜, 프로필렌 글리콜 등을 포함하는 임의의 용액일 수 있다. 일부 실시예에서, 부식제는 수산화 나트륨 용액을 포함할 수 있다. 일부 실시예에서, 부식제는 수산화 칼륨 용액을 함유할 수 있다. 부식제의 농도는 예를 들어 약 4M 내지 약 12M 일 수 있다. 특정 실시예에서, 부식제는 약 12M 수산화 나트륨 수용액을 함유할 수 있다. 그러나, 현재 공지되거나 이후에 개발된 다른 부식제가 본 발명의 범주를 벗어나지 않고 사용될 수 있다.
부식제(예를 들어, 부식제 욕조)는 초기에 특정 온도에 배치될 수 있고, 온도는 단계 (720)에서 일정 기간에 걸쳐 조절될 수 있다. 즉, 시간이 지남에 따라, 부식제 온도가 증가 및/또는 감소될 수 있다. 예를 들어, 온도는 시간에 따라 증가하거나, 시간에 따라 감소하고, 시간에 따라 교대로 증분되어 증가 및 감소될 수 있다. 온도의 증가 및 감소는 선형으로 (예를 들어, 지속적으로 온도 증가 또는 감소) 일어날 수 있거나 또는 단계적인 방식으로 (예를 들어, 특정 시간 경과 후 특정 양의 온도를 증가 또는 감소) 발생할 수 있다. 일부 실시예에서, 기간은 특정 에칭 공정을 완료하는데 필요한 시간의 양에 상응할 수 있다. 부식제 온도는 본 개시에 의해 제한되지 않으며, 본원에 개시된 에칭 공정에 적합한 것으로 이해되는 임의 온도, 특정 온도일 수 있다. 예를 들어, 욕조 온도는 약 95 ℃ 내지 약 130 ℃, 예컨대 약 95 ℃, 약 100 ℃, 약 110 ℃, 약 120 ℃, 약 130 ℃, 또는 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)일 수 있다. 부식액의 온도는 반응 시간에 영향을 줄 수 있다. 특정 실시예에서, 부식제는 초기에 120℃로 설정되고 12시간 동의 코스로 130 ℃로 선형적으로 증가될 수 있고, 이어서 약 22 시간 동안 130 ℃의 일정한 온도에서 방치될 수 있다.
일부 실시예에서, 부식제 (예를 들어, 부식제 욕조) 내의 산성 또는 염기성 부식제의 농도는 단계 (725)에서 조절될 수 있다. 즉, 욕조 내의 부식제의 농도는 시간이 지남에 따라 증가 및/또는 감소될 수 있다. 예를 들어, 농도는 시간에 따라 증가하거나, 시간에 따라 감소하고, 시간에 따라 교대로 증분되어 증가 및 감소될 수 있다. 농도의 증가 및 감소는 선형으로 (예를 들어, 농도를 지속적으로 증가 또는 감소) 일어날 수 있거나 단계적으로 (예를 들어, 특정 기간이 경과한 후 특정 양의 농도를 증가 또는 감소) 발생할 수 있다. 일부 실시예에서, 기간은 특정 에칭 공정을 완료하는데 필요한 시간의 양에 상응할 수 있다. 부식제 농도는 본 개시에 의해 제한되지 않으며, 일반적으로 본원에 기술된 에칭 공정에 적합한 것으로 이해되는 임의의 부식제 농도, 특정 부식제 농도일 수 있다.
일부 실시예에서, 부식제 욕조에 가해지는 교반 정도는 단계 (730)에서 조절될 수 있다. 즉, 부식제 욕조는 시간에 따라 다소 격렬하게 교반될 수 있다. 교반은 예를 들어 기계적 교반, 초음파 처리(sonication), 통상적인 혼합, 통상적 인 교반 및 이들의 임의의 조합과 같은 일반적으로 이해되는 교반 공정을 통해 완료될 수 있다. 교반 정도는 시간에 따라 증가될 수 있고, 시간에 따라 감소될 수 있고, 시간에 따라 교번 증분되어 증가 및 감소될 수 있다. 교반 정도의 증가 및 감소는 선형으로 (예를 들어, 지속적으로 교반 정도를 증가 또는 감소) 또는 단계적인 방식으로 (예를 들어, 특정 시간이 경과한 후 특정 양의 교반 정도를 증가 또는 감소시킬 수 있음) 발생할 수 있다. 일부 실시예에서, 기간은 특정 에칭 공정을 완료하는데 필요한 시간의 양에 상응할 수 있다. 교반 정도는 본 개시에 의해 제한되지 않으며, 특히 본원에 기술된 에칭 공정에 적합한 것으로 이해되는 일반적으로 임의의 교반 정도, 특정 부식제 정도일 수 있다.
단계 (735)에서, 기판이 에칭될 수 있다. 에칭 공정은 단계 (715)에서 기판이 부식제에 노출되자마자 발생할 수 있고 전술한 바와 같은 다양한 조절 공정 동안 계속 발생할 수 있음을 이해해야 한다. 에칭이 발생한 후, 기판은 욕조로부터 제거될 수 있다. 에칭에 필요한 기간은 본 개시에 의해 제한되지 않으며, 일반적으로 본원에 기술된 바와 같이 에칭을 완료하는데 필요한 임의의 기간일 수 있다. 예를 들어, 기간은 약 10 시간 내지 약 200 시간, 예컨대 약 10 시간, 약 50 시간, 약 100 시간, 약 150 시간, 약 200 시간, 또는 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)일 수 있으나, 이에 제한되지는 않는다.
단계 (740)에서, 유리 기반 기판은 본원에 설명된 것처럼, 부식제 재료로 세정될 수 있다. 예를 들어, 유리 기반 기판은 0.5M HCl 용액으로 세정될 수 있고 이어서 탈염수 용액으로 세정될 수 있다. 특정 실시예에서, 유리 기반 기판을 0.5M HCl 용액에서 10 분 동안 세정하고 이어서 탈염수로 10 분 동안 세정할 수 있다.
예시 3은 도 7에 대해 설명된 다양한 공정을 따라 형성된 비아를 도시한다.
예시 3
대칭의 조각 단위 테이퍼를 가진 관통 유리 비아는 다음 단계로 형성될 수 이따:
- 손상 트랙은 처음에 1064 nm 피코초 레이저를 사용하여 0.5 mm 두께와 150 mm 지름의 유리 기반 웨이퍼로 형성된다. 이 에너지 밀도는 레이저의 전체 축을 따라 유리 기반 웨이퍼의 손상 한계 이상으로 선택되었다.
- 상기 웨이퍼는 12M 수산화 나트륨 수용액의 고여있는 욕조에 배치된다. 이런 공정은 초기에 120도로 설정되지만 12 시간의 코스에 걸쳐 130도까지 선형으로 증가된다.
- 웨이퍼는 이후 130도의 일정한 온도에서 추가로 22시간동안 에칭된다.
- 이 웨이퍼는 이후 10 분 동안 0.5M HCl에서 세정되고 10분 동안 DI 수로 세정된다. 이는 도 8에 도시된 것과 같은 프로파일을 가진 비아를 생성한다.
예시 4는 도 7에 대해 설명된 다양한 공정에 따라 형성되 다른 비아를 도시한다.
예시 4
연속으로 변화하는 측벽을 가진 블라인드 비아는 다음의 단계로 형성될 수 있다.
- 손상 트랙은 처음에 1064 nm 피코초 레이저를 사용하여 0.7 mm 두께와 150 mm 지름의 유리 기반 웨이퍼로 형성된다. 이 에너지 밀도는 유리의 중심을 향해 그리고 일측에 유리 기반 웨이퍼의 유리 조성의 손상 한계 이하이다.
- 상기 웨이퍼는 12M 수산화 나트륨 수용액의 고여있는 욕조에 배치된다. 이런 공정은 초기에 120도로 설정되지만 12 시간의 코스에 걸쳐 130도까지 선형으로 증가된다.
- 웨이퍼는 이후 10 분 동안 0.5M HCl에서 세정되고 10분 동안 DI 수로 세정된다.
- 이는 16 미크론의 전체 지름과 도 9에 도시된 측벽 프로파일로 개방된 기판을 야기한다.
도 10은 실시예에 따른 복수의 테이퍼 영역을 가진 적어도 하나의 비대칭 비아(예를 들어, 도 3g에 도시된 블라인드 비아 또는 도 3c 또는 도 3f에 도시된 유리 관통 비아)를 포함하는 유리 기반 기판을 형성하는 예시의 방법을 도시한다. 도 10에 도시된 단계는 단지 예시이며 단계들은 포함된 단계를 제외하거나 추가할 수 있다.
단계 (1005)에서, 평평한 유리 기반 기판이 제공될 수 있다. 특정 예시에서, 유리 기반 기판은 0.75 mm 두께의 150 mm 직경 웨이퍼일 수 있다. 단계 (1010)에서, 도 5a의 단계 (510)에 대해 전술한 바와 같이, 하나 이상의 손상 트랙은 유리 기반 기판 상에 형성될 수 있다.
단계 (1015)에서, 제1 에칭 저항성 코팅(예를 들어, 희생 코팅)이 유리 기반 기판의 제1 측면(예를 들어, 제1 표면)에 도포된다. 제1 에칭 저항성 코팅은 일반적으로 본원에 기술된 바와 같이, 유리 기반 기판이 부식제, 예를 들어 부식제 욕조에 노출될 때, 에칭을 피하기 위해 유리 기반 기판 상에 배치된 임의의 코팅 일 수 있다. 또한, 제1 에칭 저항성 코팅은 일시적으로 적용될 수 있는 임의의 코팅일 수 있다. 즉, 제1 에칭 저항성 코팅은 본원에서 더 상세히 설명되는 바와 같이, 유리 기반 기판으로부터 후속으로 제거 가능한 재료일 수 있다. 제1 에칭 저항성 코팅은 임의의 적합한 공정을 통해 유리 기반 기판의 제1 측면에 적용될 수 있다. 사용될 수 있는 공정의 예시적인 예시는 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 이온화 증착, 물리 기상 증착(PVD), 및 재료의 직접 도포를 포함한다. 제1 에칭 저항성 코팅의 예시적인 예시는 도핑 및 도핑되지 않은 산화 규소, 탄화 규소 및 질화 규소, 폴리테트라플루오로에틸렌(PTFE ,polytetrafluoroethylene) 테이프 등을 포함하는 코팅을 포함할 수 있지만, 이에 제한되지는 않는다. 유리 기반 기판의 제1 측면은 유리 기반 기판의 제1 주 표면 또는 유리 기반 기판의 제2 주 표면일 수 있다. 특정 실시예에서, 유리 기반 기판의 제1 측면은 PTFE 테이프로 마스킹되고 PTFE 테이프의 에지를 표면에 밀봉하기 위해 O-링이 유리 기반 기판의 에지에 대해 가압된다.
단계 (1025)에서, 유리 기반 기판은 부식제, 예를 들어, 부식제 욕조(예를 들어, 제1 부식제 욕조)에 노출되고 특정 에칭 속도(예를 들어, 제1 에칭 속도)로 에칭된다. 다른 실시예에서, 부식제에 대한 노출은 부식제를 분무하거나 부식제 크림을 도포하는 것을 포함하지만, 이에 제한되지 않는 임의의 통상적인 수단을 통해 달성될 수 있다. 제1 부식제는, 예를 들어, 산성 부식제 또는 염기성 부식제일 수 있다. 부식제는 일반적으로 수용액, 물, 물의 혼합물 및 기타 극성 유기 용제, 예컨대 에틸렌 글리콜, 프로필렌 글리콜 등을 포함하는 임의의 용액일 수 있다. 일부 실시 예에서, 부식제는 수산화 나트륨 용액을 함유할 수 있다. 일부 실시예에서, 부식제는 수산화 칼륨 용액을 함유할 수 있다. 부식제의 농도는 예를 들어 약 4 M 내지 약 12 M일 수있다. 특정 실시예에서, 제1 부식제는 6M NaOH 용액 일 수 있다. 그러나, 현재 공지되거나 이후에 개발된 다른 부식제가 본 발명의 범주를 벗어나지 않고 사용될 수 있다. 제1 에칭 속도는 본 개시에 의해 유사하게 제한되지 않으며, 임의의 에칭 속도일 수 있다. 에칭 속도의 예시적인 예시는 약 10 nm/min, 약 20 nm/min, 약 20 nm/min, 약 30 nm/min, 약 40 nm/min, 약 50 nm/min, 약 60 nm/min, 약 70 nm/min, 약 80 nm/min, 약 90 nm/min, 약 100 nm/min, 또는 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 10 nm/min 내지 약 100 nm/min일 수 있지만, 이에 제한되지는 않는다. 특정 실시예에서, 제1 에칭 속도는 약 30 nm/min일 수있다. 일부 실시예에서, 전술한 바와 같은 제1 에칭 속도는 (후술되는) 제2 에칭 속도보다 클 수 있다. 다른 실시예에서, 제1 에칭 속도는 제2 에칭 속도보다 작을 수 있다. 일부 실시예들에서, 단계 (1025)에 따라 기판을 에칭하는 단계는 비아 각각에 대해 제1 테이퍼 영역을 야기할 수 있다.
제1 부식제는 본원에서 구체적으로 설명되지 않은 하나 이상의 다른 특성을 가질 수 있다. 예를 들어, 일부 실시예에서, 부식제 욕조는 특정 온도로 유지 될 수 있다. 이러한 예시적인 온도 중 하나는 약 85 ℃이다.
일정 시간이 경과 한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 단계 (1030)에서 유리 기반 기판이 부식제(예를 들어, 부식제 욕조)로부터 제거될 수 있다. 일부 실시예에서, 특정 시간은 예를 들어 약 10 시간일 수 있다. 예를 들어, 도 7과 관련하여 전술한 기간과 같이, 다른 기간도 본 개시의 범위를 벗어나지 않고 고려된다. 제거되는 유리 기반 기판의 특정 양은 비아의 원하는 형상 및 크기에 따라 달라질 수 있다. 예를 들어, 제1 주 표면 및 제2 주 표면 중 하나로부터 측정될 때, 약 1 미크론, 약 5 미크론, 약 10 미크론, 약 15 미크론, 약 20 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 1 미크론의 재료 내지 약 20 미크론의 재료가 제거될 수 있다.. 특정 실시예에서, 제거될 수 있는 재료의 양은 제1 주 표면 및 제2 주 표면 중 하나(즉, 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 약 8.5 미크론의 재료일 수 있다.
단계 (1035)에서, 유리 기반 기판은 부식제 재료로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 본원에 더 자세히 설명된 것처럼, 예를 들어 0.5M HCl 용액 같은 염산 및/또는 탈염수를 포함하는 용액으로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 예를 들어 약 10분 동안 모든 부식제 재료가 제거되고 및/또는 부식제로부터 제거되는 모든 웨이퍼 재료가 분리되는 것을 보장하도록 특정 기간동안 세정될 수 있다.
단계 (1040)에서, 유리 기반 기판은 다른 부식제, 예를 들어, 욕조(예컨대, 제2 부식제 욕조)에 노출되고 특정 에칭 속도(예컨대, 제2 에칭 속도)로 에칭된다. 제2 부식제는 예를 드러 산성 부식제 또는 염기성 부식제일 수 있다. 제2 부식제는 일반적으로 제1 부식제와 상이한 농도를 가질 수 있다. 예를 들어, 전술한 제1 부식제는 제2 부식제보다 더 높은 농도의 산성 부식제 또는 염기성 부식제일 수 있다. 다른 실시예에서, 제1 부식제는 제2 부식제보다 더 낮은 농도의 산성 부식제 또는 염기 부식일 수 있다. 일부 실시예에서, 제2 부식제는 약 9.8% (w/w) 불화 수소산 수용액의 고여있는 욕조일 수 있다. 그러나, 현재 공지되거나 이후에 개발된 다른 부식제가 본 개시의 범위를 벗어나지 않고 사용될 수 있다. 제2 에칭 속도는 본 개시에 의해 유사하게 제한되지 않으며, 임의의 에칭 속도일 수 있다. 예를 들어, 제2 에칭 속도는 약 1 미크론/분, 약 2 미크론/분, 약 3 미크론/분, 약 4 미크론/분, 약 5 미크론/분, 또는 이들 값 중 임의의 값들 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여 약 1 미크론/분 내지 약 5 미크론/분일 수 있다. 특정 실시예에서, 제2 에칭 속도는 약 3 미크론/분일 수 있다. 일부 실시예에서, 단계 (1040)에 따라 기판을 에칭하는 것은 각각의 비아에 대해 제2 테이퍼 영역을 초래할 수 있다.
일정 시간이 경과 한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 유리 기반 기판은 부식제(예를 들어, 부식제 욕조)로부터 제거되고 단계 (1045)에서 세정될 수 있다. 기간은 일반적으로 본 개시에 의해 제한되지 않으며, 임의의 기간일 수 있다. 예를 들어, 시간은 약 5 분, 약 10 분, 약 15 분, 약 20 분, 약 25 분, 약 30 분, 약 35 분, 약 40 분, 또는 이들 값 중 임의의 값들 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 5 분 내지 약 40 분일 수 있다. 일부 실시예에서, 특정 시간은 약 19 분일 수 있다. 다른 기간은 본 개시의 범위를 벗어나지 않으면서 고려된다. 제1 주 표면 및 제2 주 표면 중 하나(예를 들어, 제1 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 기판으로부터 제거된 재료의 양은 본 개시에 의해 제한되지 않으며 임의의 양의 재료일 수 있다. 예를 들어, 약 10 미크론, 약 20 미크론, 약 30 미크론, 약 40 미크론, 약 50 미크론, 약 60 미크론, 약 70 미크론, 약 80 미크론, 약 90 미크론, 약 100 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 10 미크론 내지 약 100 미크론의 재료가 제거될 수 있다. 일부 실시예에서, 제거되는 특정 유리 기반 기판의 양은 제1 주 표면 및 제2 주 표면 중 하나 (예를 들어, 제1 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 약 58 미크론의 재료일 수 있다. 일부 실시예에서, 유리 기반 기판은 본원에 보다 상세히 기술 된 바와 같이 염산 (HCl) 및/또는 탈염수를 포함하는 용액으로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 예를 들어 약 10분과 같이 모든 부식제 재료가 제거되고 및/또는 부식제로부터 제거된 모든 웨이퍼 재료가 분리되는 것을 보장하는 특정 기간 동안 세정될 수 있다.
단계 (1050)에서, 제1 에칭 저항성 코팅은 유리 기반 기판에서 제거될 수 있다. 제거는 희생 코팅을 제거하기 위한 임의의 적절한 방법으로 완료될 수 있고 본 개시에 의해 한정되지 않는다. 단계 (1055)에서, 제2 에칭 저항성 코팅(예컨대, 희생 코팅)은 기판의 제2 측면에 도포된다. 제2 에칭 저항성 코팅은 임의의 코팅일 수 있고, 단계 (1015)에 대해 전술한 임의의 방법에 의해 도포될 수 있다.
단계 (1060)에서, 유리 기반 기판은 다른 부식제, 예를 들어 부식제 욕조(예를 들어, 제3 부식제 욕조)에 배치되고 특정 에칭 속도(예를 들어, 제3 에칭 속도)로 에칭된다. 제3 부식제는 예를 들어 산성 부식제 또는 염기성 부식제일 수 있다. 제3 부식제는 일반적으로 제1 부식제 및/또는 제2 부식제와 다른 농도를 가질 수 있다. 예를 들어, 전술한 바와 같은 제1 부식제 및/또는 제2 부식제는 제3 부식제 욕조보다 더 높은 농도의 산성 부식제 또는 염기성 부식제일 수 있다. 다른 실시예에서, 제1 부식제 및/또는 제2 부식제는 제3 부식제 욕조보다 더 낮은 농도의 산성 부식제 또는 염기성 부식제일 수 있다. 일부 실시예에서, 제3 부식제는 약 9.8 % (w/w) 불화 수소산 수용액의 고여있는 욕조일 수 있다. 그러나, 현재 공지되거나 이후에 개발된 다른 부식제가 또한 본 개시의 범위를 벗어나지 않고 사용될 수 있다. 제3 에칭 속도는 본 개시에 의해 유사하게 제한되지 않으며, 임의의 에칭 속도 일 수 있다. 일부 실시예에서, 제3 에칭 속도는 약 1 미크론/분, 약 2 미크론/분, 약 3 미크론/분, 약 4 미크론/분, 약 5 미크론, 또는 약 1 미크론/분 내지 약 5 미크론/분, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 1 미크론/분 내지 약 5 미크론/분일 수 있다. 특정 실시예에서, 제3 에칭 속도는 약 3 미크론/분일 수 있다. 일부 실시예에서, 단계 (1060)에 따라 기판을 에칭하는 것은 비아들 각각에 대해 제3 테이퍼 영역을 초래할 수 있다.
일정 시간이 경과 한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 유리 기반 기판은 단계 (1065)에서 부식제(예를 들어, 부식제 욕조)로부터 제거될 수 있다. 기간은 본 개시에 의해 제한되지 않으며, 임의의 기간일 수 있다. 예를 들어, 시간은 약 5 분, 약 10 분, 약 15 분, 약 20 분, 약 25 분, 약 30 분, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 5 분 내지 약 30 분일 수 있다. 일부 실시예에서, 특정 시간은 약 17 분일 수 있다. 다른 기간은 본 개시의 범위를 벗어나지 않으면서 고려된다. 제1 주 표면 및 제2 주 표면 중 하나(예를 들어, 제1 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 기판으로부터 제거된 재료의 양은 본 개시에 의해 제한되지 않으며 임의의 양의 재료일 수 있다. 예를 들어, 약 10 미크론, 약 20 미크론, 약 30 미크론, 약 40 미크론, 약 50 미크론, 약 60 미크론, 약 70 미크론, 약 80 미크론, 약 90 미크론, 약 100 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 10 미크론 내지 약 100 미크론의 물질이 제거될 수 있다. 일부 실시예에서, 제거되는 특정 유리 기반 기판의 양은 제1 주 표면 및 제2 주 표면 중 하나 (예를 들어, 제 2 에칭 저항성 코팅을 함유하지 않는 표면)로부터 측정될 때 약 52 미크론의 재료 일 수 있다.
단계 (1070)에서, 유리 기반 기판은 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 본원에 보다 상세히 기술된 바와 같이 염산(HCl) 및/또는 탈염수를 포함하는 용액으로 세정될 수 있다.
단계 (1080)에서, 유리 기반 기판은 또 다른 부식제, 예를 들어 부식제 욕조(예를 들어, 제4 부식제 욕조)에 노출되고 특정 에칭 속도(예를 들어, 제4 에칭 속도)로 에칭된다. 제4 부식제는 예를 들어 산성 부식제 또는 염기성 부식제일 수 있다. 제4 부식제는 일반적으로 수용액, 물, 물의 혼합물 및 기타 극성 유기 용제, 예컨대 에틸렌 글리콜, 프로필렌 글리콜 등을 포함하는 임의의 용액일 수 있다. 일부 실시예에서, 제4 부식제는 수산화 나트륨 용액을 함유할 수 있다. 일부 실시예에서, 제4 부식제는 수산화 칼륨 용액을 함유할 수 있다. 제4 부식제의 농도는 예를 들어 약 4M 내지 약 12M 일 수 있다. 특정 실시예에서, 제4 부식제는 6M NaOH 용액일 수 있다. 그러나, 현재 공지되거나 이후에 개발 된 다른 부식제가 본 발명의 범주를 벗어나지 않고 사용될 수 있다. 제4 에칭 속도는 본 개시에 의해 유사하게 제한되지 않으며, 임의의 에칭 속도일 수 있다. 일부 실시예에서, 제4 에칭 속도는 약 10 nm/min, 약 20 nm/min, 약 30 nm/min, 약 40 nm/min, 약 50 nm/min, 약 60 nm/min, 약 70 nm/min, 약 80 nm/min, 약 90 nm/min, 약 100 nm/min, 또는 임의의 값 또는 임의의 두 값 사이의 범위(말단점 포함)를 포함하여, 약 10 nm/분 내지 약 100 nm/분일 수 있다. 특정 실시예에서, 제4 에칭 속도는 약 30 nm/분일 수 있다. 일부 실시예에서, 전술한 바와 같은 제4 에칭 속도는 제1 에칭 속도, 제2 에칭 속도 및/또는 제3 에칭 속도보다 클 수 있다. 다른 실시예에서, 제4 에칭 속도는 제1 에칭 속도, 제2 에칭 속도 및/또는 제3 에칭 속도보다 작을 수 있다. 일부 실시예에서, 단계 (1080)에 따라 기판을 에칭하는 것은 비아 각각에 대해 제4 테이퍼 영역을 야기할 수 있다.
제4 부식제는 본원에 구체적으로 설명되지 않은 하나 이상의 다른 특성을 가질 수 있다. 예를 들어, 일부 실시예에서, 부식제 욕조는 특정 온도로 유지 될 수 있다. 이러한 예시적인 온도 중 하나는 약 85 ℃이다.
일정 시간이 경과 한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 유리 기반 기판은 단계 (1085)에서 부식제(예를 들어, 부식제 욕조)로부터 제거될 수 있다. 기간은 본 개시에 의해 제한되지 않으며, 임의의 기간일 수 있다. 예를 들어, 기간은 약 1 시간, 약 4 시간, 약 6 시간, 약 8 시간, 약 12 시간, 약 16 시간, 약 20 시간, 약 24 시간, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 1 시간 내지 약 24 시간일 수 있다. 일부 실시예에서, 특정 시간은 예를 들어 약 5 시간일 수 있다. 다른 기간은 본 개시의 범위를 벗어나지 않으면서 고려된다. 제1 주 표면 및 제2 주 표면 중 하나(예를 들어, 제1 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 기판으로부터 제거된 재료의 양은 본 개시에 의해 제한되지 않으며 임의의 양의 재료일 수 있다. 예를 들어, 약 1 미크론, 약 5 미크론, 약 10 미크론, 약 15 미크론, 약 20 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 1 미크론 내지 약 20 미크론의 재료일 수 있다. 일부 실시예에서, 제거되는 특정 량의 유리 기반 기판은 제1 주 표면 및 제2 주 표면 중 하나 (즉, 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 약 4.5 미크론의 재료일 수 있다.
단계 (1090)에서, 유리 기반 기판은 부식제 재료로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 탈염수로 세정될 수 있다.
단계 (1095)에서, 제2 에칭 저항성 코팅은 유리 기반 기판으로부터 제거될 수 있다. 제거는 희생 코팅을 제거하기 위한 임의의 적절한 방법에 의해 완료 될 수 있으며, 본 개시에 의해 제한되지 않는다. 결과적인 기판은 예를 들어, 제1 주 표면에서 약 150 미크론의 직경, 제2 주 표면에서 약 45 미크론의 직경, 제1 주 표면으로부터 약 75 미크론의 위치에서 약 20 미크론의 허리 직경, 제1 주 표면으로부터 제2 테이퍼 영역을 가진 교차지점으로 약 200 미크론의 길이에 걸쳐 약 30 : 1 테이퍼를 가진 제1 테이퍼 영역, 제1 테이버 영역을 가진 교차지점으로부터 제3 테이퍼 영역을 가진 교차지점까지 약 175 미크론의 길이에 걸쳐 약 3 : 1 테이퍼를 가진 제2 테이퍼 영역, 및 제2 테이퍼 영역을 가진 교차지점으로부터 중심점으로 약 125 미크론의 길이에 걸쳐 약 30 : 1 테이퍼를 가진 제3 테이퍼 영역을 가진 비아를 포함할 수 있다. 게다가, 제4 테이퍼 영역은 중간점으로부터 제2 주 표면을 향해 연장될 수 있고 약 100 미크론의 길이에 대해 약 3 : 1 테이퍼를 가질 수 있다. 제1 주 표면으로부터 제2 주 표면으로의 거리는 약 300 미크론일 수 있다.
도 10과 관련하여 설명된 다양한 공정이 비아에서의 후속 테이퍼 영역에 대해 반복될 수 있다는 점을 이해해야 한다. 예를 들어, 단계 (1005)-(1015), 단계 (1025)-(1035), 단계 (1040)-(1045), 단계 (1050)-(1070) 및/또는 단계 (1080)-(1095)의 다양한 조합이 필요에 따라 반복될 수 있다.
예시 5는 도 10에 대해 설명된 다양한 공정을 통해 형성된 예시의 비아가 도시된다:
예시 5
비대칭 조각 단위 변화하는 측벽 테이퍼를 가진 관통 유리 비아가 다음의 단계들을 통해 형성될 수 있다:
- 손상 트랙은 처음에 0.75 mm 두께에 150 mm 지름의 유리 기반 웨이퍼로 1064 nm 피코초 레이저(picosecond laser)를 이용하여 형성되었다. 이 에너지 밀도는 레이저의 전체 축을 따라 유리 기반 웨이퍼의 손상 한계 이상이다.
- 상기 유리 기반 웨이퍼의 B-측면은 PTFE 테이프로 마스킹된다. O-링은 표면에 대해 테이프의 엣지를 밀봉하기 위해 기판의 엣지에 대해 눌려진다.
- 유리 기반 웨이퍼는 이후 85 ℃에서 6M 수산화 나트륨 욕조에서 10 시간 동안 침지되었다(~ 8.5 미크론 제거). 이 공정은 약 30의 에칭 속도를 갖는다.
- 상기 웨이퍼는 이후 10 분 동안 0.5 M HCl에서 세정되고 DI 수로 10 분 동안 세정되었다.
- 상기 웨이퍼는 19분 동안 9.8% (w/w) 불화수소산 수용액의 고여있는 욕조에 배치된다(~58 미크론 제거). 이 공정은 약 3의 에칭 속도를 갖는다(예컨대, 2.8-3.2)
- 이후 웨이퍼는 10분동안 0.5M HCl 에서 세정되고, 10분동안 DI 수에서 세정된다.
- B-측면으로부터 마스킹이 제거된다. 유리 기반 웨이퍼의 A-측면은 PTFE 테이프로 마스킹된다. O-링은 표면에 대해 테이프의 엣지를 밀봉하기 위해 기판의 엣지에 대해 눌려진다.
- 상기 웨이퍼는 17분 동안 9.8% (w/w) 불화수소산 수용액의 고여있는 욕조에 배치된다(~52 미크론 제거). 이 공정은 약 3의 에칭 속도를 갖는다(예컨대, 2.8-3.2).
- 이후 웨이퍼는 10분동안 0.5M HCl 에서 세정되고, 10분동안 DI 수에서 세정된다.
- 이후 웨이퍼는 6.4 mm의 피치를 가진 공정 캐리어에 배치된다. 공정 캐리어는 이후 85 ℃에서 6M 수산화 나트륨 욕조에서 5 시간 동안 침지되었다(~ 4.5 미크론 제거). 이 공정은 약 30의 에칭 속도를 갖는다.
- 상기 웨이퍼는 이후 알칼리성 욕조에서 제거되고 다량의 DI 수로 세정된다.
- 마스킹이 A-측며에서 제거된다.
- 이는 비아가 A-측면에 150 미크론의 전체 지름, B-측면에 45 미크론의 지름, z = -75 미크론에서 20 미크론의 허리 지름, A-측면 상에 표면으로부터 제1 200 미크론 깊이에 대해 30 : 1 테이퍼로 개방되고, 유리의 대향측에 다른 175 미크론에 대한 3 : 1 테이퍼, 125 미크론에 대한 30 : 1 테이퍼 개구, 100 미크론에 대한 3 : 1 테이퍼 개구가 이어진다. 도 11에 도시된 것처럼, 최종 유리 두께는 600 미크론이다.
도 12와 관련하여 본원에 기술된 방법은 도 3e에 도시된 것과 같은, 내부 벽에 연속적으로 변화하는 테이퍼를 갖는 비대칭 관통 유리 비아를 형성하는데 사용될 수 있다.
도 12를 계속 참고하면, 단계 (1205)에서, 평평한 유리 기반 기판이 제공 될 수 있다. 본원에 기술된 바와 같이, 유리 기반 기판은 일반적으로 그를 통해 비아의 생성에 적합한 유리 기반 기판일 수 있다. 특정 예시에서, 유리 기반 기판은 0.56 mm 두께 150 mm 직경 웨이퍼일 수 있다.
단계 (1210)에서, 하나 이상의 손상 트랙이 도 5a의 단계 (510)과 관련하여 앞서 보다 상세히 설명된 바와 같이, 유리 기반 기판 상에 형성될 수 있다. 단계 (1215)에서, 제1 에칭 저항성 코팅(예를 들어, 희생 코팅)이 유리 기반 기판의 제1 측면(예를 들어, 제1 표면)에, 예컨대, 도 10의 단계 (1015)와 관련하여 전술한 에칭 저항성 코팅이 도포될 수 있다.
단계 (1220)에서, 유리 기반 기판은 부식제, 예를 들어 부식제 욕저(예를 들어, 제1 부식제 욕조)에 노출된다. 다른 실시예에서, 부식제에 대한 노출은 부식제를 분무하거나 부식제 크림을 도포하는 것을 포함하지만 이에 제한되지 않는 임의의 통상적인 수단을 통해 달성될 수 있다. 제1 부식제는 예를 들어 산성 부식제 또는 염기성 부식제일 수 있다. 특정 실시예에서, 제1 부식제는 12M NaOH 용액일 수 있다. 그러나, 현재 공지되거나 이후에 개발 된 다른 부식제가 본 발명의 범주를 벗어나지 않고 사용될 수 있다.
부식제(예를 들어, 부식제 욕조)는 초기에 특정 온도에 배치될 수 있고, 온도는 도 7의 단계 (720)에 대해 상술된 바와 같이 단계 (1225)에서 일정 기간에 걸쳐 조절될 수 있다. 부식제 온도는 본 개시에 의해 제한되지 않으며, 일반적으로 임의의 온도, 특히 본원에 기술된 에칭 공정에 적합한 것으로 이해되는 온도일 수 있다. 예를 들어, 부식제 온도는 약 95 ℃, 약 100 ℃, 약 110 ℃, 약 120 ℃, 약 130 ℃, 또는 이들 값들 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 95 ℃ 내지 약 130 ℃일 수 있다. 부식제의 온도는 반응 시간에 영향을 줄 수 있다. 특정 실시예에서, 부식제는 초기에 120 ℃로 설정되고 16.5 시간 동안 선형적으로 130 ℃로 증가될 수 있다. 일부 실시예에서, 욕조에서 산성 또는 염기성 부식제의 농도는 도 7의 단계 (725)와 관련하여 상술한 바와 같이 단계 (1230)에서 조절될 수 있다. 일부 실시예에서, 욕조에 가해지는 교반 정도는 단계 (1235)에서 조절될 수 있는데, 이는 도 7의 단계 (730)과 관련하여 상술한 바와 같다.
유리 기반 기판은 단계 (1240)에서 욕조로부터 제거될 수 있고 단계 (1245)에서, 유리 기반 기판은 부식제 재료로 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 염산(HCl) 및/또는 탈염수를 포함하는 용액으로 세정될 수 있다. 특정 실시예에서, 유리 기반 기판을 0.5M HCl 용액에서 10 분 동안 세정하고 이어서 탈염수로 10 분 동안 세정될 수 있다.
단계 (1250)에서, 제1 에칭 저항성 코팅은 유리 기반 기판으로부터 제거될 수 있다. 제거는 희생 코팅을 제거하기 위한 임의의 적절한 방법에 의해 완료될 수 있으며, 본 개시에 의해 제한되지 않는다. 단계 (1255)에서, 제2 에칭 저항성 코팅(예를 들어, 희생 코팅)이 도 10의 단계 (1055)와 관련하여 상술된 바와 같이 기판의 제2 측면에 도포된다.
단계 (1260)에서, 유리 기반 기판은 다른 부식제, 예를 들어 부식제 욕조(예를 들어, 제2 부식제 욕조)에 노출되고 본원에서 더 상세히 설명된 것처럼 비대칭 프로파일을 갖는 비아를 얻기 위해 특정 에칭 속도로 에칭된다. 제2 부식제는 예를 들어 산성 부식제 또는 염기성 부식제일 수 있다. 제2 부식제는 일반적으로 제1 부식제와 다른 농도를 가질 수 있다. 예를 들어, 전술한 바와 같은 제1 부식제는 제2 부식제보다 산성 부식제 또는 염기성 부식제(처음 또는 마지막)의 농도가 더 클 수 있다. 다른 실시 예들에서, 제1 부식제는 제2 부식제보다 산성 부식제 또는 염기성 부식제(처음 또는 마지막)의 농도가 더 작을 수 있다. 부식제의 농도는 본 개시에 의해 제한되지 않으며, 임의의 농도를 함유할 수있다. 예를 들어, 농도는 약 0.5 % (w/w), 약 1 % (w/w), 약 5 % (w/w), 약 10 % (w/w), 약 15 % (w/w), 약 20 % (w/w), 또는 이 두 값들 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 0.5 % (w/w) 내지 약 20 % (w/w)의 불화수소산 수용액일 수 있다. 일부 실시예에서, 제2 부식제는 약 9.8 % (w/w) 불화수소산 수용액의 고여있는 욕조일 수있다. 그러나, 현재 공지되거나 이후에 개발된 다른 부식제가 본 발명의 범주를 벗어나지 않고 사용될 수 있다. 제2 에칭 속도는 본 개시에 의해 유사하게 제한되지 않으며, 임의의 에칭 속도일 수 있다. 일부 실시예에서, 제2 에칭 속도는 약 1 미크론/분, 약 2 미크론/분, 약 3 미크론/분, 약 4 미크론/분, 약 5 미크론, 또는 이들 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 1 미크론/분 내지 약 5 미크론/분일 수 있다.
기간 시간이 경과 한 후 및/또는 특정 양의 유리 기반 기판이 제거된 후, 유리 기판은 단계 (1265)에서 부식제(예를 들어, 부식제 욕조)로부터 제거될 수 있다. 시간은 본 개시에 의해 제한되지 않으며, 일반적으로 임의의 시간일 수 있다. 예를 들어, 시간은 약 5 분, 약 10 분, 약 20 분, 약 30 분, 약 40 분, 약 50 분, 약 60 분 또는 이들 값 사이의 임의의 값 또는 범위를 포함하여, 약 5 분 내지 약 60 분일 수 있다. 일부 실시예에서, 특정 시간은 예를 들어 약 44 분일 수있다. 다른 기간은 본 개시의 범위를 벗어나지 않으면서 고려된다. 제1 주 표면 및 제2 주 표면 중 하나 (예를 들어, 제2 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때 기판으로부터 제거된 재료의 양은 본 개시에 의해 제한되지 않으며 임의의 양의 재료일 수 있다. 예를 들어, 약 10 미크론, 약 20 미크론, 약 30 미크론, 약 40 미크론, 약 50 미크론, 약 60 미크론, 약 70 미크론, 약 80 미크론, 약 90 미크론, 약 100 미크론, 또는 이들 값 중 임의의 두 값 사이의 임의의 값 또는 범위(말단점 포함)를 포함하여, 약 10 미크론 내지 약 100 미크론의 재료가 제거될 수 있다. 일부 실시예에서, 제거되는 특정 량의 유리 기반 기판은, 예를 들어, 제1 주 표면 및 제2 주 표면 중 하나(예를 들어, 제2 에칭 저항성 코팅을 포함하지 않는 표면)로부터 측정될 때, 약 51 미크론의 재료일 수 있다.
단계 (1270)에서, 유리 기반 기판은 제거되고 유리 기반 기판은 세정될 수 있다. 일부 실시예에서, 유리 기반 기판은 탈염수를 포함하는 용액으로 세정될 수 있다.
단계 (1275)에서, 제2 에칭 저항성 코팅은 유리 기반 기판으로부터 제거될 수 있다. 제거는 희생 코팅을 제거하기 위한 임의의 적절한 방법에 의해 완료될 수 있으며, 본 개시에 의해 제한되지 않는다. 결과적인 기판은 예를 들어 제1 주 표면에서 약 48 미크론의 직경, 제2 주 표면에서 약 109 미크론, 약 20 미크론의 허리 직경 및 제1 주 표면으로부터 약 357 미크론 연장되는 연속적으로 변하는 프로파일 및 제 2 주 표면으로부터 124 미크론으로 연장되는 3 : 1 테이퍼를 갖는 비아를 포함 할 수 있다. 제1 주 표면으로부터 제2 주 표면까지의 총 거리는 약 490 미크론일 수 있다.
예시 6은 도 12에 대해 설명된 다양한 공정으로 형성된 예시의 비아를 도시한다:
예시 6
비대칭의 연속으로 변화하는 측벽 테이퍼를 가진 관통 유리 비아는 다음 단계들을 통해 형성될 수 있다:
- 손상 트랙은 처음에 0.56 mm 두께에 150 mm 지름의 유리 기반 웨이퍼로 1064 nm 피코초 레이저(picosecond laser)를 이용하여 형성되었다. 이 에너지 밀도는 레이저의 전체 축을 따라 유리 기반 웨이퍼의 손상 한계 이상이다.
- 상기 유리 기반 웨이퍼의 A-측면은 PTFE 테이프로 마스킹된다. O-링은 표면에 대해 테이프의 엣지를 밀봉하기 위해 기판의 엣지에 대해 눌려진다.
- 웨이퍼는 12M 수산화 나트륨 수용액의 고여있는 욕조에 침지되었다. 온도는 처음에 120℃로 설정되지만 16.5시간에 걸쳐 130℃까지 선형으로 증가된다.
- 상기 웨이퍼는 이후 10 분 동안 0.5 M HCl에서 세정되고 DI 수로 10 분 동안 세정되었다.
- A-측면에서 마스킹이 제거되고 유사한 마스킹이 B-측면에 적용된다.
- 상기 웨이퍼는 44분 동안 9.8% (w/w) 불화수소산 수용액의 고여있는 욕조에 배치된다(~51 미크론 제거). 이 공정은 약 3의 에칭 속도를 갖는다(예컨대, 2.8-3.2)
- 이후 웨이퍼는 10분동안 0.5M HCl 에서 세정되고, 10분동안 DI 수에서 세정된다.
- 이는 비아가 A-측면에 48 미크론의 전체 지름, B-측면에 109 미크론의 전체 지름, 20 미크론의 허리 지름으로 개방되고, 연속으로 변화하는 프로파일이 A-측면으로부터 357 미크론 연장되어 아래에 보여지고, 3 : 1 테이퍼가 124 미크론 깊이로 연장되는 B-측면에 존재한다. 기판은 도 13에 도시된 것처럼, 490 미크론 두께이다.
본원에 기술된 실시예는 일반적으로 유리 기반 기판의 2개의 주 표면에 평행한 평면에 대해 대칭 또는 비대칭 단면을 갖는 하나 이상의 비아 및 복수의 테이퍼 영역을 가진 내부 벽을 포함하는 유리 기반 기판에 관한 것으로 이해되어야 한다. 복수의 테이퍼 영역 각각의 기울기는 연속적이고 서로 구별된다.
실시예 1. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 관통 비아를 포함하는 유리 기반 기판을 포함하는 물품으로서,
상기 테이퍼 관통 비아는: 상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면; 및 제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하고,
상기 제1 테이퍼 영역의 기울기는 일정하고, 상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고 상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않은, 물품.
실시예 2. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 비아를 포함하는 유리 기반 기판을 포함하는 물품으로서,
상기 테이퍼 비아는: 상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 비대칭인 단면; 및 제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하고,
상기 제1 테이퍼 영역의 기울기는 일정하고, 상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고 상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않은, 물품.
실시예 3. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 제1 테이퍼 영역의 기울기는 3 : 1 내지 100 : 1 의 높이 대 길이 비율을 포함하고, 상기 제2 테이퍼 영역의 기울기는 3 : 1 내지 100 : 1 의 높이 대 길이 비율을 포함하는, 물품.
실시예 4. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 제1 테이퍼 영역은 제1 주 표면으로부터 제2 주 표면을 향해 15 미크론 내지 360 미크론의 거리로 연장되는, 물품.
실시예 5. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 제2 테이퍼 영역은 제1 테이퍼 영역을 가진 교차지점으로부터 제2 주 표면을 향해 35 미크론 내지 175 미크론의 거리로 연장되는, 물품.
실시예 6. 실시예 1-4 중 임의의 실시예에 있어서,
상기 제2 테이퍼 영역은 제2 주 표면으로부터 제1 주 표면을 향해 35 미크론 내지 175 미크론 거리로 연장되는, 물품.
실시예 7. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 제1 주 표면에서의 테이퍼 비아의 지름은 10 미크론 내지 250 미크론인, 물품.
실시예 8. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 평면에서의 테이퍼 비아의 지름은 5 미크론 내지 200 미크론인, 물품.
실시예 9. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 제1 테이퍼 영역과 제2 테이퍼 영역 사이의 전이 구역을 더욱 포함하고, 여기서, 상기 전이 구역은 상기 내부 벽으로부터의 탄젠트 선의 기울기가 적어도 0.57도 변하도록 제1 테이퍼 영역의 기울기로부터 제2 테이퍼 영역의 기울기로 전이되는 구역을 포함하는, 물품.
실시예 10. 실시예 9에 있어서,
상기 전이 구역은 지점 또는 확장된 영역인, 물품.
실시예 11. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 제1 주 표면과 제2 주 표면 사이의 거리는 25 미크론 내지 3,000미크론 범위에 있는, 물품.
실시예 12. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 내부 벽은 제3 테이퍼 영역을 더욱 포함하고, 그리고 상기 제3 테이퍼 영역의 기울기는 제1 테이퍼 영역의 기울기와 제2 테이퍼 영역의 기울기 중 적어도 하나와 상이한, 물품.
실시예 13. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 유리 기반 기판은 화학적으로 강화된, 물품.
실시예 14. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 유리 기반 기판은 라미네이트를 포함하는, 물품.
실시예 15. 앞선 실시예들 중 임의의 실시예에 있어서,
상기 테이퍼 비아는 전기 전도성 재료로 채워지는, 물품.
실시예 16. 실시예 2-15 중 임의의 실시예에 있어서,
상기 테이퍼 비아는 관통 비아를 포함하는, 물품.
실시예 17. 실시예 2-15 중 임의의 실시예에 있어서,
상기 테이퍼 비아는 블라인드 비아를 포함하는, 물품.
실시예 18. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 관통 비아를 포함하는 유리 기반 기판을 포함하는, 반도체 패키지로서,
상기 테이퍼 비아는: 상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면; 및 제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하고,
상기 제1 테이퍼 영역의 기울기는 일정하고, 상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고 상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않고, 상기 테이퍼 관통 비아 내에 전기 전도성 재료가 배치되며, 그리고 상기 테이퍼 관통 비아 내에 배치된 전기 전도성 재료에 반도체 장치가 전기적으로 연결되는, 반도체 패키지.
실시예 19. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 비아를 포함하는 유리 기반 기판을 포함하는, 반도체 패키지로서,
상기 테이퍼 비아는: 상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 비대칭인 단면; 및 제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하고,
상기 제1 테이퍼 영역의 기울기는 일정하고, 상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고 상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않고, 상기 테이퍼 관통 비아 내에 전기 전도성 재료가 배치되며, 그리고 상기 테이퍼 관통 비아 내에 배치된 전기 전도성 재료에 반도체 장치가 전기적으로 연결되는, 반도체 패키지.
실시예 20. 적어도 하나의 비아를 포함하는 유리 기반 기판을 형성하는 방법으로서, 상기 방법은:
제1 에칭 속도로 제1 부식제를 통해 적어도 하나의 손상 트랙을 가진 유리 기반 기판을 에칭하는 단계; 및 제2 부식제로 유리 기반 물품을 에칭한는 단계;를 포함하고,
상기 제2 부식제는 적어도 하나의 비아를 포함하는 유리 기반 기판을 형성하기 위해 제2 에칭 속도에서 제1 부식제의 농도와 상이한 부식제 농도를 포함하고,
상기 적어도 하나의 비아는 제1 일정한 기울기를 가진 제1 테이퍼 영역 및 제2 일정한 기울기를 가진 제2 테이퍼 영역을 포함하고, 상기 제1 일정한 기울기와 제2 일정한 기울기는 동일하지 않은, 방법.
실시예 21. 실시예 20에 있어서,
상기 제1 부식제는 제2 부식제보다 더 큰 농도의 산성 부식제 또는 염기성 부식제를 포함하는, 방법.
실시예 22. 실시예 20 또는 21에 있어서,
상기 제1 부식제는 제2 부식제보다 더 작은 농도의 산성 부식제 또는 염기성 부식제를 포함하는, 방법.
실시예 23. 실시예 22에 있어서,
상기 제1 부식제와 제2 부식제 각각은 산성 부식제 또는 염기성 부식제를 포함하는, 방법.
실시예 24. 실시예 20-23 중 어느 한 실시예에 있어서,
상기 제1 에칭 속도는 제2 에칭 속도보다 큰, 방법.
실시예 25. 실시예 20-23 중 어느 한 실시예에 있어서,
상기 제1 에칭 속도는 제2 에칭 속도보다 작은, 방법.
실시예 26. 실시예 20-25 중 어느 한 실시예에 있어서,
적어도 하나의 손상 트랙을 성형하는 단계를 더욱 포함하되, 상기 평평한 유리 기반 물품에 전달된 에너지는 유리 기반 물품의 전체 폭을 따라 손상 한계 이상인, 방법.
실시예 27. 실시예 26에 있어서,
상기 적어도 하나의 손상 트랙을 성형하는 단계는 유리 기반 물품에 전달된 에너지가 유리 기반 물품의 제1 측면을 따라 손상 한계 이상이 되고 유리 기반 물품의 제2 측면을 따라 손상 한계 이하가되도록 손상 트랙을 성형하는 단계를 포함하는, 방법.
실시예 28. 실시에 20-27 중 어느 한 실시예에 있어서,
제1 부식제로 유리 기반 물품을 에칭하기 전에 유리 기반 물품의 제1 측면에 제1 에칭 저항성 코팅을 도포하는 단계; 제2 부식제로 유리 기반 제품을 에칭한 후 유리 기반 물품의 제1 측면으로부터 상기 제1 에칭 저항성 코팅을 제거하는 단계;
상기 유리 기반 물품의 제2 측면에 제2 에칭 저항성 코팅을 도포하는 단계; 제3 부식제 욕조를 통해 유리 기반 물품의 제2 측면에 도포된 제2 에칭 저항성 코팅을 가진 유리 기반 물품을 에칭하는 단계; 및 평평한 유리 기반 물품의 제2 측면으로부터 상기 제2 에칭 저항성 코팅을 제거하는 단계;를 더욱 포함하는, 방법.
실시예 29. 실시예 20-28 중 어느 한 실시예에 있어서,
적어도 하나의 비아를 포함하는 유리 기반 기판을 형성하기 위해 제3 부식제에서 평평한 유리 기반 물품을 에칭하는 단계를 더욱 포함하는, 방법.
실시예 30. 실시예 20-29 중 어느 한 실시예에 있어서,
부식제의 온도, 농도, 및 제1 부식제 및 제2 부식제 중 적어도 하나의 교반 정도 중 적어도 하나를 조절하는 단계를 더욱 포함하는, 방법.
실시예 31. 실시예 20-30 중 어느 한 실시예에 있어서,
상기 적어도 하나의 비아 중 각 하나는 관통 비아 또는 블라인드 비아를 포함하는, 방법.
실시예 32. 실시예 20-31 중 어느 한 실시예에 있어서,
상기 적어도 하나의 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면을 포함하는, 방법.
실시예 33. 실시예 20-32 중 어느 한 실시예에 있어서,
상기 적어도 하나의 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 비대칭인 단면을 포함하는, 방법.
실시예 34. 적어도 하나의 관통 비아를 포함하는 유리 기반 기판을 형성하는 방법으로서, 상기 방법은:
부식제에서 적어도 하나의 손상 트랙을 가진 유리 기반 기판을 에칭하는 단계; 및 적어도 하나의 관통 비아가 연속으로 변하는 측벽 테이퍼 및 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있으며 등거리에 있는 평면에 대해 대칭인 단면을 포함하도록 적어도 하나의 관통 비아를 포함하는 유리 기반 기판을 형성하기 위해 상기 부식제의 온도, 농도, 교반 정도 중 적어도 하나를 조절하는 단계;를 포함하는, 방법.
실시예 35. 적어도 하나의 블라인드 비아를 포함하는 유리 기반 기판을 형성하는 방법으로서, 상기 방법은:
부식제에서 적어도 하나의 손상 트랙을 가진 유리 기반 기판을 에칭하는 단계; 및 적어도 하나의 비아가 연속으로 변하는 측벽 테이퍼를 포함하도록 적어도 하나의 블라인드 비아를 포함하는 유리 기반 기판을 형성하기 위해 상기 부식제의 온도, 농도, 및 교반 정도 중 적어도 하나를 조절하는 단계;를 포함하는, 방법.
청구된 주제의 사상 및 범위를 벗어나지 않으면서 본원에 설명된 실시예에 대해 다양한 수정 및 변형이 이루어질 수 있음이 당업자에게 명백할 것이다. 따라서, 본 명세서는 본원에 기술된 다양한 실시예들의 수정 및 변형을 포함하고, 그러한 수정 및 변형은 첨부된 청구 범위 및 그 등가물의 범위 내에 있는 것으로 의도된다.

Claims (48)

  1. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 관통 비아를 포함하는 유리 기반 기판을 포함하는 물품으로서,
    상기 테이퍼 관통 비아는:
    상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면; 및
    제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하고,
    상기 제1 테이퍼 영역의 기울기는 일정하고,
    상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고
    상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않은, 물품.
  2. 청구항 1에 있어서,
    상기 제1 테이퍼 영역의 기울기는 3 : 1 내지 100 : 1 의 높이 대 길이 비율을 포함하고; 및
    상기 제2 테이퍼 영역의 기울기는 3 : 1 내지 100 : 1 의 높이 대 길이 비율을 포함하는, 물품.
  3. 청구항 1 또는 2에 있어서,
    상기 제1 테이퍼 영역은 제1 주 표면으로부터 제2 주 표면을 향해 15 미크론 내지 360 미크론의 거리로 연장되는, 물품.
  4. 청구항 1 내지 3 중 어느 한 항에 있어서,
    상기 제2 테이퍼 영역은 제1 테이퍼 영역을 가진 교차지점으로부터 제2 주 표면을 향해 35 미크론 내지 175 미크론의 거리로 연장되는, 물품.
  5. 청구항 1 내지 3 중 어느 한 항에 있어서,
    상기 제2 테이퍼 영역은 제2 주 표면으로부터 제1 주 표면을 향해 35 미크론 내지 175 미크론 거리로 연장되는, 물품.
  6. 청구항 1 내지 5 중 어느 한 항에 있어서,
    상기 제1 주 표면에서의 테이퍼 비아의 지름은 10 미크론 내지 250 미크론인, 물품.
  7. 청구항 1 내지 6 중 어느 한 항에 있어서,
    상기 평면에서의 테이퍼 비아의 지름은 5 미크론 내지 200 미크론인, 물품.
  8. 청구항 1 내지 7 중 어느 한 항에 있어서,
    상기 제1 테이퍼 영역과 제2 테이퍼 영역 사이의 전이 구역을 더욱 포함하고, 여기서, 상기 전이 구역은 상기 내부 벽으로부터의 탄젠트 선의 기울기가 적어도 0.57도 변하도록 제1 테이퍼 영역의 기울기로부터 제2 테이퍼 영역의 기울기로 전이되는 구역을 포함하는, 물품.
  9. 청구항 8에 있어서,
    상기 전이 구역은 지점 또는 확장된 영역인, 물품.
  10. 청구항 1 내지 9 중 어느 한 항에 있어서,
    상기 제1 주 표면과 제2 주 표면 사이의 거리는 25 미크론 내지 3,000미크론 범위에 있는, 물품.
  11. 청구항 1 내지 10 중 어느 한 항에 있어서,
    상기 내부 벽은 제3 테이퍼 영역을 더욱 포함하고; 및
    상기 제3 테이퍼 영역의 기울기는 제1 테이퍼 영역의 기울기와 제2 테이퍼 영역의 기울기 중 적어도 하나와 상이한, 물품.
  12. 청구항 1 내지 11 중 어느 한 항에 있어서,
    상기 유리 기반 기판은 화학적으로 강화된, 물품.
  13. 청구항 1 내지 12 중 어느 한 항에 있어서,
    상기 유리 기반 기판은 라미네이트를 포함하는, 물품.
  14. 청구항 1 내지 13 중 어느 한 항에 있어서,
    상기 테이퍼 비아는 전기 전도성 재료로 채워지는, 물품.
  15. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 비아를 포함하는 유리 기반 기판을 포함하는, 물품으로서, 상기 테이퍼 비아는:
    상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 비대칭인 단면; 및
    제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하되,
    상기 제1 테이퍼 영역의 기울기는 일정하고,
    상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고
    상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않는, 물품.
  16. 청구항 15에 있어서,
    상기 테이퍼 비아는 관통 비아를 포함하는, 물품.
  17. 청구항 15에 있어서,
    상기 테이퍼 비아는 블라인드 비아를 포함하는, 물품.
  18. 청구항 15 내지 17 중 어느 한 항에 있어서,
    상기 제1 테이퍼 영역의 기울기는 3 : 1 내지 100 : 1 의 높이 대 길이 비율을 포함하고,
    상기 제2 테이퍼 영역의 기울기는 3 : 1 내지 100 : 1 의 높이 대 길이 비율을 포함하는, 물품.
  19. 청구항 15 내지 18 중 어느 한 항에 있어서,
    상기 제1 테이퍼 영역은 제1 주 표면으로부터 제2 주 표면을 향해 15 미크론 내지 360 미크론의 거리로 연장되는, 물품.
  20. 청구항 15 내지 19 중 어느 한 항에 있어서,
    상기 제2 테이퍼 영역은 제1 테이퍼 영역을 가진 교차지점으로부터 제2 주 표면을 향해 35 미크론 내지 175 미크론의 거리로 연장되는, 물품.
  21. 청구항 15 내지 19 중 어느 한 항에 있어서,
    상기 제2 테이퍼 영역은 제2 주 표면으로부터 제1 주 표면을 향해 35 미크론 내지 175 미크론 거리로 연장되는, 물품.
  22. 청구항 15 내지 21 중 어느 한 항에 있어서,
    상기 제1 주 표면에서의 테이퍼 비아의 지름은 10 미크론 내지 250 미크론인, 물품.
  23. 청구항 15 내지 22 중 어느 한 항에 있어서,
    상기 평면에서의 테이퍼 비아의 지름은 5 미크론 내지 200 미크론인, 물품.
  24. 청구항 15 내지 23 중 어느 한 항에 있어서,
    상기 제1 테이퍼 영역과 제2 테이퍼 영역 사이의 전이 구역을 더욱 포함하고, 여기서, 상기 전이 구역은 상기 내부 벽으로부터의 탄젠트 라인의 기울기가 적어도 0.57도 변하도록 제1 테이퍼 영역의 기울기로부터 제2 테이퍼 영역의 기울기로 전이되는 구역을 포함하는, 물품.
  25. 청구항 24에 있어서,
    상기 전이 구역은 지점 또는 확장된 영역인, 물품.
  26. 청구항 15 내지 25 중 어느 한 항에 있어서,
    상기 제1 주 표면과 제2 주 표면 사이의 거리는 25 미크론 내지 3,000 미크론 범위에 있는, 물품.
  27. 청구항 15 내지 26 중 어느 한 항에 있어서,
    상기 내부 벽은 제3 테이퍼 영역을 더욱 포함하고; 및
    상기 제3 테이퍼 영역의 기울기는 제1 테이퍼 영역의 기울기와 제2 테이퍼 영역의 기울기 중 적어도 하나와 상이한, 물품.
  28. 청구항 15 내지 27 중 어느 한 항에 있어서,
    상기 유리 기반 기판은 화학적으로 강화된, 물품.
  29. 청구항 15 내지 28 중 어느 한 항에 있어서,
    상기 유리 기반 기판은 라미네이트를 포함하는, 물품.
  30. 청구항 15 내지 29 중 어느 한 항에 있어서,
    상기 테이퍼 비아는 전기 전도성 재료로 채워지는, 물품.
  31. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 관통 비아를 포함하는 유리 기반 기판을 포함하는, 반도체 패키지로서, 상기 테이퍼 비아는:
    상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면; 및
    제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하고,
    상기 제1 테이퍼 영역의 기울기는 일정하고,
    상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고
    상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않고,
    상기 테이퍼 관통 비아 내에 전기 전도성 재료가 배치되며; 및
    상기 테이퍼 관통 비아 내에 배치된 전기 전도성 재료에 반도체 장치가 전기적으로 연결되는, 반도체 패키지.
  32. 제1 주 표면, 상기 제1 주 표면에서 일정 거리 이격된 제2 주 표면, 및 상기 제1 주 표면에서 제2 주 표면으로 기판을 관통하여 연장되는 테이퍼 비아를 포함하는 유리 기반 기판을 포함하는, 반도체 패키지로서, 상기 테이퍼 비아는:
    상기 유리 기반 기판의 제1 주 표면 및 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 비대칭인 단면; 및
    제1 테이퍼 영역과 상기 제1 주 표면과 상기 평면 사이에 위치한 제2 테이퍼 영역을 포함하는 내부 벽;을 포함하되,
    상기 제1 테이퍼 영역의 기울기는 일정하고,
    상기 제2 테이퍼 영역의 기울기는 일정하며, 그리고
    상기 제1 테이퍼 영역의 기울기는 제2 테이퍼 영역의 기울기와 동일하지 않고, 상기 테이퍼 관통 비아 내에 전기 전도성 재료가 배치되며,
    상기 테이퍼 관통 비아 내에 배치된 전기 전도성 재료에 반도체 장치가 전기적으로 연결되는, 반도체 패키지.
  33. 적어도 하나의 비아를 포함하는 유리 기반 기판을 형성하는 방법으로서, 상기 방법은:
    제1 에칭 속도로 제1 부식제를 통해 적어도 하나의 손상 트랙을 가진 유리 기반 기판을 에칭하는 단계; 및
    제2 부식제로 유리 기반 물품을 에칭한는 단계;를 포함하고,
    상기 제2 부식제는 적어도 하나의 비아를 포함하는 유리 기반 기판을 형성하기 위해 제2 에칭 속도에서 제1 부식제의 농도와 상이한 부식제 농도를 포함하고,
    상기 적어도 하나의 비아는 제1 일정한 기울기를 가진 제1 테이퍼 영역 및 제2 일정한 기울기를 가진 제2 테이퍼 영역을 포함하고, 상기 제1 일정한 기울기와 제2 일정한 기울기는 동일하지 않은, 방법.
  34. 청구항 33에 있어서,
    상기 제1 부식제는 제2 부식제보다 더 큰 농도의 산성 부식제 또는 염기성 부식제를 포함하는, 방법.
  35. 청구항 33 또는 34에 있어서,
    상기 제1 부식제는 제2 부식제보다 더 작은 농도의 산성 부식제 또는 염기성 부식제를 포함하는, 방법.
  36. 청구항 35에 있어서,
    상기 제1 부식제와 제2 부식제 각각은 산성 부식제 또는 염기성 부식제를 포함하는, 방법.
  37. 청구항 33 내지 36 중 어느 한 항에 있어서,
    상기 제1 에칭 속도는 제2 에칭 속도보다 큰, 방법.
  38. 청구항 33 내지 36 중 어느 한 항에 있어서,
    상기 제1 에칭 속도는 제2 에칭 속도보다 작은, 방법.
  39. 청구항 33 내지 38 중 어느 한 항에 있어서,
    적어도 하나의 손상 트랙을 성형하는 단계를 더욱 포함하되, 상기 평평한 유리 기반 물품에 전달된 에너지는 유리 기반 물품의 전체 폭을 따라 손상 한계 이상인, 방법.
  40. 청구항 39에 있어서,
    상기 적어도 하나의 손상 트랙을 성형하는 단계는 유리 기반 물품에 전달된 에너지가 유리 기반 물품의 제1 측면을 따라 손상 한계 이상이 되고 유리 기반 물품의 제2 측면을 따라 손상 한계 이하가되도록 손상 트랙을 성형하는 단계를 포함하는, 방법.
  41. 청구항 33 내지 40 중 어느 한 항에 있어서,
    제1 부식제로 유리 기반 물품을 에칭하기 전에 유리 기반 물품의 제1 측면에 제1 에칭 저항성 코팅을 도포하는 단계;
    제2 부식제로 유리 기반 제품을 에칭한 후 유리 기반 물품의 제1 측면으로부터 상기 제1 에칭 저항성 코팅을 제거하는 단계;
    상기 유리 기반 물품의 제2 측면에 제2 에칭 저항성 코팅을 도포하는 단계;
    제3 부식제 욕조를 통해 유리 기반 물품의 제2 측면에 도포된 제2 에칭 저항성 코팅을 가진 유리 기반 물품을 에칭하는 단계; 및
    평평한 유리 기반 물품의 제2 측면으로부터 상기 제2 에칭 저항성 코팅을 제거하는 단계;를 더욱 포함하는, 방법.
  42. 청구항 33 내지 41 중 어느 한 항에 있어서,
    적어도 하나의 비아를 포함하는 유리 기반 기판을 형성하기 위해 제3 부식제에서 평평한 유리 기반 물품을 에칭하는 단계를 더욱 포함하는, 방법.
  43. 청구항 33 내지 42 중 어느 한 항에 있어서,
    부식제의 온도, 농도, 및 제1 부식제 및 제2 부식제 중 적어도 하나의 교반 정도 중 적어도 하나를 조절하는 단계를 더욱 포함하는, 방법.
  44. 청구항 33 내지 43 중 어느 한 항에 있어서,
    상기 적어도 하나의 비아 중 각 하나는 관통 비아 또는 블라인드 비아를 포함하는, 방법.
  45. 청구항 33 내지 44 중 어느 한 항에 있어서,
    상기 적어도 하나의 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 대칭인 단면을 포함하는, 방법.
  46. 청구항 33 내지 44 중 어느 한 항에 있어서,
    상기 적어도 하나의 비아는 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있고 등거리에 있는 평면에 대해 비대칭인 단면을 포함하는, 방법.
  47. 적어도 하나의 관통 비아를 포함하는 유리 기반 기판을 형성하는 방법으로서, 상기 방법은:
    부식제에서 적어도 하나의 손상 트랙을 가진 유리 기반 기판을 에칭하는 단계; 및
    적어도 하나의 관통 비아가 연속으로 변하는 측벽 테이퍼 및 유리 기반 기판의 제1 주 표면과 제2 주 표면 사이에 있으며 등거리에 있는 평면에 대해 대칭인 단면을 포함하도록 적어도 하나의 관통 비아를 포함하는 유리 기반 기판을 형성하기 위해 상기 부식제의 온도, 농도, 교반 정도 중 적어도 하나를 조절하는 단계;를 포함하는, 방법.
  48. 적어도 하나의 블라인드 비아를 포함하는 유리 기반 기판을 형성하는 방법으로서, 상기 방법은:
    부식제에서 적어도 하나의 손상 트랙을 가진 유리 기반 기판을 에칭하는 단계; 및
    적어도 하나의 비아가 연속으로 변하는 측벽 테이퍼를 포함하도록 적어도 하나의 블라인드 비아를 포함하는 유리 기반 기판을 형성하기 위해 상기 부식제의 온도, 농도, 및 교반 정도 중 적어도 하나를 조절하는 단계;를 포함하는, 방법.
KR1020197038012A 2017-05-25 2018-05-22 기하학적 속성을 갖춘 비아를 가진 물품 및 이를 제조하는 방법 KR102539132B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762510869P 2017-05-25 2017-05-25
US62/510,869 2017-05-25
PCT/US2018/033809 WO2018217696A2 (en) 2017-05-25 2018-05-22 Articles having vias with geometry attributes and methods for fabricating the same

Publications (2)

Publication Number Publication Date
KR20200010478A true KR20200010478A (ko) 2020-01-30
KR102539132B1 KR102539132B1 (ko) 2023-06-01

Family

ID=63592786

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197038012A KR102539132B1 (ko) 2017-05-25 2018-05-22 기하학적 속성을 갖춘 비아를 가진 물품 및 이를 제조하는 방법

Country Status (6)

Country Link
US (2) US10580725B2 (ko)
JP (2) JP7320456B2 (ko)
KR (1) KR102539132B1 (ko)
CN (1) CN110709987B (ko)
TW (1) TWI790232B (ko)
WO (1) WO2018217696A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220105770A (ko) * 2021-01-21 2022-07-28 주식회사 야스 홀(Hole)을 구비한 유리기판 검사시스템

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
TWI785052B (zh) * 2017-06-01 2022-12-01 美商康寧公司 包括穿透孔洞貫孔的組件基板及其製作方法
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11344972B2 (en) 2019-02-11 2022-05-31 Corning Incorporated Laser processing of workpieces
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
KR102564761B1 (ko) * 2019-03-07 2023-08-07 앱솔릭스 인코포레이티드 패키징 기판 및 이를 포함하는 반도체 장치
US11981501B2 (en) 2019-03-12 2024-05-14 Absolics Inc. Loading cassette for substrate including glass and substrate loading method to which same is applied
JP7254930B2 (ja) 2019-03-12 2023-04-10 アブソリックス インコーポレイテッド パッケージング基板及びこれを含む半導体装置
WO2020227924A1 (en) * 2019-05-14 2020-11-19 Schott Glass Technologies (Suzhou) Co. Ltd. Thin glass substrate with high bending strength and method for producing same
WO2021092361A1 (en) * 2019-11-08 2021-05-14 Mosaic Microsystems Llc Facilitating formation of a via in a substrate
CN112192325B (zh) * 2020-10-09 2022-04-15 北京理工大学 飞秒激光在透明硬脆材料上加工微纳米尺度通孔的方法
EP3984970A1 (en) 2020-10-14 2022-04-20 Schott Ag Method for processing glass by alkaline etching
EP4011846A1 (en) * 2020-12-09 2022-06-15 Schott Ag Method of structuring a glass element and structured glass element produced thereby
US11764127B2 (en) * 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
JP2024051702A (ja) * 2022-09-30 2024-04-11 Toppanホールディングス株式会社 ガラス基板、多層配線基板、およびガラス基板の製造方法
KR102518456B1 (ko) * 2022-10-11 2023-04-06 주식회사 중우나라 유리패널 가공방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003197811A (ja) * 2001-12-27 2003-07-11 Hitachi Ltd ガラス基板及びその製造方法、並びに配線基板、半導体モジュール
JP2009200356A (ja) * 2008-02-22 2009-09-03 Tdk Corp プリント配線板及びその製造方法
JP2014127701A (ja) * 2012-12-27 2014-07-07 Ibiden Co Ltd 配線板及びその製造方法

Family Cites Families (785)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1790397A (en) 1931-01-27 Glass workins machine
US108387A (en) 1870-10-18 Improvement in machines for making rope
US237571A (en) 1881-02-08 messier
US208387A (en) 1878-09-24 Improvement in stocking-supporters
US2237571A (en) 1939-10-09 1941-04-08 Frederick M Bowers Weld probing apparatus
US2682134A (en) 1951-08-17 1954-06-29 Corning Glass Works Glass sheet containing translucent linear strips
US2749794A (en) 1953-04-24 1956-06-12 Corning Glass Works Illuminating glassware and method of making it
GB1242172A (en) 1968-02-23 1971-08-11 Ford Motor Co A process for chemically cutting glass
US3647410A (en) 1969-09-09 1972-03-07 Owens Illinois Inc Glass ribbon machine blow head mechanism
US3775084A (en) 1970-01-02 1973-11-27 Owens Illinois Inc Pressurizer apparatus for glass ribbon machine
US3729302A (en) 1970-01-02 1973-04-24 Owens Illinois Inc Removal of glass article from ribbon forming machine by vibrating force
US3695497A (en) 1970-08-26 1972-10-03 Ppg Industries Inc Method of severing glass
US3695498A (en) 1970-08-26 1972-10-03 Ppg Industries Inc Non-contact thermal cutting
US3713921A (en) 1971-04-01 1973-01-30 Gen Electric Geometry control of etched nuclear particle tracks
JPS5417765B1 (ko) 1971-04-26 1979-07-03
DE2231330A1 (de) 1972-06-27 1974-01-10 Agfa Gevaert Ag Verfahren und vorrichtung zur erzeugung eines scharfen fokus
DE2757890C2 (de) 1977-12-24 1981-10-15 Fa. Karl Lutz, 6980 Wertheim Verfahren und Vorrichtung zum Herstellen von Behältnissen aus Röhrenglas, insbesondere Ampullen
JPS55130839A (en) 1979-03-29 1980-10-11 Asahi Glass Co Ltd Uniform etching method of article
US4395271A (en) 1979-04-13 1983-07-26 Corning Glass Works Method for making porous magnetic glass and crystal-containing structures
JPS56129261A (en) 1980-03-17 1981-10-09 Hitachi Ltd Thin film-forming coating liquid composition
JPS56160893A (en) 1980-05-16 1981-12-10 Matsushita Electric Ind Co Ltd Absorbing film for laser work
US4441008A (en) 1981-09-14 1984-04-03 Ford Motor Company Method of drilling ultrafine channels through glass
US4507384A (en) 1983-04-18 1985-03-26 Nippon Telegraph & Telephone Public Corporation Pattern forming material and method for forming pattern therewith
US4546231A (en) 1983-11-14 1985-10-08 Group Ii Manufacturing Ltd. Creation of a parting zone in a crystal structure
JPS60220340A (ja) 1984-04-17 1985-11-05 Nippon Telegr & Teleph Corp <Ntt> 感光性樹脂組成物及びパタ−ン形成方法
US4646308A (en) 1985-09-30 1987-02-24 Spectra-Physics, Inc. Synchronously pumped dye laser using ultrashort pump pulses
AT384802B (de) 1986-05-28 1988-01-11 Avl Verbrennungskraft Messtech Verfahren zur herstellung von traegermaterialien fuer optische sensoren
US4749400A (en) 1986-12-12 1988-06-07 Ppg Industries, Inc. Discrete glass sheet cutting
DE3789858T2 (de) 1986-12-18 1994-09-01 Sumitomo Chemical Co Platten für Lichtkontrolle.
JP2691543B2 (ja) 1986-12-18 1997-12-17 住友化学工業株式会社 光制御板およびその製造方法
JPS63203775A (ja) 1987-02-19 1988-08-23 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 基板のメツキ処理方法
DE3882039T2 (de) 1987-10-01 1994-02-03 Asahi Glass Co Ltd Alkalifreies glas.
US4918751A (en) 1987-10-05 1990-04-17 The University Of Rochester Method for optical pulse transmission through optical fibers which increases the pulse power handling capacity of the fibers
IL84255A (en) 1987-10-23 1993-02-21 Galram Technology Ind Ltd Process for removal of post- baked photoresist layer
JPH01179770A (ja) 1988-01-12 1989-07-17 Hiroshima Denki Gakuen 金属とセラミックスとの接合方法
US4764930A (en) 1988-01-27 1988-08-16 Intelligent Surgical Lasers Multiwavelength laser source
US4907586A (en) 1988-03-31 1990-03-13 Intelligent Surgical Lasers Method for reshaping the eye
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
US5089062A (en) 1988-10-14 1992-02-18 Abb Power T&D Company, Inc. Drilling of steel sheet
US4929065A (en) 1988-11-03 1990-05-29 Isotec Partners, Ltd. Glass plate fusion for macro-gradient refractive index materials
US4891054A (en) 1988-12-30 1990-01-02 Ppg Industries, Inc. Method for cutting hot glass
US5166493A (en) 1989-01-10 1992-11-24 Canon Kabushiki Kaisha Apparatus and method of boring using laser
US4948941A (en) 1989-02-27 1990-08-14 Motorola, Inc. Method of laser drilling a substrate
US5112722A (en) 1989-04-12 1992-05-12 Nippon Sheet Glass Co., Ltd. Method of producing light control plate which induces scattering of light at different angles
US5208068A (en) 1989-04-17 1993-05-04 International Business Machines Corporation Lamination method for coating the sidewall or filling a cavity in a substrate
US5104210A (en) 1989-04-24 1992-04-14 Monsanto Company Light control films and method of making
US5035918A (en) 1989-04-26 1991-07-30 Amp Incorporated Non-flammable and strippable plating resist and method of using same
JPH0676269B2 (ja) 1990-02-28 1994-09-28 太陽誘電株式会社 セラミック基板のレーザースクライブ方法
US5040182A (en) 1990-04-24 1991-08-13 Coherent, Inc. Mode-locked laser
EP1159986A3 (en) 1991-11-06 2004-01-28 LAI, Shui, T. Corneal surgery device and method
US5314522A (en) 1991-11-19 1994-05-24 Seikosha Co., Ltd. Method of processing photosensitive glass with a pulsed laser to form grooves
US5374291A (en) 1991-12-10 1994-12-20 Director-General Of Agency Of Industrial Science And Technology Method of processing photosensitive glass
GB9218482D0 (en) 1992-09-01 1992-10-14 Dixon Arthur E Apparatus and method for scanning laser imaging of macroscopic samples
US5265107A (en) 1992-02-05 1993-11-23 Bell Communications Research, Inc. Broadband absorber having multiple quantum wells of different thicknesses
JPH05323110A (ja) 1992-05-22 1993-12-07 Hitachi Koki Co Ltd 多ビーム発生素子
JPH0679486A (ja) 1992-08-25 1994-03-22 Rohm Co Ltd インクジェットヘッドの加工方法
US6016223A (en) 1992-08-31 2000-01-18 Canon Kabushiki Kaisha Double bessel beam producing method and apparatus
CA2152067A1 (en) 1992-12-18 1994-07-07 Boris Goldfarb Process and apparatus for etching an image within a solid article
DE4305764A1 (de) 1993-02-25 1994-09-01 Krupp Foerdertechnik Gmbh Verlegbare Brücke und Einrichtung zum Verlegen der Brücke
JPH06318756A (ja) 1993-05-06 1994-11-15 Toshiba Corp レ−ザ装置
JP3293136B2 (ja) 1993-06-04 2002-06-17 セイコーエプソン株式会社 レーザ加工装置及びレーザ加工方法
CN1096936A (zh) 1993-07-01 1995-01-04 山东矿业学院济南分院 一种劳保饮料及其制造方法
JPH07136162A (ja) 1993-11-17 1995-05-30 Fujitsu Ltd 超音波カプラ
US6489589B1 (en) 1994-02-07 2002-12-03 Board Of Regents, University Of Nebraska-Lincoln Femtosecond laser utilization methods and apparatus and method for producing nanoparticles
US5436925A (en) 1994-03-01 1995-07-25 Hewlett-Packard Company Colliding pulse mode-locked fiber ring laser using a semiconductor saturable absorber
US5400350A (en) 1994-03-31 1995-03-21 Imra America, Inc. Method and apparatus for generating high energy ultrashort pulses
US5778016A (en) 1994-04-01 1998-07-07 Imra America, Inc. Scanning temporal ultrafast delay methods and apparatuses therefor
JP2526806B2 (ja) 1994-04-26 1996-08-21 日本電気株式会社 半導体レ―ザおよびその動作方法
WO1995031023A1 (en) 1994-05-09 1995-11-16 Massachusetts Institute Of Technology Dispersion-compensated laser using prismatic end elements
US5493096A (en) 1994-05-10 1996-02-20 Grumman Aerospace Corporation Thin substrate micro-via interconnect
JP3385442B2 (ja) 1994-05-31 2003-03-10 株式会社ニュークリエイション 検査用光学系および検査装置
US5434875A (en) 1994-08-24 1995-07-18 Tamar Technology Co. Low cost, high average power, high brightness solid state laser
US6016324A (en) 1994-08-24 2000-01-18 Jmar Research, Inc. Short pulse laser system
US5776220A (en) 1994-09-19 1998-07-07 Corning Incorporated Method and apparatus for breaking brittle materials
US5696782A (en) 1995-05-19 1997-12-09 Imra America, Inc. High power fiber chirped pulse amplification systems based on cladding pumped rare-earth doped fibers
US6120131A (en) 1995-08-28 2000-09-19 Lexmark International, Inc. Method of forming an inkjet printhead nozzle structure
JPH09106243A (ja) 1995-10-12 1997-04-22 Dainippon Printing Co Ltd ホログラムの複製方法
US5919607A (en) 1995-10-26 1999-07-06 Brown University Research Foundation Photo-encoded selective etching for glass based microtechnology applications
US5844200A (en) 1996-05-16 1998-12-01 Sendex Medical, Inc. Method for drilling subminiature through holes in a sensor substrate with a laser
JP2873937B2 (ja) 1996-05-24 1999-03-24 工業技術院長 ガラスの光微細加工方法
US5736709A (en) 1996-08-12 1998-04-07 Armco Inc. Descaling metal with a laser having a very short pulse width and high average power
US5746884A (en) 1996-08-13 1998-05-05 Advanced Micro Devices, Inc. Fluted via formation for superior metal step coverage
US7353829B1 (en) 1996-10-30 2008-04-08 Provectus Devicetech, Inc. Methods and apparatus for multi-photon photo-activation of therapeutic agents
US5965043A (en) 1996-11-08 1999-10-12 W. L. Gore & Associates, Inc. Method for using ultrasonic treatment in combination with UV-lasers to enable plating of high aspect ratio micro-vias
EP0951454B8 (en) 1996-11-13 2002-11-20 Corning Incorporated Method for forming an internally channeled glass article
KR19980064028A (ko) 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
JP3118203B2 (ja) 1997-03-27 2000-12-18 住友重機械工業株式会社 レーザ加工方法
JP3644187B2 (ja) 1997-04-17 2005-04-27 三菱電機株式会社 遮断器の蓄勢装置
JP3227106B2 (ja) 1997-04-23 2001-11-12 株式会社ミツトヨ 内径測定方法および内径測定装置
US5933230A (en) 1997-04-28 1999-08-03 International Business Machines Corporation Surface inspection tool
JP3957010B2 (ja) 1997-06-04 2007-08-08 日本板硝子株式会社 微細孔を有するガラス基材
US6156030A (en) 1997-06-04 2000-12-05 Y-Beam Technologies, Inc. Method and apparatus for high precision variable rate material removal and modification
BE1011208A4 (fr) 1997-06-11 1999-06-01 Cuvelier Georges Procede de decalottage de pieces en verre.
DE19728766C1 (de) 1997-07-07 1998-12-17 Schott Rohrglas Gmbh Verwendung eines Verfahrens zur Herstellung einer Sollbruchstelle bei einem Glaskörper
US6078599A (en) 1997-07-22 2000-06-20 Cymer, Inc. Wavelength shift correction technique for a laser
JP3264224B2 (ja) 1997-08-04 2002-03-11 キヤノン株式会社 照明装置及びそれを用いた投影露光装置
WO1999029243A1 (en) 1997-12-05 1999-06-17 Thermolase Corporation Skin enhancement using laser light
US6501578B1 (en) 1997-12-19 2002-12-31 Electric Power Research Institute, Inc. Apparatus and method for line of sight laser communications
JPH11197498A (ja) 1998-01-13 1999-07-27 Japan Science & Technology Corp 無機材料内部の選択的改質方法及び内部が選択的に改質された無機材料
US6272156B1 (en) 1998-01-28 2001-08-07 Coherent, Inc. Apparatus for ultrashort pulse transportation and delivery
JPH11240730A (ja) 1998-02-27 1999-09-07 Nec Kansai Ltd 脆性材料の割断方法
JPH11269683A (ja) 1998-03-18 1999-10-05 Armco Inc 金属表面から酸化物を除去する方法及び装置
US6160835A (en) 1998-03-20 2000-12-12 Rocky Mountain Instrument Co. Hand-held marker with dual output laser
JPH11297703A (ja) 1998-04-15 1999-10-29 Fuji Electric Co Ltd 半導体装置の製造方法
US6256328B1 (en) 1998-05-15 2001-07-03 University Of Central Florida Multiwavelength modelocked semiconductor diode laser
US6308055B1 (en) 1998-05-29 2001-10-23 Silicon Laboratories, Inc. Method and apparatus for operating a PLL for synthesizing high-frequency signals for wireless communications
JPH11347758A (ja) 1998-06-10 1999-12-21 Mitsubishi Heavy Ind Ltd 超精密加工装置
JP3410968B2 (ja) 1998-06-22 2003-05-26 株式会社東芝 パターン形成方法および感光性組成物
US20020062563A1 (en) 1998-06-29 2002-05-30 Jun Koide Method for processing discharge port of ink jet head, and method for manufacturing ink jet head
JP4396953B2 (ja) 1998-08-26 2010-01-13 三星電子株式会社 レーザ切断装置および切断方法
US6124214A (en) 1998-08-27 2000-09-26 Micron Technology, Inc. Method and apparatus for ultrasonic wet etching of silicon
DE19851353C1 (de) 1998-11-06 1999-10-07 Schott Glas Verfahren und Vorrichtung zum Schneiden eines Laminats aus einem sprödbrüchigen Werkstoff und einem Kunststoff
JP3178524B2 (ja) 1998-11-26 2001-06-18 住友重機械工業株式会社 レーザマーキング方法と装置及びマーキングされた部材
JP4547093B2 (ja) 1998-11-30 2010-09-22 コーニング インコーポレイテッド フラットパネルディスプレイ用ガラス
US7649153B2 (en) 1998-12-11 2010-01-19 International Business Machines Corporation Method for minimizing sample damage during the ablation of material using a focused ultrashort pulsed laser beam
US6445491B2 (en) 1999-01-29 2002-09-03 Irma America, Inc. Method and apparatus for optical sectioning and imaging using time-gated parametric image amplification
US6381391B1 (en) 1999-02-19 2002-04-30 The Regents Of The University Of Michigan Method and system for generating a broadband spectral continuum and continuous wave-generating system utilizing same
US6563079B1 (en) 1999-02-25 2003-05-13 Seiko Epson Corporation Method for machining work by laser beam
DE19908630A1 (de) 1999-02-27 2000-08-31 Bosch Gmbh Robert Abschirmung gegen Laserstrahlen
JP2001105398A (ja) 1999-03-04 2001-04-17 Seiko Epson Corp 加工方法
JP4218209B2 (ja) 1999-03-05 2009-02-04 三菱電機株式会社 レーザ加工装置
US6484052B1 (en) 1999-03-30 2002-11-19 The Regents Of The University Of California Optically generated ultrasound for enhanced drug delivery
DE60038400T2 (de) 1999-04-02 2009-04-23 Murata Mfg. Co., Ltd., Nagaokakyo-shi Laserverfahren zur Bearbeitung von Löchern nur in einer keramischen Grünfolie mit einem Trägerfilm
JP2000301372A (ja) 1999-04-23 2000-10-31 Seiko Epson Corp 透明材料のレーザ加工方法
JP2000302488A (ja) 1999-04-23 2000-10-31 Seiko Epson Corp ガラスの微細穴加工方法
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6373565B1 (en) 1999-05-27 2002-04-16 Spectra Physics Lasers, Inc. Method and apparatus to detect a flaw in a surface of an article
CN2388062Y (zh) 1999-06-21 2000-07-19 郭广宗 一层有孔一层无孔双层玻璃车船窗
US6449301B1 (en) 1999-06-22 2002-09-10 The Regents Of The University Of California Method and apparatus for mode locking of external cavity semiconductor lasers with saturable Bragg reflectors
US6259151B1 (en) 1999-07-21 2001-07-10 Intersil Corporation Use of barrier refractive or anti-reflective layer to improve laser trim characteristics of thin film resistors
BR0012797A (pt) 1999-07-29 2003-07-15 Corning Inc Dispositivos óticos de inscrição direta em vidro baseado em sìlica usando lasers de pulso femtossegundos
US6573026B1 (en) 1999-07-29 2003-06-03 Corning Incorporated Femtosecond laser writing of glass, including borosilicate, sulfide, and lead glasses
JP2001106545A (ja) 1999-07-30 2001-04-17 Hoya Corp ガラス基板、半導体センサの製造方法および半導体センサ
US6537937B1 (en) 1999-08-03 2003-03-25 Asahi Glass Company, Limited Alkali-free glass
US6391213B1 (en) 1999-09-07 2002-05-21 Komag, Inc. Texturing of a landing zone on glass-based substrates by a chemical etching process
US6344242B1 (en) 1999-09-10 2002-02-05 Mcdonnell Douglas Corporation Sol-gel catalyst for electroless plating
US6234755B1 (en) 1999-10-04 2001-05-22 General Electric Company Method for improving the cooling effectiveness of a gaseous coolant stream, and related articles of manufacture
DE19952331C1 (de) 1999-10-29 2001-08-30 Schott Spezialglas Gmbh Verfahren und Vorrichtung zum schnellen Schneiden eines Werkstücks aus sprödbrüchigem Werkstoff mittels Laserstrahlen
US6479395B1 (en) 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
JP2001138083A (ja) 1999-11-18 2001-05-22 Seiko Epson Corp レーザー加工装置及びレーザー照射方法
JP4592855B2 (ja) 1999-12-24 2010-12-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6339208B1 (en) 2000-01-19 2002-01-15 General Electric Company Method of forming cooling holes
US6552301B2 (en) 2000-01-25 2003-04-22 Peter R. Herman Burst-ultrafast laser machining method
TW571081B (en) 2000-04-27 2004-01-11 Seiko Epson Corp Method and apparatus for examining foreign matters in through holes
JP4013551B2 (ja) 2000-04-27 2007-11-28 セイコーエプソン株式会社 透孔内異物検査方法及び透孔内異物検査装置
JP2001354439A (ja) 2000-06-12 2001-12-25 Matsushita Electric Ind Co Ltd ガラス基板の加工方法および高周波回路の製作方法
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP3797068B2 (ja) 2000-07-10 2006-07-12 セイコーエプソン株式会社 レーザによる微細加工方法
US6399914B1 (en) 2000-07-10 2002-06-04 Igor Troitski Method and laser system for production of high quality laser-induced damage images by using material processing made before and during image creation
JP3530114B2 (ja) 2000-07-11 2004-05-24 忠弘 大見 単結晶の切断方法
JP2002040330A (ja) 2000-07-25 2002-02-06 Olympus Optical Co Ltd 光学素子切換え制御装置
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
JP4786783B2 (ja) 2000-08-18 2011-10-05 日本板硝子株式会社 ガラス板の切断方法及び記録媒体用ガラス円盤
US7115531B2 (en) 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
JP4659300B2 (ja) 2000-09-13 2011-03-30 浜松ホトニクス株式会社 レーザ加工方法及び半導体チップの製造方法
KR100673073B1 (ko) 2000-10-21 2007-01-22 삼성전자주식회사 레이저 빔을 이용한 비금속 기판의 절단 방법 및 장치
JP4512786B2 (ja) 2000-11-17 2010-07-28 独立行政法人産業技術総合研究所 ガラス基板の加工方法
US20020110639A1 (en) 2000-11-27 2002-08-15 Donald Bruns Epoxy coating for optical surfaces
US20020082466A1 (en) 2000-12-22 2002-06-27 Jeongho Han Laser surgical system with light source and video scope
JP4880820B2 (ja) 2001-01-19 2012-02-22 株式会社レーザーシステム レーザ支援加工方法
JP2002228818A (ja) 2001-02-05 2002-08-14 Taiyo Yuden Co Ltd レーザー加工用回折光学素子、レーザー加工装置及びレーザー加工方法
KR20020066005A (ko) 2001-02-08 2002-08-14 황선우 인쇄회로기판의 코팅방법
JP2002265233A (ja) 2001-03-05 2002-09-18 Nippon Sheet Glass Co Ltd レーザ加工用母材ガラスおよびレーザ加工用ガラス
JPWO2002081142A1 (ja) 2001-04-02 2004-07-29 太陽誘電株式会社 レーザー光による透光材の加工方法及び透光材加工物
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
JP4092890B2 (ja) 2001-05-31 2008-05-28 株式会社日立製作所 マルチチップモジュール
JP4929538B2 (ja) 2001-06-29 2012-05-09 株式会社デンソー 半導体装置の製造方法
SG108262A1 (en) 2001-07-06 2005-01-28 Inst Data Storage Method and apparatus for cutting a multi-layer substrate by dual laser irradiation
US6754429B2 (en) 2001-07-06 2004-06-22 Corning Incorporated Method of making optical fiber devices and devices thereof
JP3775250B2 (ja) 2001-07-12 2006-05-17 セイコーエプソン株式会社 レーザー加工方法及びレーザー加工装置
US7183650B2 (en) 2001-07-12 2007-02-27 Renesas Technology Corp. Wiring glass substrate for connecting a semiconductor chip to a printed wiring substrate and a semiconductor module having the wiring glass substrate
US6794605B2 (en) 2001-08-02 2004-09-21 Skc Co., Ltd Method for fabricating chemical mechanical polshing pad using laser
WO2003015976A1 (fr) 2001-08-10 2003-02-27 Mitsuboshi Diamond Industrial Co., Ltd. Procede et dispositif de chanfreinage de materiau friable
JP3795778B2 (ja) 2001-08-24 2006-07-12 株式会社ノリタケカンパニーリミテド 水添ビスフェノールa型エポキシ樹脂を用いたレジノイド研削砥石
JP2005503982A (ja) 2001-08-30 2005-02-10 アクティナ リミテッド 薄膜多孔性セラミック−金属複合物を生成するためのプロセスおよびこのプロセスにより入手された複合物
WO2006025347A1 (ja) 2004-08-31 2006-03-09 National University Corporation Tohoku University 銅合金及び液晶表示装置
JP2003114400A (ja) 2001-10-04 2003-04-18 Sumitomo Electric Ind Ltd レーザ光学システムおよびレーザ加工方法
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
JP2003148931A (ja) 2001-11-16 2003-05-21 Sefa Technology Kk 中空透明体の内径測定方法およびその装置
JP2003154517A (ja) 2001-11-21 2003-05-27 Seiko Epson Corp 脆性材料の割断加工方法およびその装置、並びに電子部品の製造方法
US6720519B2 (en) 2001-11-30 2004-04-13 Matsushita Electric Industrial Co., Ltd. System and method of laser drilling
US6973384B2 (en) 2001-12-06 2005-12-06 Bellsouth Intellectual Property Corporation Automated location-intelligent traffic notification service systems and methods
US7357486B2 (en) * 2001-12-20 2008-04-15 Hewlett-Packard Development Company, L.P. Method of laser machining a fluid slot
JP3998984B2 (ja) 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
JP2003226551A (ja) 2002-02-05 2003-08-12 Nippon Sheet Glass Co Ltd 微細孔を有するガラス板およびその製造方法
JP2003238178A (ja) 2002-02-21 2003-08-27 Toshiba Ceramics Co Ltd ガス導入用シャワープレート及びその製造方法
JP4267240B2 (ja) 2002-02-22 2009-05-27 日本板硝子株式会社 ガラス構造物の製造方法
EP2216128B1 (en) 2002-03-12 2016-01-27 Hamamatsu Photonics K.K. Method of cutting object to be processed
DE10211760A1 (de) 2002-03-14 2003-10-02 Werth Messtechnik Gmbh Anordnung und Verfahren zum Messen von Geometrien bzw. Strukturen von im Wesentlichen zweidimensionalen Objekten mittels Bildverarbeitungssenorik
US6744009B1 (en) 2002-04-02 2004-06-01 Seagate Technology Llc Combined laser-scribing and laser-breaking for shaping of brittle substrates
US6787732B1 (en) 2002-04-02 2004-09-07 Seagate Technology Llc Method for laser-scribing brittle substrates and apparatus therefor
CA2428187C (en) 2002-05-08 2012-10-02 National Research Council Of Canada Method of fabricating sub-micron structures in transparent dielectric materials
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
JP2004086137A (ja) 2002-07-01 2004-03-18 Seiko Epson Corp 光トランシーバ及びその製造方法
US6992030B2 (en) 2002-08-29 2006-01-31 Corning Incorporated Low-density glass for flat panel display substrates
US6737345B1 (en) 2002-09-10 2004-05-18 Taiwan Semiconductor Manufacturing Company Scheme to define laser fuse in dual damascene CU process
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7106342B2 (en) 2002-09-27 2006-09-12 Lg Electronics Inc. Method of controlling brightness of user-selected area for image display device
US7098117B2 (en) 2002-10-18 2006-08-29 The Regents Of The University Of Michigan Method of fabricating a package with substantially vertical feedthroughs for micromachined or MEMS devices
KR100444588B1 (ko) 2002-11-12 2004-08-16 삼성전자주식회사 글래스 웨이퍼의 비아홀 형성방법
GB2395157B (en) 2002-11-15 2005-09-07 Rolls Royce Plc Laser driliing shaped holes
JP3997150B2 (ja) 2002-12-06 2007-10-24 ソニー株式会社 基板製造装置および製造方法
US7880117B2 (en) 2002-12-24 2011-02-01 Panasonic Corporation Method and apparatus of drilling high density submicron cavities using parallel laser beams
JP2004209675A (ja) 2002-12-26 2004-07-29 Kashifuji:Kk 押圧切断装置及び押圧切断方法
KR100497820B1 (ko) 2003-01-06 2005-07-01 로체 시스템즈(주) 유리판절단장치
JP3775410B2 (ja) 2003-02-03 2006-05-17 セイコーエプソン株式会社 レーザー加工方法、レーザー溶接方法並びにレーザー加工装置
KR100512971B1 (ko) 2003-02-24 2005-09-07 삼성전자주식회사 솔더볼을 이용한 마이크로 전자 기계 시스템의 제조 방법
WO2004078668A1 (ja) 2003-03-03 2004-09-16 Nippon Sheet Glass Company, Limited 凹凸のある表面を有する物品の製造方法
US7407889B2 (en) 2003-03-03 2008-08-05 Nippon Sheet Glass Company, Limited Method of manufacturing article having uneven surface
JP2004272014A (ja) 2003-03-10 2004-09-30 Seiko Epson Corp 光通信モジュールの製造方法、光通信モジュール、及び電子機器
US8685838B2 (en) 2003-03-12 2014-04-01 Hamamatsu Photonics K.K. Laser beam machining method
JP3577492B1 (ja) 2003-03-24 2004-10-13 西山ステンレスケミカル株式会社 ガラスの切断分離方法、フラットパネルディスプレイ用ガラス基板及びフラットパネルディスプレイ
CA2522807A1 (en) 2003-04-22 2004-11-04 The Coca-Cola Company Method and apparatus for strengthening glass
DE10319135B4 (de) * 2003-04-28 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Elektroplattieren von Kupfer über einer strukturierten dielektrischen Schicht, um die Prozess-Gleichförmigkeit eines nachfolgenden CMP-Prozesses zu verbessern
JP2004330236A (ja) 2003-05-07 2004-11-25 Mitsubishi Gas Chem Co Inc レーザー孔あけ用補助シート
US7511886B2 (en) 2003-05-13 2009-03-31 Carl Zeiss Smt Ag Optical beam transformation system and illumination system comprising an optical beam transformation system
DE10322376A1 (de) 2003-05-13 2004-12-02 Carl Zeiss Smt Ag Axiconsystem und Beleuchtungssystem damit
FR2855084A1 (fr) 2003-05-22 2004-11-26 Air Liquide Optique de focalisation pour le coupage laser
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
JP2004351494A (ja) 2003-05-30 2004-12-16 Seiko Epson Corp レーザーに対して透明な材料の穴あけ加工方法
JP2004363212A (ja) 2003-06-03 2004-12-24 Hitachi Metals Ltd スルーホール導体を持った配線基板
JP2005019576A (ja) 2003-06-25 2005-01-20 Hitachi Metals Ltd スルーホール導体を持った配線基板
JP2005000952A (ja) 2003-06-12 2005-01-06 Nippon Sheet Glass Co Ltd レーザー加工方法及びレーザー加工装置
JP2005011920A (ja) 2003-06-18 2005-01-13 Hitachi Displays Ltd 表示装置とその製造方法
WO2004113993A1 (en) 2003-06-26 2004-12-29 Risø National Laboratory Generation of a desired wavefront with a plurality of phase contrast filters
CA2530607A1 (en) 2003-06-27 2005-04-07 Purdue Research Foundation Device for detecting biological and chemical particles
EP1649965B1 (en) 2003-07-18 2012-10-24 Hamamatsu Photonics K. K. Method of laser beam machining a machining target
US6990285B2 (en) 2003-07-31 2006-01-24 Corning Incorporated Method of making at least one hole in a transparent body and devices made by this method
US7258834B2 (en) 2003-08-01 2007-08-21 Agilent Technologies, Inc. Methods and devices for modifying a substrate surface
TWI269684B (en) 2003-08-08 2007-01-01 Hon Hai Prec Ind Co Ltd A process for laser machining
JP2005104819A (ja) 2003-09-10 2005-04-21 Nippon Sheet Glass Co Ltd 合せガラスの切断方法及び合せガラス切断装置
JP3974127B2 (ja) 2003-09-12 2007-09-12 株式会社東芝 半導体装置の製造方法
JP4849890B2 (ja) 2003-10-06 2012-01-11 Hoya株式会社 貫通孔を有するガラス部品およびその製造方法
WO2005034594A1 (ja) 2003-10-06 2005-04-14 Hoya Corporation 感光性ガラス基板の貫通孔形成方法
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
ES2247890B1 (es) 2003-10-10 2006-11-16 Universitat Politecnica De Catalunya Procedimiento y equipo de metrologia optica para la determinacion de la topografia tridimensional de un orificio, en particular para la medicion de boquillas micrometricas troncoconicas y similares.
JP2005138143A (ja) 2003-11-06 2005-06-02 Disco Abrasive Syst Ltd レーザ光線を利用する加工装置
US7172067B2 (en) 2003-11-10 2007-02-06 Johnson Level & Tool Mfg. Co., Inc. Level case with positioning indentations
JP2005144487A (ja) 2003-11-13 2005-06-09 Seiko Epson Corp レーザ加工装置及びレーザ加工方法
JP2005144622A (ja) 2003-11-18 2005-06-09 Seiko Epson Corp 構造体の製造方法、液滴吐出ヘッド、液滴吐出装置
WO2005063435A1 (ja) 2003-12-25 2005-07-14 Nitto Denko Corporation レーザー加工用保護シート及びレーザー加工品の製造方法
CN1902138B (zh) 2003-12-30 2012-05-09 康宁股份有限公司 高应变点玻璃
US7633033B2 (en) 2004-01-09 2009-12-15 General Lasertronics Corporation Color sensing for laser decoating
JP4349132B2 (ja) 2004-01-09 2009-10-21 アイシン精機株式会社 凹部加工装置
US20080099444A1 (en) 2004-01-16 2008-05-01 Hiroaki Misawa Micro-Fabrication Method
US7316844B2 (en) 2004-01-16 2008-01-08 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
JP4074589B2 (ja) 2004-01-22 2008-04-09 Tdk株式会社 レーザ加工装置及びレーザ加工方法
US7057135B2 (en) 2004-03-04 2006-06-06 Matsushita Electric Industrial, Co. Ltd. Method of precise laser nanomachining with UV ultrafast laser pulses
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
KR100813350B1 (ko) 2004-03-05 2008-03-12 올림푸스 가부시키가이샤 레이저 가공 장치
JP2005257339A (ja) 2004-03-09 2005-09-22 Heureka Co Ltd 半導体ウエハ検査装置
JP4737709B2 (ja) 2004-03-22 2011-08-03 日本電気硝子株式会社 ディスプレイ基板用ガラスの製造方法
JP4418282B2 (ja) 2004-03-31 2010-02-17 株式会社レーザーシステム レーザ加工方法
JP2005306702A (ja) 2004-04-26 2005-11-04 Namiki Precision Jewel Co Ltd テーパー形状を有する微小穴の形成方法
US7303648B2 (en) * 2004-05-25 2007-12-04 Intel Corporation Via etch process
JP4631044B2 (ja) 2004-05-26 2011-02-16 国立大学法人北海道大学 レーザ加工方法および装置
US7985942B2 (en) 2004-05-28 2011-07-26 Electro Scientific Industries, Inc. Method of providing consistent quality of target material removal by lasers having different output performance characteristics
JP2005340835A (ja) 2004-05-28 2005-12-08 Hoya Corp 電子線露光用マスクブランクおよびマスク
US7804043B2 (en) 2004-06-15 2010-09-28 Laserfacturing Inc. Method and apparatus for dicing of thin and ultra thin semiconductor wafer using ultrafast pulse laser
KR20060000515A (ko) 2004-06-29 2006-01-06 대주전자재료 주식회사 플라즈마 디스플레이 패널 격벽용 무연 유리 조성물
US7164465B2 (en) 2004-07-13 2007-01-16 Anvik Corporation Versatile maskless lithography system with multiple resolutions
WO2006023942A2 (en) 2004-08-23 2006-03-02 Optical Research Associates Lighting systems for producing different beam patterns
US7940361B2 (en) 2004-08-31 2011-05-10 Advanced Interconnect Materials, Llc Copper alloy and liquid-crystal display device
JP3887394B2 (ja) 2004-10-08 2007-02-28 芝浦メカトロニクス株式会社 脆性材料の割断加工システム及びその方法
EP1806202B1 (en) 2004-10-25 2011-08-17 Mitsuboshi Diamond Industrial Co., Ltd. Method and device for forming crack
JP4692717B2 (ja) 2004-11-02 2011-06-01 澁谷工業株式会社 脆性材料の割断装置
JP4222296B2 (ja) 2004-11-22 2009-02-12 住友電気工業株式会社 レーザ加工方法とレーザ加工装置
JP2006161124A (ja) 2004-12-09 2006-06-22 Canon Inc 貫通電極の形成方法
US7201965B2 (en) 2004-12-13 2007-04-10 Corning Incorporated Glass laminate substrate having enhanced impact and static loading resistance
JP5037138B2 (ja) 2005-01-05 2012-09-26 Thk株式会社 ワークのブレイク方法及び装置、スクライブ及びブレイク方法、並びにブレイク機能付きスクライブ装置
CN100546004C (zh) 2005-01-05 2009-09-30 Thk株式会社 工件的截断方法和装置、划线和截断方法、以及带截断功能的划线装置
US20060207976A1 (en) 2005-01-21 2006-09-21 Bovatsek James M Laser material micromachining with green femtosecond pulses
JPWO2006082738A1 (ja) 2005-02-03 2008-06-26 株式会社ニコン オプティカルインテグレータ、照明光学装置、露光装置、および露光方法
JP2006248885A (ja) 2005-02-08 2006-09-21 Takeji Arai 超短パルスレーザによる石英の切断方法
JP2006290630A (ja) 2005-02-23 2006-10-26 Nippon Sheet Glass Co Ltd レーザを用いたガラスの加工方法
US7438824B2 (en) 2005-03-25 2008-10-21 National Research Council Of Canada Fabrication of long range periodic nanostructures in transparent or semitransparent dielectrics
US20060261118A1 (en) 2005-05-17 2006-11-23 Cox Judy K Method and apparatus for separating a pane of brittle material from a moving ribbon of the material
TWI394504B (zh) 2005-05-31 2013-04-21 Hitachi Via Mechanics Ltd 印刷配線板之製造方法與使用該方法製出的銅箔層積板以及處理液
WO2006129473A1 (ja) 2005-06-01 2006-12-07 Phoeton Corp. レーザー加工装置及びレーザー加工方法
JP4410159B2 (ja) 2005-06-24 2010-02-03 三菱電機株式会社 交流回転電機
US7425507B2 (en) 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
JP4490883B2 (ja) 2005-07-19 2010-06-30 株式会社レーザーシステム レーザ加工装置およびレーザ加工方法
JP4889974B2 (ja) 2005-08-01 2012-03-07 新光電気工業株式会社 電子部品実装構造体及びその製造方法
US7429529B2 (en) 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US20070031992A1 (en) * 2005-08-05 2007-02-08 Schatz Kenneth D Apparatuses and methods facilitating functional block deposition
US7683370B2 (en) 2005-08-17 2010-03-23 Kobe Steel, Ltd. Source/drain electrodes, transistor substrates and manufacture methods, thereof, and display devices
DE102005039833A1 (de) 2005-08-22 2007-03-01 Rowiak Gmbh Vorrichtung und Verfahren zur Materialtrennung mit Laserpulsen
JP2007067031A (ja) 2005-08-30 2007-03-15 Tdk Corp 配線基板の製造方法
US7772115B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US9138913B2 (en) 2005-09-08 2015-09-22 Imra America, Inc. Transparent material processing with an ultrashort pulse laser
DE102006042280A1 (de) 2005-09-08 2007-06-06 IMRA America, Inc., Ann Arbor Bearbeitung von transparentem Material mit einem Ultrakurzpuls-Laser
WO2007032501A1 (ja) 2005-09-12 2007-03-22 Nippon Sheet Glass Company, Limited 中間膜分離液及び中間膜分離方法
CN1761378A (zh) 2005-09-20 2006-04-19 沪士电子股份有限公司 直接co2激光钻孔方法
JP4650837B2 (ja) 2005-09-22 2011-03-16 住友電気工業株式会社 レーザ光学装置
US7838331B2 (en) 2005-11-16 2010-11-23 Denso Corporation Method for dicing semiconductor substrate
US20070111480A1 (en) 2005-11-16 2007-05-17 Denso Corporation Wafer product and processing method therefor
JP2007142001A (ja) 2005-11-16 2007-06-07 Denso Corp レーザ加工装置およびレーザ加工方法
JP4424302B2 (ja) 2005-11-16 2010-03-03 株式会社デンソー 半導体チップの製造方法
US7678529B2 (en) 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
JP4708428B2 (ja) 2005-11-22 2011-06-22 オリンパス株式会社 ガラス基材の加工方法
US7977601B2 (en) 2005-11-28 2011-07-12 Electro Scientific Industries, Inc. X and Y orthogonal cut direction processing with set beam separation using 45 degree beam split orientation apparatus and method
CN101331592B (zh) 2005-12-16 2010-06-16 株式会社半导体能源研究所 激光照射设备、激光照射方法和半导体装置的制造方法
JP4483793B2 (ja) 2006-01-27 2010-06-16 セイコーエプソン株式会社 微細構造体の製造方法及び製造装置
US8007913B2 (en) 2006-02-10 2011-08-30 Corning Incorporated Laminated glass articles and methods of making thereof
US7418181B2 (en) 2006-02-13 2008-08-26 Adc Telecommunications, Inc. Fiber optic splitter module
JP2007220782A (ja) 2006-02-15 2007-08-30 Shin Etsu Chem Co Ltd Soi基板およびsoi基板の製造方法
KR100985428B1 (ko) 2006-02-15 2010-10-05 아사히 가라스 가부시키가이샤 유리 기판의 모따기 방법 및 장치
US7535634B1 (en) 2006-02-16 2009-05-19 The United States Of America As Represented By The National Aeronautics And Space Administration Optical device, system, and method of generating high angular momentum beams
US20090013724A1 (en) 2006-02-22 2009-01-15 Nippon Sheet Glass Company, Limited Glass Processing Method Using Laser and Processing Device
JP4672689B2 (ja) 2006-02-22 2011-04-20 日本板硝子株式会社 レーザを用いたガラスの加工方法および加工装置
WO2007096460A2 (en) 2006-02-23 2007-08-30 Picodeon Ltd Oy Surface treatment technique and surface treatment apparatus associated with ablation technology
GB0605576D0 (en) 2006-03-20 2006-04-26 Oligon Ltd MEMS device
JP2007253203A (ja) 2006-03-24 2007-10-04 Sumitomo Electric Ind Ltd レーザ加工用光学装置
KR101530379B1 (ko) 2006-03-29 2015-06-22 삼성전자주식회사 다공성 글래스 템플릿을 이용한 실리콘 나노 와이어의제조방법 및 이에 의해 형성된 실리콘 나노 와이어를포함하는 소자
WO2007135874A1 (ja) 2006-05-18 2007-11-29 Asahi Glass Company, Limited 透明電極付きガラス基板とその製造方法
US7777275B2 (en) 2006-05-18 2010-08-17 Macronix International Co., Ltd. Silicon-on-insulator structures
JP2007307599A (ja) 2006-05-20 2007-11-29 Sumitomo Electric Ind Ltd スルーホール成形体およびレーザー加工方法
US20070298529A1 (en) 2006-05-31 2007-12-27 Toyoda Gosei, Co., Ltd. Semiconductor light-emitting device and method for separating semiconductor light-emitting devices
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7897487B2 (en) 2006-07-03 2011-03-01 Hamamatsu Photonics K.K. Laser processing method and chip
WO2008007622A1 (fr) 2006-07-12 2008-01-17 Asahi Glass Company, Limited substrat de verre avec verre de protection, processus de fabrication d'UN affichage EN utilisant un SUBSTRAT DE VERRE AVEC VERRE DE PROTECTION, et silicone pour papier détachable
DE102006035555A1 (de) 2006-07-27 2008-01-31 Eliog-Kelvitherm Industrieofenbau Gmbh Anordnung und Verfahren zur Verformung von Glasscheiben
JP5247448B2 (ja) 2006-08-10 2013-07-24 株式会社アルバック 導電膜形成方法、薄膜トランジスタの製造方法
US8168514B2 (en) 2006-08-24 2012-05-01 Corning Incorporated Laser separation of thin laminated glass substrates for flexible display applications
US8188404B2 (en) 2006-09-19 2012-05-29 Hamamatsu Photonics K.K. Laser processing method and laser processing apparatus
JP2008094641A (ja) 2006-10-06 2008-04-24 Ohara Inc 基板の製造方法
JP2008119698A (ja) * 2006-11-08 2008-05-29 Takatori Corp Co2レーザーでの基板への穴開け方法及び装置
US7534734B2 (en) 2006-11-13 2009-05-19 Corning Incorporated Alkali-free glasses containing iron and tin as fining agents
US20080118159A1 (en) 2006-11-21 2008-05-22 Robert Wendell Sharps Gauge to measure distortion in glass sheet
JP4355743B2 (ja) 2006-12-04 2009-11-04 株式会社神戸製鋼所 Cu合金配線膜とそのCu合金配線膜を用いたフラットパネルディスプレイ用TFT素子、及びそのCu合金配線膜を作製するためのCu合金スパッタリングターゲット
JP5357046B2 (ja) 2006-12-20 2013-12-04 ダウ・コーニング・コーポレイション 硬化シリコーン組成物で被覆またはラミネートされたガラス基板
WO2008088407A1 (en) 2006-12-20 2008-07-24 Dow Corning Corporation Glass substrates coated or laminated with multiple layers of cured silicone resin compositions
AT504726A1 (de) 2007-01-05 2008-07-15 Lisec Maschb Gmbh Verfahren und vorrichtung zum herstellen eines trennspalts in einer glasscheibe
US8344286B2 (en) 2007-01-18 2013-01-01 International Business Machines Corporation Enhanced quality of laser ablation by controlling laser repetition rate
US20080194109A1 (en) 2007-02-14 2008-08-14 Renesas Technology Corp. Method of fabricating a semiconductor device
US20100029460A1 (en) 2007-02-22 2010-02-04 Nippon Sheet Glass Company, Limited Glass for anodic bonding
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP5483821B2 (ja) 2007-02-27 2014-05-07 AvanStrate株式会社 表示装置用ガラス基板および表示装置
TWI486320B (zh) 2007-03-02 2015-06-01 Nippon Electric Glass Co 強化板玻璃及其製造方法
CN101021490B (zh) 2007-03-12 2012-11-14 3i系统公司 平面基板自动检测系统及方法
US8110425B2 (en) 2007-03-20 2012-02-07 Luminus Devices, Inc. Laser liftoff structure and related methods
WO2008119080A1 (en) 2007-03-28 2008-10-02 Life Bioscience Inc. Compositions and methods to fabricate a photoactive substrate suitable for shaped glass structures
JP5154814B2 (ja) 2007-03-29 2013-02-27 東ソー・クォーツ株式会社 石英ガラス材料の製造方法
JP5784273B2 (ja) 2007-04-05 2015-09-24 チャーム エンジニアリング株式会社 レーザ加工方法及び切断方法並びに多層基板を有する構造体の分割方法
DE102007018674A1 (de) 2007-04-18 2008-10-23 Lzh Laserzentrum Hannover E.V. Verfahren zum Bilden von Durchgangslöchern in Bauteilen aus Glas
JP2008288577A (ja) 2007-04-18 2008-11-27 Fujikura Ltd 基板の処理方法、貫通配線基板及びその製造方法、並びに電子部品
JP4882854B2 (ja) 2007-04-27 2012-02-22 セントラル硝子株式会社 ガラス用コーティング組成物
JP5172203B2 (ja) 2007-05-16 2013-03-27 大塚電子株式会社 光学特性測定装置および測定方法
JP2009013046A (ja) 2007-06-05 2009-01-22 Asahi Glass Co Ltd ガラス基板表面を加工する方法
US8236116B2 (en) 2007-06-06 2012-08-07 Centre Luxembourgeois De Recherches Pour Le Verre Et Al Ceramique S.A. (C.R.V.C.) Method of making coated glass article, and intermediate product used in same
JP5435394B2 (ja) 2007-06-08 2014-03-05 日本電気硝子株式会社 強化ガラス基板及びその製造方法
DK2165362T3 (da) 2007-07-05 2012-05-29 Aaac Microtec Ab Through-wafer-via ved lav modstand
US20090029189A1 (en) 2007-07-25 2009-01-29 Fujifilm Corporation Imprint mold structure, and imprinting method using the same, as well as magnetic recording medium, and method for manufacturing magnetic recording medium
US8169587B2 (en) 2007-08-16 2012-05-01 Apple Inc. Methods and systems for strengthening LCD modules
JP5113462B2 (ja) 2007-09-12 2013-01-09 三星ダイヤモンド工業株式会社 脆性材料基板の面取り方法
US8192642B2 (en) 2007-09-13 2012-06-05 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
US20100276505A1 (en) 2007-09-26 2010-11-04 Roger Earl Smith Drilling in stretched substrates
CN100494879C (zh) 2007-10-08 2009-06-03 天津大学 基于线结构光视觉传感器实现空间圆孔几何参数测量方法
CN101610870B (zh) 2007-10-16 2013-09-11 三星钻石工业股份有限公司 脆性材料基板的u形槽加工方法以及使用该方法的去除加工方法、打孔加工方法和倒角方法
US20090219491A1 (en) 2007-10-18 2009-09-03 Evans & Sutherland Computer Corporation Method of combining multiple Gaussian beams for efficient uniform illumination of one-dimensional light modulators
US8533942B2 (en) 2007-11-22 2013-09-17 Ajinomoto Co., Inc. Production method of multilayer printed wiring board and multilayer printed wiring board
CN105776849B (zh) 2007-11-29 2020-04-14 康宁股份有限公司 具有改进的韧性和抗刮性的玻璃
KR20090057161A (ko) 2007-12-01 2009-06-04 주식회사 이엔팩 초발수성 좌변기 시트
KR100868228B1 (ko) 2007-12-04 2008-11-11 주식회사 켐트로닉스 유리 기판용 식각액 조성물
IL188029A0 (en) 2007-12-10 2008-11-03 Nova Measuring Instr Ltd Optical method and system
US7749809B2 (en) 2007-12-17 2010-07-06 National Semiconductor Corporation Methods and systems for packaging integrated circuits
KR101512213B1 (ko) 2007-12-18 2015-04-14 호야 가부시키가이샤 휴대 단말기용 커버 글래스 및 그 제조 방법, 및 휴대 단말 장치
CN101462822B (zh) 2007-12-21 2012-08-29 鸿富锦精密工业(深圳)有限公司 具有通孔的脆性非金属工件及其加工方法
KR100930672B1 (ko) 2008-01-11 2009-12-09 제일모직주식회사 실리콘계 하드마스크 조성물 및 이를 이용한 반도체집적회로 디바이스의 제조방법
US20090183764A1 (en) 2008-01-18 2009-07-23 Tenksolar, Inc Detachable Louver System
JP5432547B2 (ja) 2008-02-28 2014-03-05 株式会社ウェーブロック・アドバンスト・テクノロジー 貫通孔形成方法、及び、貫通孔形成加工品
JP4423379B2 (ja) 2008-03-25 2010-03-03 合同会社先端配線材料研究所 銅配線、半導体装置および銅配線の形成方法
US8237080B2 (en) 2008-03-27 2012-08-07 Electro Scientific Industries, Inc Method and apparatus for laser drilling holes with Gaussian pulses
FR2929449A1 (fr) 2008-03-28 2009-10-02 Stmicroelectronics Tours Sas S Procede de formation d'une couche d'amorcage de depot d'un metal sur un substrat
JP5345334B2 (ja) 2008-04-08 2013-11-20 株式会社レミ 脆性材料の熱応力割断方法
JP5274085B2 (ja) 2008-04-09 2013-08-28 株式会社アルバック レーザー加工装置、レーザービームのピッチ可変方法、及びレーザー加工方法
US8358888B2 (en) 2008-04-10 2013-01-22 Ofs Fitel, Llc Systems and techniques for generating Bessel beams
US8187715B2 (en) 2008-05-13 2012-05-29 Corning Incorporated Rare-earth-containing glass material and substrate and device comprising such substrate
PL2119512T3 (pl) 2008-05-14 2018-02-28 Gerresheimer Glas Gmbh Sposób i urządzenie do usuwania cząstek zanieczyszczeń z pojemników w automatycznym systemie wytwarzania
US8053704B2 (en) 2008-05-27 2011-11-08 Corning Incorporated Scoring of non-flat materials
JP2009297734A (ja) 2008-06-11 2009-12-24 Nitto Denko Corp レーザー加工用粘着シート及びレーザー加工方法
US8514476B2 (en) 2008-06-25 2013-08-20 View, Inc. Multi-pane dynamic window and method for making same
US7810355B2 (en) 2008-06-30 2010-10-12 Apple Inc. Full perimeter chemical strengthening of substrates
US9010153B2 (en) 2008-07-02 2015-04-21 Corning Incorporated Method of making shaped glass articles
JP5584436B2 (ja) 2008-07-03 2014-09-03 株式会社神戸製鋼所 薄膜トランジスタ基板の製造方法
ES2550515T3 (es) 2008-08-08 2015-11-10 Corning Incorporated Artículos de vidrio reforzado y métodos para su elaboración
JP5155774B2 (ja) 2008-08-21 2013-03-06 株式会社ノリタケカンパニーリミテド プラトー面加工用レジノイド超砥粒砥石ホイール
US8257603B2 (en) 2008-08-29 2012-09-04 Corning Incorporated Laser patterning of glass bodies
JP5447384B2 (ja) 2008-09-04 2014-03-19 日立化成株式会社 ポジ型感光性樹脂組成物、レジストパターンの製造方法及び電子部品
US20100068453A1 (en) 2008-09-18 2010-03-18 Hirofumi Imai Method for producing processed glass substrate
JP5339830B2 (ja) 2008-09-22 2013-11-13 三菱マテリアル株式会社 密着性に優れた薄膜トランジスター用配線膜およびこの配線膜を形成するためのスパッタリングターゲット
JP2010075991A (ja) 2008-09-29 2010-04-08 Fujifilm Corp レーザ加工装置
JP5015892B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びパターン形成方法
US8445394B2 (en) 2008-10-06 2013-05-21 Corning Incorporated Intermediate thermal expansion coefficient glass
JP5297139B2 (ja) 2008-10-09 2013-09-25 新光電気工業株式会社 配線基板及びその製造方法
WO2010041165A1 (en) 2008-10-10 2010-04-15 Nxp B.V. Method of plating through wafer vias in a wafer for 3d packaging
CN102246299B (zh) 2008-10-15 2014-12-10 Aac微技术有限公司 用于制作通路互连的方法
CN101722367A (zh) 2008-10-17 2010-06-09 华通电脑股份有限公司 印刷电路板的激光钻孔方法
US8895892B2 (en) 2008-10-23 2014-11-25 Corning Incorporated Non-contact glass shearing device and method for scribing or cutting a moving glass sheet
JP5360959B2 (ja) 2008-10-24 2013-12-04 三菱マテリアル株式会社 バリア膜とドレイン電極膜およびソース電極膜が高い密着強度を有する薄膜トランジスター
US20100119808A1 (en) 2008-11-10 2010-05-13 Xinghua Li Method of making subsurface marks in glass
US8092739B2 (en) 2008-11-25 2012-01-10 Wisconsin Alumni Research Foundation Retro-percussive technique for creating nanoscale holes
US9346130B2 (en) 2008-12-17 2016-05-24 Electro Scientific Industries, Inc. Method for laser processing glass with a chamfered edge
EP2202545A1 (en) 2008-12-23 2010-06-30 Karlsruher Institut für Technologie Beam transformation module with an axicon in a double-pass mode
KR101020621B1 (ko) 2009-01-15 2011-03-09 연세대학교 산학협력단 광섬유를 이용하는 광소자 제조 방법, 광섬유를 이용하는 광소자 및 이를 이용한 광 트위저
JP4567091B1 (ja) 2009-01-16 2010-10-20 株式会社神戸製鋼所 表示装置用Cu合金膜および表示装置
JPWO2010087483A1 (ja) 2009-02-02 2012-08-02 旭硝子株式会社 半導体デバイス部材用ガラス基板および半導体デバイス部材用ガラス基板の製造方法
US8347651B2 (en) 2009-02-19 2013-01-08 Corning Incorporated Method of separating strengthened glass
US8327666B2 (en) 2009-02-19 2012-12-11 Corning Incorporated Method of separating strengthened glass
US8341976B2 (en) 2009-02-19 2013-01-01 Corning Incorporated Method of separating strengthened glass
US8245540B2 (en) 2009-02-24 2012-08-21 Corning Incorporated Method for scoring a sheet of brittle material
EP2402984B1 (en) 2009-02-25 2018-01-10 Nichia Corporation Method of manufacturing a semiconductor element, and corresponding semicondutor element
CN101502914A (zh) 2009-03-06 2009-08-12 苏州德龙激光有限公司 用于喷油嘴微孔加工的皮秒激光加工装置
CN201357287Y (zh) 2009-03-06 2009-12-09 苏州德龙激光有限公司 新型皮秒激光加工装置
JP5300544B2 (ja) 2009-03-17 2013-09-25 株式会社ディスコ 光学系及びレーザ加工装置
CN102448901B (zh) 2009-03-19 2015-11-25 日本电气硝子株式会社 无碱玻璃
KR101041140B1 (ko) 2009-03-25 2011-06-13 삼성모바일디스플레이주식회사 기판 절단 방법
JP5201048B2 (ja) 2009-03-25 2013-06-05 富士通株式会社 半導体装置とその製造方法
US20100252959A1 (en) 2009-03-27 2010-10-07 Electro Scientific Industries, Inc. Method for improved brittle materials processing
US20100279067A1 (en) 2009-04-30 2010-11-04 Robert Sabia Glass sheet having enhanced edge strength
JP5514302B2 (ja) 2009-05-06 2014-06-04 コーニング インコーポレイテッド ガラス基板用の担体
ATE551304T1 (de) 2009-05-13 2012-04-15 Corning Inc Verfahren und anlagen zum formen von endlosen glasscheiben
US8132427B2 (en) 2009-05-15 2012-03-13 Corning Incorporated Preventing gas from occupying a spray nozzle used in a process of scoring a hot glass sheet
US8269138B2 (en) 2009-05-21 2012-09-18 Corning Incorporated Method for separating a sheet of brittle material
DE102009023602B4 (de) 2009-06-02 2012-08-16 Grenzebach Maschinenbau Gmbh Vorrichtung zum industriellen Herstellen elastisch verformbarer großflächiger Glasplatten in hoher Stückzahl
US8925192B2 (en) 2009-06-09 2015-01-06 Ibiden Co., Ltd. Printed wiring board and method for manufacturing the same
JP5416492B2 (ja) 2009-06-30 2014-02-12 三星ダイヤモンド工業株式会社 レーザ光によるガラス基板加工装置
TWI395630B (zh) 2009-06-30 2013-05-11 Mitsuboshi Diamond Ind Co Ltd 使用雷射光之玻璃基板加工裝置
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8592716B2 (en) 2009-07-22 2013-11-26 Corning Incorporated Methods and apparatus for initiating scoring
CN201471092U (zh) 2009-08-07 2010-05-19 苏州德龙激光有限公司 皮秒激光加工设备的高精度z轴载物平台
CN101637849B (zh) 2009-08-07 2011-12-07 苏州德龙激光有限公司 皮秒激光加工设备的高精度z轴载物平台
JP5500914B2 (ja) 2009-08-27 2014-05-21 株式会社半導体エネルギー研究所 レーザ照射装置
WO2011025908A1 (en) 2009-08-28 2011-03-03 Corning Incorporated Methods for laser cutting articles from chemically strengthened glass substrates
US8932510B2 (en) 2009-08-28 2015-01-13 Corning Incorporated Methods for laser cutting glass substrates
KR101094284B1 (ko) 2009-09-02 2011-12-19 삼성모바일디스플레이주식회사 기판 절단 장치 및 이를 이용한 기판 절단 방법
EP2479151B1 (en) 2009-09-18 2020-10-28 Nippon Electric Glass Co., Ltd. Method for producing glass film, method for processing glass film, and glass film laminate
WO2011033516A1 (en) 2009-09-20 2011-03-24 Viagan Ltd. Wafer level packaging of electronic devices
JP2011079690A (ja) 2009-10-06 2011-04-21 Leo:Kk 回折格子を用いた厚板ガラスのレーザ熱応力割断
US20110088324A1 (en) 2009-10-20 2011-04-21 Wessel Robert B Apparatus and method for solar heat gain reduction in a window assembly
KR20120099448A (ko) 2009-10-28 2012-09-10 다우 코닝 코포레이션 폴리실란-폴리실라잔 코폴리머 및 이들의 제조방법 및 용도
KR101117573B1 (ko) 2009-10-29 2012-02-29 한국기계연구원 하이브리드 공정을 이용한 tsv 가공방법
TWI472494B (zh) 2009-11-03 2015-02-11 Corning Inc 對以非固定速度移動的玻璃帶進行雷射刻痕
US8338745B2 (en) 2009-12-07 2012-12-25 Panasonic Corporation Apparatus and methods for drilling holes with no taper or reverse taper
US20120234807A1 (en) 2009-12-07 2012-09-20 J.P. Sercel Associates Inc. Laser scribing with extended depth affectation into a workplace
US20110132883A1 (en) 2009-12-07 2011-06-09 Panasonic Corporation Methods for precise laser micromachining
JP5547212B2 (ja) 2009-12-11 2014-07-09 シャープ株式会社 半導体装置の製造方法
JP2011143434A (ja) 2010-01-14 2011-07-28 Hitachi Via Mechanics Ltd レーザ穴あけ方法
TWI438162B (zh) 2010-01-27 2014-05-21 Wintek Corp 強化玻璃切割方法及強化玻璃切割預置結構
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
BR112012019544A2 (pt) 2010-02-04 2018-03-27 Echelon Laser Systems Lp metodo e sistema de corte com laser
CN102869630A (zh) 2010-02-10 2013-01-09 生命生物科学有限公司 制造适合微细加工的光敏基底的方法
US9913726B2 (en) 2010-02-24 2018-03-13 Globus Medical, Inc. Expandable intervertebral spacer and method of posterior insertion thereof
JP2011178642A (ja) 2010-03-03 2011-09-15 Nippon Sheet Glass Co Ltd 貫通電極付きガラス板の製造方法および電子部品
KR101825149B1 (ko) 2010-03-03 2018-02-02 조지아 테크 리서치 코포레이션 무기 인터포저상의 패키지-관통-비아(tpv) 구조 및 그의 제조방법
US8743165B2 (en) 2010-03-05 2014-06-03 Micronic Laser Systems Ab Methods and device for laser processing
US20110229687A1 (en) 2010-03-19 2011-09-22 Qualcomm Incorporated Through Glass Via Manufacturing Process
US8654538B2 (en) 2010-03-30 2014-02-18 Ibiden Co., Ltd. Wiring board and method for manufacturing the same
JP5513227B2 (ja) 2010-04-08 2014-06-04 株式会社フジクラ 微細構造の形成方法、レーザー照射装置、及び基板
US20110248405A1 (en) 2010-04-09 2011-10-13 Qualcomm Incorporated Selective Patterning for Low Cost through Vias
DE202010017893U1 (de) 2010-04-09 2013-01-24 Trumpf Werkzeugmaschinen Gmbh + Co. Kg Werkstücküberzug und damit überzogenes Werkstück
JPWO2011132600A1 (ja) 2010-04-20 2013-07-18 旭硝子株式会社 半導体デバイス貫通電極用のガラス基板
JP5676908B2 (ja) 2010-04-21 2015-02-25 上村工業株式会社 プリント配線基板の表面処理方法及び表面処理剤
WO2011132929A2 (ko) 2010-04-21 2011-10-27 주식회사 엘지화학 유리시트 커팅 장치
DE202010006047U1 (de) 2010-04-22 2010-07-22 Trumpf Werkzeugmaschinen Gmbh + Co. Kg Strahlformungseinheit zur Fokussierung eines Laserstrahls
US8389889B2 (en) 2010-04-22 2013-03-05 Lawrence Livermore National Security, Llc Method and system for laser-based formation of micro-shapes in surfaces of optical elements
MY156178A (en) 2010-04-27 2016-01-15 Asahi Glass Co Ltd Method for producing magnetic disk, and glass substrate for information recording medium
US9476842B2 (en) 2010-05-03 2016-10-25 United Technologies Corporation On-the-fly dimensional imaging inspection
US8245539B2 (en) 2010-05-13 2012-08-21 Corning Incorporated Methods of producing glass sheets
EP2573137B1 (en) 2010-05-19 2023-08-30 Mitsubishi Chemical Corporation Sheet for cards and card
JP5796936B2 (ja) 2010-06-01 2015-10-21 キヤノン株式会社 多孔質ガラスの製造方法
GB2481190B (en) 2010-06-04 2015-01-14 Plastic Logic Ltd Laser ablation
US9213451B2 (en) 2010-06-04 2015-12-15 Apple Inc. Thin glass for touch panel sensors and methods therefor
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
SG177021A1 (en) 2010-06-16 2012-01-30 Univ Nanyang Tech Micoelectrode array sensor for detection of heavy metals in aqueous solutions
KR101634422B1 (ko) 2010-06-29 2016-06-28 코닝 인코포레이티드 오버플로 하향인발 융합 공정을 사용해 공동인발하여 만들어진 다층 유리 시트
DE102010025966B4 (de) 2010-07-02 2012-03-08 Schott Ag Interposer und Verfahren zum Herstellen von Löchern in einem Interposer
DE102010025968B4 (de) 2010-07-02 2016-06-02 Schott Ag Erzeugung von Mikrolöchern
DE102010025965A1 (de) 2010-07-02 2012-01-05 Schott Ag Verfahren zur spannungsarmen Herstellung von gelochten Werkstücken
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
DE202010013161U1 (de) 2010-07-08 2011-03-31 Oerlikon Solar Ag, Trübbach Laserbearbeitung mit mehreren Strahlen und dafür geeigneter Laseroptikkopf
JP6121901B2 (ja) 2010-07-12 2017-04-26 ロフィン−シナー テクノロジーズ インコーポレーテッド レーザーフィラメント形成による材料加工方法
CN103003054B (zh) 2010-07-12 2014-11-19 旭硝子株式会社 压印模具用含TiO2石英玻璃基材及其制造方法
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
KR20120008353A (ko) 2010-07-16 2012-01-30 삼성에스디아이 주식회사 연료 전지 시스템 및 그것에서의 전력 관리 방법
KR20120015366A (ko) 2010-07-19 2012-02-21 엘지디스플레이 주식회사 강화유리 절단방법 및 절단장치
JP5580129B2 (ja) 2010-07-20 2014-08-27 株式会社アマダ 固体レーザ加工装置
JP2012027159A (ja) 2010-07-21 2012-02-09 Kobe Steel Ltd 表示装置
JP2012024983A (ja) 2010-07-21 2012-02-09 Shibuya Kogyo Co Ltd 脆性材料の面取り方法とその装置
JP5669001B2 (ja) 2010-07-22 2015-02-12 日本電気硝子株式会社 ガラスフィルムの割断方法、ガラスロールの製造方法、及びガラスフィルムの割断装置
JP5729932B2 (ja) 2010-07-22 2015-06-03 キヤノン株式会社 基板貫通孔内への金属充填方法
WO2012014724A1 (ja) 2010-07-26 2012-02-02 浜松ホトニクス株式会社 基板加工方法
KR101940334B1 (ko) 2010-07-26 2019-01-18 하마마츠 포토닉스 가부시키가이샤 레이저 가공 방법
WO2012014718A1 (ja) 2010-07-26 2012-02-02 浜松ホトニクス株式会社 インターポーザの製造方法
JP5574866B2 (ja) 2010-07-26 2014-08-20 浜松ホトニクス株式会社 レーザ加工方法
US8828260B2 (en) 2010-07-26 2014-09-09 Hamamatsu Photonics K.K. Substrate processing method
KR102000031B1 (ko) 2010-07-26 2019-07-15 하마마츠 포토닉스 가부시키가이샤 레이저 가공 방법
JP5554838B2 (ja) 2010-07-26 2014-07-23 浜松ホトニクス株式会社 レーザ加工方法
CN103025675B (zh) 2010-07-26 2015-10-14 旭硝子株式会社 无碱保护玻璃组合物及使用该玻璃组合物的光提取构件
JP2012031018A (ja) 2010-07-30 2012-02-16 Asahi Glass Co Ltd 強化ガラス基板及び強化ガラス基板の溝加工方法と強化ガラス基板の切断方法
US8604380B2 (en) 2010-08-19 2013-12-10 Electro Scientific Industries, Inc. Method and apparatus for optimally laser marking articles
US20120052302A1 (en) 2010-08-24 2012-03-01 Matusick Joseph M Method of strengthening edge of glass article
US8584354B2 (en) 2010-08-26 2013-11-19 Corning Incorporated Method for making glass interposer panels
US8690342B2 (en) 2010-08-31 2014-04-08 Corning Incorporated Energy transfer in scanning laser projectors
US8720228B2 (en) 2010-08-31 2014-05-13 Corning Incorporated Methods of separating strengthened glass substrates
TWI402228B (zh) 2010-09-15 2013-07-21 Wintek Corp 強化玻璃切割方法、強化玻璃薄膜製程、強化玻璃切割預置結構及強化玻璃切割件
GB201017506D0 (en) 2010-10-15 2010-12-01 Rolls Royce Plc Hole inspection
US8021950B1 (en) 2010-10-26 2011-09-20 International Business Machines Corporation Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
US8887529B2 (en) 2010-10-29 2014-11-18 Corning Incorporated Method and apparatus for cutting glass ribbon
JP5874304B2 (ja) 2010-11-02 2016-03-02 日本電気硝子株式会社 無アルカリガラス
US20120105095A1 (en) 2010-11-03 2012-05-03 International Business Machines Corporation Silicon-on-insulator (soi) body-contact pass gate structure
JP5617556B2 (ja) 2010-11-22 2014-11-05 日本電気硝子株式会社 帯状ガラスフィルム割断装置及び帯状ガラスフィルム割断方法
US8796165B2 (en) 2010-11-30 2014-08-05 Corning Incorporated Alkaline earth alumino-borosilicate crack resistant glass
US9278886B2 (en) 2010-11-30 2016-03-08 Corning Incorporated Methods of forming high-density arrays of holes in glass
US20120135853A1 (en) 2010-11-30 2012-05-31 Jaymin Amin Glass articles/materials for use as touchscreen substrates
US8607590B2 (en) 2010-11-30 2013-12-17 Corning Incorporated Methods for separating glass articles from strengthened glass substrate sheets
US8616024B2 (en) 2010-11-30 2013-12-31 Corning Incorporated Methods for forming grooves and separating strengthened glass substrate sheets
US20120142136A1 (en) 2010-12-01 2012-06-07 Honeywell International Inc. Wafer level packaging process for mems devices
CN102485405B (zh) 2010-12-02 2014-08-27 詹诺普蒂克自动化技术有限公司 用来制造用于安全气囊的单层覆盖物的方法
TW201226345A (en) 2010-12-27 2012-07-01 Liefco Optical Inc Method of cutting tempered glass
KR101298019B1 (ko) 2010-12-28 2013-08-26 (주)큐엠씨 레이저 가공 장치
KR101159697B1 (ko) 2010-12-30 2012-06-26 광주과학기술원 글래스 웨이퍼 기반의 침습형 전극 제작방법
US20120168412A1 (en) 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for forming an aperture in a substrate
JP5727518B2 (ja) 2011-01-05 2015-06-03 清之 近藤 ビーム加工装置
WO2012096053A1 (ja) 2011-01-11 2012-07-19 旭硝子株式会社 強化ガラス板の切断方法
KR101927555B1 (ko) 2011-01-25 2018-12-10 코닝 인코포레이티드 높은 열적 및 화학적 안정성을 갖는 유리 조성물
US8539794B2 (en) 2011-02-01 2013-09-24 Corning Incorporated Strengthened glass substrate sheets and methods for fabricating glass panels from glass substrate sheets
JP2012159749A (ja) 2011-02-01 2012-08-23 Nichia Chem Ind Ltd ベッセルビーム発生装置
US8933367B2 (en) 2011-02-09 2015-01-13 Sumitomo Electric Industries, Ltd. Laser processing method
CN103380482B (zh) 2011-02-10 2016-05-25 信越聚合物株式会社 单结晶基板制造方法及内部改质层形成单结晶部件
US20130312460A1 (en) 2011-02-10 2013-11-28 National University Corporation Saitama University Manufacturing method of single crystal substrate and manufacturing method of internal modified layer-forming single crystal member
DE102011000768B4 (de) 2011-02-16 2016-08-18 Ewag Ag Laserbearbeitungsverfahren und Laserbearbeitungsvorrichtung mit umschaltbarer Laseranordnung
US8584490B2 (en) 2011-02-18 2013-11-19 Corning Incorporated Laser cutting method
JP5193326B2 (ja) 2011-02-25 2013-05-08 三星ダイヤモンド工業株式会社 基板加工装置および基板加工方法
JP2012187618A (ja) 2011-03-11 2012-10-04 V Technology Co Ltd ガラス基板のレーザ加工装置
US20120235969A1 (en) 2011-03-15 2012-09-20 Qualcomm Mems Technologies, Inc. Thin film through-glass via and methods for forming same
WO2012126718A1 (en) 2011-03-21 2012-09-27 Asml Netherlands B.V. Method and apparatus for determining structure parameters of microstructures
KR101253016B1 (ko) 2011-03-31 2013-04-15 아반스트레이트 가부시키가이샤 유리판의 제조 방법
WO2012138009A1 (ko) 2011-04-07 2012-10-11 (주)네톰 무선인식 태그 및 이를 구비한 전자제품 피씨비 및 전자제품 관리 시스템
KR101186464B1 (ko) 2011-04-13 2012-09-27 에스엔유 프리시젼 주식회사 Tsv 측정용 간섭계 및 이를 이용한 측정방법
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
GB2490354A (en) 2011-04-28 2012-10-31 Univ Southampton Laser with axially-symmetric beam profile
JP5785121B2 (ja) 2011-04-28 2015-09-24 信越化学工業株式会社 パターン形成方法
US8796410B2 (en) 2011-05-23 2014-08-05 Shin-Etsu Chemical Co., Ltd. Polymer having silphenylene and siloxane structures, a method of preparing the same, an adhesive composition, an adhesive sheet, a protective material for a semiconductor device, and a semiconductor device
JP5873488B2 (ja) 2011-05-25 2016-03-01 株式会社フジクラ 微細孔を配した基体の製造方法、及び微細孔を配した基体
US8986072B2 (en) 2011-05-26 2015-03-24 Corning Incorporated Methods of finishing an edge of a glass sheet
WO2012164649A1 (ja) 2011-05-27 2012-12-06 浜松ホトニクス株式会社 レーザ加工方法
CN102795596B (zh) 2011-05-27 2014-12-10 中国科学院物理研究所 超小2nm直径金属纳米孔的超快激光脉冲法制备
TWI547454B (zh) 2011-05-31 2016-09-01 康寧公司 於玻璃中高速製造微孔洞的方法
CN103596893A (zh) 2011-06-15 2014-02-19 旭硝子株式会社 玻璃板的切割方法
JP2013007842A (ja) 2011-06-23 2013-01-10 Toyo Seikan Kaisha Ltd 構造体形成装置、構造体形成方法及び構造体
WO2013002165A1 (ja) 2011-06-28 2013-01-03 株式会社Ihi 脆性的な部材を切断する装置、方法、および切断された脆性的な部材
JP5804059B2 (ja) 2011-07-14 2015-11-04 株式会社島津製作所 プラズマ処理装置
CN102304323B (zh) 2011-07-22 2013-05-22 绵阳惠利电子材料有限公司 一种可室温固化的苯基硅树脂敷形涂料
TWI572480B (zh) 2011-07-25 2017-03-01 康寧公司 經層壓及離子交換之強化玻璃疊層
CN102319960A (zh) 2011-07-27 2012-01-18 苏州德龙激光有限公司 超短脉冲激光制作金属薄膜群孔的装置及其方法
WO2013016823A1 (en) 2011-07-29 2013-02-07 Ats Automation Tooling Systems Inc. Systems and methods for producing silicon slim rods
KR101120471B1 (ko) 2011-08-05 2012-03-05 (주)지엘코어 다중 초점 방식의 펄스 레이저를 이용한 취성 재료 절단 장치
US8635887B2 (en) 2011-08-10 2014-01-28 Corning Incorporated Methods for separating glass substrate sheets by laser-formed grooves
JP2013043808A (ja) 2011-08-25 2013-03-04 Asahi Glass Co Ltd 強化ガラス板切断用保持具及び強化ガラス板の切断方法
AU2011101310A4 (en) 2011-08-26 2011-11-10 Sterlite Technologies Limited Glass composition for strengthened cover glass
DE112012003605T5 (de) 2011-08-29 2014-06-12 Asahi Glass Co., Ltd. Verfahren zum Schneiden einer Glasplatte mit erhöhter Festigkeit und Vorrichtung zum Schneiden einer Glasplatte mit erhöhter Festigkeit
US20130050226A1 (en) * 2011-08-30 2013-02-28 Qualcomm Mems Technologies, Inc. Die-cut through-glass via and methods for forming same
WO2013031778A1 (ja) 2011-08-31 2013-03-07 旭硝子株式会社 強化ガラス板の切断方法、および強化ガラス板切断装置
PH12012000258B1 (en) 2011-09-09 2015-06-01 Hoya Corp Method of manufacturing an ion-exchanged glass article
CN105127603B (zh) 2011-09-15 2017-07-11 日本电气硝子株式会社 玻璃板的激光熔断方法
WO2013039230A1 (ja) 2011-09-15 2013-03-21 日本電気硝子株式会社 ガラス板切断方法
JP6063670B2 (ja) 2011-09-16 2017-01-18 株式会社アマダホールディングス レーザ切断加工方法及び装置
US10239160B2 (en) 2011-09-21 2019-03-26 Coherent, Inc. Systems and processes that singulate materials
CN104025251B (zh) 2011-09-21 2018-01-09 雷蒂安斯公司 切割材料的系统和过程
JP2013080904A (ja) 2011-09-22 2013-05-02 Hoya Corp 基板製造方法、配線基板の製造方法、ガラス基板および配線基板
JP5864988B2 (ja) 2011-09-30 2016-02-17 浜松ホトニクス株式会社 強化ガラス板切断方法
FR2980859B1 (fr) 2011-09-30 2013-10-11 Commissariat Energie Atomique Procede et dispositif de lithographie
US8894868B2 (en) 2011-10-06 2014-11-25 Electro Scientific Industries, Inc. Substrate containing aperture and methods of forming the same
DE102011084128A1 (de) 2011-10-07 2013-04-11 Schott Ag Verfahren zum Schneiden eines Dünnglases mit spezieller Ausbildung der Kante
JP2013091578A (ja) 2011-10-25 2013-05-16 Mitsuboshi Diamond Industrial Co Ltd ガラス基板のスクライブ方法
TWI476888B (zh) 2011-10-31 2015-03-11 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
EP2762264A4 (en) 2011-11-04 2015-12-16 Fujikura Ltd METHOD FOR PRODUCING A SUBSTRATE WITH MICROPORES
KR101269474B1 (ko) 2011-11-09 2013-05-30 주식회사 모린스 강화글라스 절단 방법
US20130129947A1 (en) 2011-11-18 2013-05-23 Daniel Ralph Harvey Glass article having high damage resistance
US8677783B2 (en) 2011-11-28 2014-03-25 Corning Incorporated Method for low energy separation of a glass ribbon
WO2013084879A1 (ja) 2011-12-07 2013-06-13 旭硝子株式会社 強化ガラス板の切断方法、及び強化ガラス板切断装置
WO2013084877A1 (ja) 2011-12-07 2013-06-13 旭硝子株式会社 強化ガラス板の切断方法、および強化ガラス板切断装置
KR20130065051A (ko) 2011-12-09 2013-06-19 삼성코닝정밀소재 주식회사 강화 글라스의 절단 방법 및 이를 이용한 터치스크린패널의 제조방법
CN103732549A (zh) 2011-12-12 2014-04-16 日本电气硝子株式会社 平板玻璃的切割分离方法、及平板玻璃的切割分离装置
KR101987039B1 (ko) 2011-12-12 2019-06-10 니폰 덴키 가라스 가부시키가이샤 판유리의 할단 이반 방법
KR20130074432A (ko) 2011-12-26 2013-07-04 삼성디스플레이 주식회사 휴대형 장치용 투명패널, 이의 제조방법 및 이를 이용한 휴대형 장치
JP5810921B2 (ja) * 2012-01-06 2015-11-11 凸版印刷株式会社 半導体装置の製造方法
CN102540474B (zh) 2012-01-11 2014-08-13 哈尔滨工业大学 一种实现边缘陡峭且光强波动低的平顶光束整形装置的整形控制方法
JP2013152986A (ja) 2012-01-24 2013-08-08 Disco Abrasive Syst Ltd ウエーハの加工方法
US8609529B2 (en) * 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
CN102585696A (zh) 2012-02-13 2012-07-18 江苏大学 一种甲基苯基硅树脂基耐高温涂料及其制备方法
WO2013123025A1 (en) 2012-02-14 2013-08-22 Vytran, Llc Optical element cleaver and splicer apparatus and methods
JP2013178371A (ja) 2012-02-28 2013-09-09 Hoya Corp 薄膜付き基板の薄膜の除去方法、転写用マスクの製造方法、基板の再生方法、及びマスクブランクの製造方法
WO2013130581A1 (en) 2012-02-28 2013-09-06 Electro Scientific Industries, Inc. Method and apparatus for separation of strengthened glass and articles produced thereby
US9828277B2 (en) 2012-02-28 2017-11-28 Electro Scientific Industries, Inc. Methods for separation of strengthened glass
US9895771B2 (en) 2012-02-28 2018-02-20 General Lasertronics Corporation Laser ablation for the environmentally beneficial removal of surface coatings
US9227868B2 (en) 2012-02-29 2016-01-05 Electro Scientific Industries, Inc. Method and apparatus for machining strengthened glass and articles produced thereby
TWI614227B (zh) 2012-02-29 2018-02-11 康寧公司 低cte之無鹼硼鋁矽酸鹽玻璃組成物及包含其之玻璃物件
US9359251B2 (en) 2012-02-29 2016-06-07 Corning Incorporated Ion exchanged glasses via non-error function compressive stress profiles
US9082764B2 (en) 2012-03-05 2015-07-14 Corning Incorporated Three-dimensional integrated circuit which incorporates a glass interposer and method for fabricating the same
JP2013187247A (ja) 2012-03-06 2013-09-19 Nippon Hoso Kyokai <Nhk> インターポーザおよびその製造方法
TW201343296A (zh) 2012-03-16 2013-11-01 Ipg Microsystems Llc 使一工件中具有延伸深度虛飾之雷射切割系統及方法
TW201339111A (zh) 2012-03-29 2013-10-01 Global Display Co Ltd 強化玻璃的切割方法
JP2013203631A (ja) 2012-03-29 2013-10-07 Asahi Glass Co Ltd 強化ガラス板の切断方法、及び強化ガラス板切断装置
JP2013203630A (ja) 2012-03-29 2013-10-07 Asahi Glass Co Ltd 強化ガラス板の切断方法
SE538058C2 (sv) 2012-03-30 2016-02-23 Silex Microsystems Ab Metod att tillhandahålla ett viahål och en routing-struktur
JP6378167B2 (ja) 2012-04-05 2018-08-22 セイジ・エレクトロクロミクス,インコーポレイテッド エレクトロクロミック素子を製造するためのサーマルレーザースクライブ切断の方法及び装置、並びに対応する切断されたガラスパネル
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
JP2015120604A (ja) 2012-04-06 2015-07-02 旭硝子株式会社 強化ガラス板の切断方法、及び強化ガラス板切断システム
JP5942558B2 (ja) 2012-04-13 2016-06-29 並木精密宝石株式会社 微小空洞形成方法
FR2989294B1 (fr) 2012-04-13 2022-10-14 Centre Nat Rech Scient Dispositif et methode de nano-usinage par laser
US20130288010A1 (en) 2012-04-27 2013-10-31 Ravindra Kumar Akarapu Strengthened glass article having shaped edge and method of making
KR20130124646A (ko) 2012-05-07 2013-11-15 주식회사 엠엠테크 강화 유리 절단 방법
US9365446B2 (en) 2012-05-14 2016-06-14 Richard Green Systems and methods for altering stress profiles of glass
DE102012010635B4 (de) 2012-05-18 2022-04-07 Leibniz-Institut für Oberflächenmodifizierung e.V. Verfahren zur 3D-Strukturierung und Formgebung von Oberflächen aus harten, spröden und optischen Materialien
CN102672355B (zh) 2012-05-18 2015-05-13 杭州士兰明芯科技有限公司 Led衬底的划片方法
JP6009225B2 (ja) 2012-05-29 2016-10-19 浜松ホトニクス株式会社 強化ガラス板の切断方法
US9938180B2 (en) 2012-06-05 2018-04-10 Corning Incorporated Methods of cutting glass using a laser
KR20130139106A (ko) 2012-06-12 2013-12-20 삼성디스플레이 주식회사 커버 글라스 가공 방법
JP6022223B2 (ja) 2012-06-14 2016-11-09 株式会社ディスコ レーザー加工装置
CN109616485A (zh) * 2012-06-22 2019-04-12 株式会社尼康 基板、拍摄单元及拍摄装置
JP6097026B2 (ja) * 2012-07-06 2017-03-15 シャープ株式会社 構造体および構造体の製造方法
JP6065910B2 (ja) 2012-07-09 2017-01-25 旭硝子株式会社 化学強化ガラス板の切断方法
JP6038517B2 (ja) 2012-07-13 2016-12-07 新光電気工業株式会社 配線基板及びその製造方法
AT13206U1 (de) 2012-07-17 2013-08-15 Lisec Maschb Gmbh Verfahren und Anordnung zum Teilen von Flachglas
TW201417928A (zh) 2012-07-30 2014-05-16 Raydiance Inc 具訂製邊形及粗糙度之脆性材料切割
CN104736284B (zh) 2012-07-31 2016-11-09 株式会社牧野铣床制作所 电火花加工方法
KR101395054B1 (ko) 2012-08-08 2014-05-14 삼성코닝정밀소재 주식회사 강화유리 커팅 방법 및 강화유리 커팅용 스테이지
KR20140022981A (ko) 2012-08-14 2014-02-26 (주)하드램 기판 에지 보호유닛을 포함한 강화유리 레이저 절단 장치 및 방법
KR20140022980A (ko) 2012-08-14 2014-02-26 (주)하드램 강화유리 레이저 절단 장치 및 방법
WO2014028022A1 (en) 2012-08-16 2014-02-20 Hewlett-Packard Development Company, L.P. Diagonal openings in photodefinable glass
US20140047957A1 (en) 2012-08-17 2014-02-20 Jih Chun Wu Robust Torque-Indicating Wrench
TW201409777A (zh) 2012-08-22 2014-03-01 Syue-Min Li 發光二極體元件
JP5727433B2 (ja) 2012-09-04 2015-06-03 イムラ アメリカ インコーポレイテッド 超短パルスレーザでの透明材料処理
JP5835696B2 (ja) 2012-09-05 2015-12-24 株式会社東芝 半導体装置およびその製造方法
JP6176253B2 (ja) 2012-09-07 2017-08-09 旭硝子株式会社 インターポーザ用の中間品を製造する方法およびインターポーザ用の中間品
CN102923939B (zh) 2012-09-17 2015-03-25 江西沃格光电股份有限公司 强化玻璃的切割方法
CN102898014A (zh) 2012-09-29 2013-01-30 江苏太平洋石英股份有限公司 无接触激光切割石英玻璃制品的方法及其装置
CN102916081B (zh) 2012-10-19 2015-07-08 张立国 一种薄膜太阳能电池的清边方法
LT6046B (lt) 2012-10-22 2014-06-25 Uab "Lidaris" Justiruojamų optinių laikiklių pakeitimo įrenginys ir sistema, turinti tokių įrenginių
US20140110040A1 (en) 2012-10-23 2014-04-24 Ronald Steven Cok Imprinted micro-louver structure method
DE102012110971A1 (de) 2012-11-14 2014-05-15 Schott Ag Trennen von transparenten Werkstücken
KR20140064220A (ko) 2012-11-20 2014-05-28 에스케이씨 주식회사 보안필름의 제조방법
WO2014079478A1 (en) 2012-11-20 2014-05-30 Light In Light Srl High speed laser processing of transparent materials
EP2925690B1 (en) 2012-11-29 2021-08-11 Corning Incorporated Methods of fabricating glass articles by laser damage and etching
US9758876B2 (en) 2012-11-29 2017-09-12 Corning Incorporated Sacrificial cover layers for laser drilling substrates and methods thereof
EP2925700A1 (en) 2012-11-30 2015-10-07 Corning Incorporated Methods for glass strengthening
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
JP2016507448A (ja) 2012-12-13 2016-03-10 コーニング インコーポレイテッド ガラスおよびガラス物品の製造方法
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
CN203021443U (zh) 2012-12-24 2013-06-26 深圳大宇精雕科技有限公司 玻璃板水射流切割机
CN103013374B (zh) 2012-12-28 2014-03-26 吉林大学 仿生防粘疏水疏油贴膜
JP5860173B2 (ja) 2012-12-29 2016-02-16 Hoya株式会社 磁気ディスク用ガラス基板および磁気ディスク
EP2754524B1 (de) 2013-01-15 2015-11-25 Corning Laser Technologies GmbH Verfahren und Vorrichtung zum laserbasierten Bearbeiten von flächigen Substraten, d.h. Wafer oder Glaselement, unter Verwendung einer Laserstrahlbrennlinie
CN105531074B (zh) 2013-02-04 2019-09-03 纽波特公司 用于激光切割透明和半透明基底的方法和装置
US10670510B2 (en) 2013-02-05 2020-06-02 Massachusetts Institute Of Technology 3-D holographic imaging continuous flow cytometry
CN104968623A (zh) 2013-02-07 2015-10-07 日本板硝子株式会社 玻璃组合物、化学强化用玻璃组合物、强化玻璃物品及显示器用保护玻璃
CN104995143B (zh) 2013-02-07 2018-04-06 日本板硝子株式会社 玻璃组合物、化学强化用玻璃组合物、强化玻璃物品及显示器用保护玻璃
US9498920B2 (en) 2013-02-12 2016-11-22 Carbon3D, Inc. Method and apparatus for three-dimensional fabrication
JP5830044B2 (ja) 2013-02-15 2015-12-09 信越化学工業株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US9393760B2 (en) 2013-02-28 2016-07-19 Corning Incorporated Laminated glass articles with phase-separated claddings and methods for forming the same
CN103143841B (zh) 2013-03-08 2014-11-26 西北工业大学 一种利用皮秒激光加工孔的方法
US9784961B2 (en) 2013-03-08 2017-10-10 Church & Dwight Co., Inc. Sperm motility test device and method
KR102209964B1 (ko) 2013-03-13 2021-02-02 삼성디스플레이 주식회사 피코초 레이저 가공 장치
US20160071990A1 (en) * 2013-03-14 2016-03-10 Q1 Nanosystems Corporation Three-Dimensional Photovoltaic Devices Including Cavity-containing Cores and Methods of Manufacture
WO2014144322A1 (en) 2013-03-15 2014-09-18 Kinestral Technologies, Inc. Laser cutting strengthened glass
EP2781296B1 (de) 2013-03-21 2020-10-21 Corning Laser Technologies GmbH Vorrichtung und verfahren zum ausschneiden von konturen aus flächigen substraten mittels laser
WO2014148020A1 (ja) 2013-03-22 2014-09-25 日本板硝子株式会社 ガラス組成物、化学強化用ガラス組成物、強化ガラス物品、およびディスプレイ用のカバーガラス
DE102013103370A1 (de) 2013-04-04 2014-10-09 Lpkf Laser & Electronics Ag Verfahren zum Einbringen von Durchbrechungen in ein Glassubstrat sowie ein derart hergestelltes Glassubstrat
JP6186016B2 (ja) 2013-04-04 2017-08-23 エル・ピー・ケー・エフ・レーザー・ウント・エレクトロニクス・アクチエンゲゼルシヤフト 基板に貫通穴を開ける方法及び装置
ES2959429T3 (es) 2013-04-04 2024-02-26 Lpkf Laser & Electronics Se Procedimiento para la separación de un sustrato
CN103273195B (zh) 2013-05-28 2015-03-04 江苏大学 激光间接冲击下金属薄板的微冲裁自动化装置及其方法
CN103316990B (zh) 2013-05-28 2015-06-10 江苏大学 脉冲激光驱动飞片加载薄板的微冲裁自动化装置及其方法
US9745220B2 (en) 2013-06-21 2017-08-29 Corning Incorporated Etch rate enhancement at low temperatures
ITTO20130526A1 (it) * 2013-06-26 2014-12-27 Fiat Group Automobiles Spa Controllo del funzionamento di un sistema autoveicolistico di cambio delle marce
US9776891B2 (en) 2013-06-26 2017-10-03 Corning Incorporated Filter and methods for heavy metal remediation of water
KR101344368B1 (ko) 2013-07-08 2013-12-24 정우라이팅 주식회사 수직형 유리관 레이저 절단장치
CN103359948A (zh) 2013-07-12 2013-10-23 深圳南玻伟光导电膜有限公司 钢化玻璃的切割方法
US20150021513A1 (en) 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
KR20150014167A (ko) 2013-07-29 2015-02-06 삼성전기주식회사 유리 코어가 구비된 인쇄회로기판
US9102007B2 (en) 2013-08-02 2015-08-11 Rofin-Sinar Technologies Inc. Method and apparatus for performing laser filamentation within transparent materials
US9984270B2 (en) 2013-08-05 2018-05-29 Apple Inc. Fingerprint sensor in an electronic device
WO2015023525A1 (en) 2013-08-15 2015-02-19 Corning Incorporated Alkali-doped and alkali-free boroaluminosilicate glass
WO2015029286A1 (ja) 2013-08-27 2015-03-05 パナソニック株式会社 薄膜トランジスタ基板の製造方法及び薄膜トランジスタ基板
US9296646B2 (en) 2013-08-29 2016-03-29 Corning Incorporated Methods for forming vias in glass substrates
JP6469657B2 (ja) 2013-09-26 2019-02-13 アトテツク・ドイチユラント・ゲゼルシヤフト・ミツト・ベシユレンクテル・ハフツングAtotech Deutschland GmbH 基材表面を金属化するための新規の密着性促進体
CN105593410A (zh) 2013-09-26 2016-05-18 德国艾托特克公司 用于衬底表面金属化的新颖粘着促进方法
CN203509350U (zh) 2013-09-27 2014-04-02 东莞市盛雄激光设备有限公司 皮秒激光加工装置
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
CN103531414B (zh) 2013-10-14 2016-03-02 南京三乐电子信息产业集团有限公司 一种栅控行波管栅网的皮秒脉冲激光切割制备方法
US10017410B2 (en) 2013-10-25 2018-07-10 Rofin-Sinar Technologies Llc Method of fabricating a glass magnetic hard drive disk platter using filamentation by burst ultrafast laser pulses
US11053156B2 (en) 2013-11-19 2021-07-06 Rofin-Sinar Technologies Llc Method of closed form release for brittle materials using burst ultrafast laser pulses
US10005152B2 (en) 2013-11-19 2018-06-26 Rofin-Sinar Technologies Llc Method and apparatus for spiral cutting a glass tube using filamentation by burst ultrafast laser pulses
DE102013223637B4 (de) 2013-11-20 2018-02-01 Trumpf Laser- Und Systemtechnik Gmbh Verfahren zum Behandeln eines lasertransparenten Substrats zum anschließenden Trennen des Substrats
EP3071531B1 (en) 2013-11-20 2021-09-22 Corning Incorporated Scratch-resistant boroaluminosilicate glass
KR102216118B1 (ko) 2013-11-25 2021-02-17 코닝 인코포레이티드 실질적인 원통형의 정반사성 반사 표면의 형상을 결정하는 방법
US10144088B2 (en) 2013-12-03 2018-12-04 Rofin-Sinar Technologies Llc Method and apparatus for laser processing of silicon by filamentation of burst ultrafast laser pulses
CN103746027B (zh) 2013-12-11 2015-12-09 西安交通大学 一种在ito导电薄膜表面刻蚀极细电隔离槽的方法
US9517963B2 (en) 2013-12-17 2016-12-13 Corning Incorporated Method for rapid laser drilling of holes in glass and products made therefrom
KR20160100332A (ko) 2013-12-17 2016-08-23 코닝 인코포레이티드 3-d 유리 성형
US10442719B2 (en) 2013-12-17 2019-10-15 Corning Incorporated Edge chamfering methods
US9701563B2 (en) 2013-12-17 2017-07-11 Corning Incorporated Laser cut composite glass article and method of cutting
US9815730B2 (en) 2013-12-17 2017-11-14 Corning Incorporated Processing 3D shaped transparent brittle substrate
US20150165563A1 (en) 2013-12-17 2015-06-18 Corning Incorporated Stacked transparent material cutting with ultrafast laser beam optics, disruptive layers and other layers
US20150165560A1 (en) 2013-12-17 2015-06-18 Corning Incorporated Laser processing of slots and holes
US9850160B2 (en) 2013-12-17 2017-12-26 Corning Incorporated Laser cutting of display glass compositions
US9687936B2 (en) 2013-12-17 2017-06-27 Corning Incorporated Transparent material cutting with ultrafast laser and beam optics
US9676167B2 (en) 2013-12-17 2017-06-13 Corning Incorporated Laser processing of sapphire substrate and related applications
US20150166393A1 (en) 2013-12-17 2015-06-18 Corning Incorporated Laser cutting of ion-exchangeable glass substrates
US9285593B1 (en) 2013-12-20 2016-03-15 AdlOptica Optical Systems GmbH Method and apparatus for shaping focused laser beams
GB2536588B (en) 2014-01-17 2018-08-15 Harbin Inst Technology Method and apparatus based on fiber bragg grating probe for measuring structures of a micro part
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
KR20160114106A (ko) 2014-01-27 2016-10-04 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 표면 개질 층의 처리
JP6273873B2 (ja) 2014-02-04 2018-02-07 大日本印刷株式会社 ガラスインターポーザー基板の製造方法
US9425125B2 (en) 2014-02-20 2016-08-23 Altera Corporation Silicon-glass hybrid interposer circuitry
JP2017508151A (ja) 2014-02-24 2017-03-23 レニショウ パブリック リミテッド カンパニーRenishaw Public Limited Company 視覚プローブを使用して物体を検査する方法
WO2015127583A1 (en) 2014-02-25 2015-09-03 Schott Ag Chemically toughened glass article with low coefficient of thermal expansion
US9618331B2 (en) 2014-03-20 2017-04-11 Harbin Institute Of Technology Method and equipment based on detecting the polarization property of a polarization maintaining fiber probe for measuring structures of a micro part
KR102269921B1 (ko) 2014-03-31 2021-06-28 삼성디스플레이 주식회사 유리 강화용 조성물 및 이를 이용한 터치 스크린 글래스의 제조 방법
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
KR20160145801A (ko) 2014-04-30 2016-12-20 코닝 인코포레이티드 관통-유리 비아의 제조를 위한 본딩 재료의 엣칭 백 공정
US8980727B1 (en) 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US9472859B2 (en) 2014-05-20 2016-10-18 International Business Machines Corporation Integration of area efficient antennas for phased array or wafer scale array antenna applications
WO2015192149A2 (en) 2014-06-13 2015-12-17 The Regents Of The University Of California Nanostructured carriers for guided and targeted on-demand substance delivery
KR102445217B1 (ko) 2014-07-08 2022-09-20 코닝 인코포레이티드 재료를 레이저 가공하는 방법 및 장치
LT2965853T (lt) 2014-07-09 2016-11-25 High Q Laser Gmbh Medžiagos apdorojimas, naudojant pailgintuosius lazerio spindulius
CN107073642B (zh) 2014-07-14 2020-07-28 康宁股份有限公司 使用长度和直径可调的激光束焦线来加工透明材料的系统和方法
US20160009066A1 (en) 2014-07-14 2016-01-14 Corning Incorporated System and method for cutting laminated structures
JP5972317B2 (ja) 2014-07-15 2016-08-17 株式会社マテリアル・コンセプト 電子部品およびその製造方法
US9558390B2 (en) 2014-07-25 2017-01-31 Qualcomm Incorporated High-resolution electric field sensor in cover glass
NL2015160A (en) 2014-07-28 2016-07-07 Asml Netherlands Bv Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method.
KR20170036715A (ko) 2014-07-30 2017-04-03 코닝 인코포레이티드 일정한 유리 기판의 에칭을 위한 초음파 탱크 및 방법
DE102014113339A1 (de) 2014-09-16 2016-03-17 Lpkf Laser & Electronics Ag Verfahren zur Erzeugung von Ausnehmungen in einem Material
CN104344202A (zh) 2014-09-26 2015-02-11 张玉芬 一种有孔玻璃
CN106795044A (zh) 2014-10-03 2017-05-31 日本板硝子株式会社 带贯通电极玻璃基板的制造方法以及玻璃基板
US20160201474A1 (en) 2014-10-17 2016-07-14 United Technologies Corporation Gas turbine engine component with film cooling hole feature
WO2016069821A1 (en) 2014-10-31 2016-05-06 Corning Incorporated Dimensionally stable fast etching glasses
KR102138964B1 (ko) 2014-11-19 2020-07-28 트룸프 레이저-운트 시스템테크닉 게엠베하 비대칭 광학 빔 정형을 위한 시스템
DE102014116958B9 (de) 2014-11-19 2017-10-05 Trumpf Laser- Und Systemtechnik Gmbh Optisches System zur Strahlformung eines Laserstrahls, Laserbearbeitungsanlage, Verfahren zur Materialbearbeitung und Verwenden einer gemeinsamen langgezogenen Fokuszone zur Lasermaterialbearbeitung
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
TWI506242B (zh) 2014-12-12 2015-11-01 Ind Tech Res Inst 薄膜曲率量測裝置及其方法
CN107406293A (zh) 2015-01-12 2017-11-28 康宁股份有限公司 使用多光子吸收方法来对经热回火的基板进行激光切割
CN106132627B (zh) 2015-01-13 2018-09-07 罗芬-新纳技术有限责任公司 用于对脆性材料进行划割并随后进行化学蚀刻的方法和系统
ES2784361T3 (es) 2015-01-22 2020-09-24 Becton Dickinson Co Dispositivos y sistemas para la creación de códigos de barras moleculares de dianas de ácido nucleico en células individuales
WO2016118683A1 (en) 2015-01-23 2016-07-28 Corning Incorporated Coated substrate for use in sensors
US20160219704A1 (en) 2015-01-28 2016-07-28 Rf Micro Devices, Inc. Hermetically sealed through vias (tvs)
WO2016138255A1 (en) 2015-02-27 2016-09-01 Brigham And Women's Hospital, Inc. Imaging systems and methods of using the same
US10082383B2 (en) 2015-03-05 2018-09-25 Harbin Institute Of Technology Method and equipment for dimensional measurement of a micro part based on fiber laser with multi-core FBG probe
EP3274306B1 (en) 2015-03-24 2021-04-14 Corning Incorporated Laser cutting and processing of display glass compositions
US10203476B2 (en) 2015-03-25 2019-02-12 Microsoft Technology Licensing, Llc Lens assembly
US20160312365A1 (en) 2015-04-24 2016-10-27 Kanto Gakuin School Corporation Electroless plating method and electroless plating film
KR20170139680A (ko) 2015-04-28 2017-12-19 코닝 인코포레이티드 출구 희생 커버 층을 사용하여 기판에 쓰루 홀을 레이저 드릴링하는 방법 및 이에 상응하는 피가공재
JP6596906B2 (ja) * 2015-04-30 2019-10-30 大日本印刷株式会社 貫通電極基板並びに貫通電極基板を用いたインターポーザ及び半導体装置
CN106298467B (zh) 2015-05-28 2019-10-18 联华电子股份有限公司 半导体元件图案的制作方法
TW201704177A (zh) 2015-06-10 2017-02-01 康寧公司 蝕刻玻璃基板的方法及玻璃基板
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
US9536826B1 (en) * 2015-06-15 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with interconnect structure
CN104897062B (zh) 2015-06-26 2017-10-27 北方工业大学 一种零件异面平行孔形位偏差的视觉测量方法及装置
US9741561B2 (en) 2015-07-10 2017-08-22 Uchicago Argonne, Llc Transparent nanocrystalline diamond coatings and devices
KR102499697B1 (ko) 2015-07-10 2023-02-14 코닝 인코포레이티드 유연한 기판 시트에서의 홀의 연속 제조 방법 및 이에 관한 물품
KR102552275B1 (ko) 2015-07-31 2023-07-07 삼성디스플레이 주식회사 마스크 제조방법
US9832868B1 (en) 2015-08-26 2017-11-28 Apple Inc. Electronic device display vias
WO2017038075A1 (ja) 2015-08-31 2017-03-09 日本板硝子株式会社 微細構造付きガラスの製造方法
US20170103249A1 (en) 2015-10-09 2017-04-13 Corning Incorporated Glass-based substrate with vias and process of forming the same
US9760986B2 (en) 2015-11-11 2017-09-12 General Electric Company Method and system for automated shaped cooling hole measurement
CN105693102B (zh) 2016-01-12 2018-08-24 中国建筑材料科学研究总院 石英玻璃酸刻蚀用掩膜及石英玻璃摆片的酸刻蚀方法
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
US10475202B2 (en) 2016-02-05 2019-11-12 Mitutoyo Corporation Image measuring device and program
JP6190915B2 (ja) * 2016-04-20 2017-08-30 浜松ホトニクス株式会社 検出器、pet装置及びx線ct装置
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
CN110121398B (zh) 2016-08-30 2022-02-08 康宁股份有限公司 透明材料的激光加工
US10366904B2 (en) 2016-09-08 2019-07-30 Corning Incorporated Articles having holes with morphology attributes and methods for fabricating the same
US10730783B2 (en) 2016-09-30 2020-08-04 Corning Incorporated Apparatuses and methods for laser processing transparent workpieces using non-axisymmetric beam spots
DE102018100299A1 (de) 2017-01-27 2018-08-02 Schott Ag Strukturiertes plattenförmiges Glaselement und Verfahren zu dessen Herstellung
JP6898998B2 (ja) 2017-03-06 2021-07-07 エル・ピー・ケー・エフ・レーザー・ウント・エレクトロニクス・アクチエンゲゼルシヤフト 電磁放射及び続くエッチングプロセスにより材料内に少なくとも1つの空隙を施すための方法
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11174195B2 (en) 2017-08-31 2021-11-16 Nippon Electric Glass Co., Ltd. Method for etching glass, etching treatment device and glass sheet
US20190185373A1 (en) 2017-12-19 2019-06-20 Corning Incorporated Methods for etching vias in glass-based articles employing positive charge organic molecules
CN108191258B (zh) 2018-01-30 2020-05-05 武汉理工大学 一种dlc薄膜增硬玻璃及其制备方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003197811A (ja) * 2001-12-27 2003-07-11 Hitachi Ltd ガラス基板及びその製造方法、並びに配線基板、半導体モジュール
JP2009200356A (ja) * 2008-02-22 2009-09-03 Tdk Corp プリント配線板及びその製造方法
JP2014127701A (ja) * 2012-12-27 2014-07-07 Ibiden Co Ltd 配線板及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220105770A (ko) * 2021-01-21 2022-07-28 주식회사 야스 홀(Hole)을 구비한 유리기판 검사시스템

Also Published As

Publication number Publication date
TW201901870A (zh) 2019-01-01
CN110709987B (zh) 2023-10-20
WO2018217696A2 (en) 2018-11-29
KR102539132B1 (ko) 2023-06-01
JP2020521335A (ja) 2020-07-16
JP2023080267A (ja) 2023-06-08
US10580725B2 (en) 2020-03-03
US20180342450A1 (en) 2018-11-29
JP7320456B2 (ja) 2023-08-03
CN110709987A (zh) 2020-01-17
TWI790232B (zh) 2023-01-21
US11062986B2 (en) 2021-07-13
WO2018217696A3 (en) 2018-12-27
US20200161232A1 (en) 2020-05-21

Similar Documents

Publication Publication Date Title
KR102539132B1 (ko) 기하학적 속성을 갖춘 비아를 가진 물품 및 이를 제조하는 방법
US10366904B2 (en) Articles having holes with morphology attributes and methods for fabricating the same
US11972993B2 (en) Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
TW201806096A (zh) 貫通電極基板及其製造方法、以及安裝基板
US11344973B2 (en) Methods for forming holes in substrates
US20190157107A1 (en) Low surface roughness substrate having a via and methods of making the same
TW202028146A (zh) 具有玻璃穿孔之三維中介層-提高銅與玻璃表面間的黏著性之方法及其物件
US11964344B2 (en) Glass substrate having through hole and hollowed-out portion and method for producing the same
WO2023034075A1 (en) Glass ceramic substrate with through-glass via
US20230174423A1 (en) Glass wafer with through glass vias
US11158519B2 (en) Method of forming capped metallized vias
WO2024070319A1 (ja) ガラス基板、多層配線基板、およびガラス基板の製造方法
WO2024070320A1 (ja) ガラス基板、多層配線基板、およびガラス基板の製造方法
Flemming et al. Cost effective 3D glass microfabrication for advanced electronic packages
Flemming et al. Cost-effective precision 3d glass microfabrication for advanced packaging applications

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)