JP2017046016A - 半導体チップ - Google Patents

半導体チップ Download PDF

Info

Publication number
JP2017046016A
JP2017046016A JP2016236397A JP2016236397A JP2017046016A JP 2017046016 A JP2017046016 A JP 2017046016A JP 2016236397 A JP2016236397 A JP 2016236397A JP 2016236397 A JP2016236397 A JP 2016236397A JP 2017046016 A JP2017046016 A JP 2017046016A
Authority
JP
Japan
Prior art keywords
concentration
region
dopant
transistor
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016236397A
Other languages
English (en)
Other versions
JP6371822B2 (ja
Inventor
シフレン,ルシアン
Shifren Lucian
ラネイド,プッシュカー
Ranade Pushkar
イー グレゴリー,ポール
e gregory Paul
イー グレゴリー,ポール
アール ソンクセール,サチン
R Sonkusale Sachin
アール ソンクセール,サチン
ジャン,ウェイミン
Wei-Min Zhang
イー トンプソン,スコット
e thompson Scott
イー トンプソン,スコット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Semiconductor Japan Co Ltd
Original Assignee
Mie Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mie Fujitsu Semiconductor Ltd filed Critical Mie Fujitsu Semiconductor Ltd
Publication of JP2017046016A publication Critical patent/JP2017046016A/ja
Application granted granted Critical
Publication of JP6371822B2 publication Critical patent/JP6371822B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode

Abstract

【課題】 パンチスルー抑制構成を備えた先端トランジスタを提供する。
【解決手段】 パンチスルー抑制構成を備えた先端トランジスタは、長さLgを有するゲートと、第1濃度のドーパントを有するようにドープされたウェルと、ゲートの下方に位置し且つ第2濃度のドーパントを有するスクリーン領域とを含む。第2濃度のドーパントは5×1018ドーパント原子/cmより高いとし得る。少なくとも1つのパンチスルー抑制領域が、ゲートの下方且つスクリーン領域とウェルとの間に配設される。パンチスルー抑制領域は、第1濃度と第2濃度との間の第3濃度のドーパントを有する。トランジスタの閾値電圧を調整するために、ウェル領域にバイアス電圧が印加され得る。
【選択図】 図1

Description

本発明は、強化されたパンチスルー抑制を含む改善された動作特性を有する先端トランジスタの構造及びそれを形成するプロセスに関する。
電子装置のコストを低減し且つその機能的な能力を向上させるために、より多くのトランジスタを単一のダイに納めることが望ましい。半導体製造会社によって採用されている一般的な戦略は、単純に電界効果トランジスタ(FET)のゲートサイズを縮小し、それに比例して、トランジスタのソース、ドレイン、及びトランジスタ間に必要な相互接続、の領域を縮小するというものである。しかしながら、単純な比例的な縮小は、“短チャネル効果”として知られる効果により、常に可能なわけではない。短チャネル効果は特に、トランジスタのゲート下のチャネルの長さが、動作時のトランジスタの空乏深さと大きさ的に同等になるときに深刻になり、閾値電圧の低下、重大な表面散乱、ドレイン誘起障壁低下(drain induced barrier lowering;DIBL)、ソース−ドレイン間パンチスルー、及び電子移動度の問題を含む。
短チャネル効果を抑制するための従来の解決策は、ソース及びドレインの周りにポケット注入又はハロー(halo)注入を行うことを伴い得る。ハロー注入は、トランジスタのソースとドレインとに関して対称的あるいは非対称的のいずれにされてもよく、典型的に、トランジスタのウェルとソース・ドレインとの間に、より滑らかなドーパント勾配を提供する。残念ながら、このような注入は、例えば閾値電圧のロールオフ及びドレイン誘起障壁低下などの一部の電気特性を向上させるものの、結果として生じるチャネルドーピングの増大が、主としてチャネル内でのドーパント散乱の増大により、電子移動度に悪影響を及ぼしてしまう。
多くの半導体製造会社が、新しいトランジスタタイプを採用することによって短チャネル効果を抑制しようと試みている。そのような新しいトランジスタタイプには、完全空乏型又は部分空乏型のシリコン・オン・インシュレータ(SOI)トランジスタが含まれる。SOIトランジスタは、絶縁体の層の上に位置する薄いシリコン層上に構築され、短チャネル効果を最小化するアンドープあるいは低濃度ドープのチャネルを有し、動作のために深いウェル注入又はハロー注入の何れかを行うことを必要としない。残念ながら、好適な絶縁体層を作り出すことは、コストが掛かるとともに達成が困難である。初期のSOIデバイスは、シリコンウェハではなく絶縁サファイアウェハの上に構築され、典型的に、高いコストのため、特殊用途(例えば、軍用航空電子機器又は人工衛星)のみに使用されている。最近のSOI技術は、シリコンウェハを使用しているが、デバイス品質の単結晶シリコンの表面層の下でウェハ全面にわたって延在する酸化シリコンの絶縁層を作成するために、高価で時間の掛かる追加のウェハ処理工程を必要とする。
シリコンウェハ上にこのような酸化シリコン層を作成することへの1つの一般的な取り組みは、高ドーズの酸素のイオン注入及び高温アニールにより、バルクシリコンウェハ内に埋め込み酸化物(BOX)層を形成することを必要とする。代替的に、SOIウェハは、シリコンウェハを、酸化物層を表面に有する別のシリコンウェハ(“ハンドル”ウェハ)に接合することによって製造されることもできる。これら一対のウェハは、ハンドルウェハ上のBOX層の頂部に単結晶シリコンの薄いトランジスタ品質の層を残すプロセスを用いて分割される。これは、ハンドルウェハの熱成長された酸化物層上に薄いシリコン層を転写するものであるので、“層転写(レイヤトランスファー)”技術と呼ばれている。
予期されるように、BOX形成及び層転写はどちらも、比較的高い失敗率を有するコストの掛かる製造技術である。従って、SOIトランジスタの製造は、多くの主要な製造業者にとって、経済的に魅力のある解決策ではない。“フローティングボディ”効果に対処するためのトランジスタ設計変更のコスト、新たなSOI固有のトランジスタプロセスを開発する必要性、及びその他の回路変更がSOIウェハのコストに追加されるとき、他の解決策が必要となることは明らかである。
研究されているもう1つの考え得る先端トランジスタは、複数ゲートトランジスタを使用するものであり、これは、SOIトランジスタのように、チャネル内に殆どあるいは全くドーピングを有しないことによって短チャネル効果を最小化する。(ゲートによって部分的に囲まれたフィンのような形状のチャネルに起因して)finFETとして広く知られるfinFETトランジスタの使用が、28nm又はそれより微細なトランジスタゲートサイズを有するトランジスタ用に提案されている。しかし、やはり、SOIトランジスタのように、根本的に新しいトランジスタ技術への移行は、一部の短チャネル効果の問題を解決するものの、その他の問題を生み出し、SOIより遙かに重大なトランジスタレイアウトの再設計を必要とする。finFETを作成するための複雑な非平面トランジスタ製造技術が必要であると予期されることと、finFET用の新たなプロセスフローを作り出すことにおける未知の困難さとを考慮して、製造業者は、finFETを製造することが可能な半導体製造設備への投資に渋ることになっている。
パンチスルー抑制構成を備えたトランジスタ構造及びその形成方法が提供される。
一態様によれば、電界効果トランジスタ構造は、第1濃度のドーパントを有するようにドープされたウェルと、前記ウェル内にイオン注入され、5×1018ドーパント原子/cmより高い第2濃度のドーパントを有するスクリーン領域と、前記第1濃度と前記第2濃度との間の第3濃度のドーパントを有する少なくとも1つのパンチスルー抑制領域であり、ゲートの下方且つ前記スクリーン領域と前記ウェルとの間に位置する少なくとも1つのパンチスルー抑制領域とを含む。
パンチスルー抑制を備えたDDCトランジスタを例示する図である。 強化されたパンチスルー抑制を備えたDDCトランジスタのドーパントプロファイルを例示する図である。 他の有用なドーパントプロファイルを例示する図である。 他の有用なドーパントプロファイルを例示する図である。 他の有用なドーパントプロファイルを例示する図である。 他の有用なドーパントプロファイルを例示する図である。 他の有用なドーパントプロファイルを例示する図である。 パンチスルー抑制を備えたDDCトランジスタを形成するプロセスの一例を示すフロー図である。
シリコン・オン・インシュレータ(SOI)トランジスタと異なり、ナノスケールバルクCMOSトランジスタ(典型的に、100nm未満のゲート長を有するバルクCMOSトランジスタ)は、ドレイン誘起障壁低下(DIBL)及びソースドレインパンチスルーの双方によるボディリークを含め、有意に不利な短チャネル効果を受ける。パンチスルーは、ソースの空乏層とドレインの空乏層との融合に関連する。これらの空乏層の融合は、ドレインの空乏層をして、ドープされた基板を横切って延在させてソースの空乏層まで到達させ、ソースとドレインとの間に導通路又はリーク電流を作り出す。これは、必要なトランジスタ電力の実質的な増大をもたらし、その結果、トランジスタの熱出力の増大と、このようなトランジスタを用いた可搬式装置又は電池駆動装置の動作寿命の短縮とをもたらす。
バルクCMOS基板上に製造可能な改善トランジスタを図1に示す。電界効果トランジスタ(FET)100は、大いに低減された短チャネル効果とともに、ここに記載の特定の実施形態に従った強化されたパンチスルー抑制を有するように構成されている。FET100は、ゲート電極102、ソース104、ドレイン106、及びチャネル110上に位置するゲート誘電体108を含んでいる。動作時、チャネル110は、従来のトランジスタと比較して深く空乏化され、深空乏化チャネル(deeply depleted channel;DDC)と呼び得るものを形成する。空乏深さは、部分的に、高濃度ドープされたスクリーン領域112によって設定される。チャネル110は、実質的にアンドープであって、図示のように、高濃度ドープされたスクリーン領域112の上方に位置するが、異なる複数のドーパント濃度を有する単純あるいは複雑な積層構成を含み得る。このドープされた積層構成は、必要に応じてゲート誘電体108とスクリーン領域112との間のチャネル110内に位置付けられた、スクリーン領域112より低いドーパント濃度を有する閾値電圧設定領域111を含み得る。閾値電圧設定領域111は、チャネル110の大部分を実質的にアンドープのままにしながら、FET100の動作閾値電圧の小さな調整を可能にする。さらに、スクリーン領域112の下方に、パンチスルー抑制領域113が形成されている。閾値電圧設定領域111と同様に、パンチスルー抑制領域113は、スクリーン領域112より低いながらも、低濃度ドープされたウェル基板114の全体的なドーパント濃度よりは高いドーパント濃度を有する。動作時、動作閾値電圧を更に変更するために、ソース104にバイアス電圧(VBS)122が印加されてもよく、また、この回路を閉じるように、接続124にて、Pウェル114にP+端子126が接続され得る。ゲートスタックは、ゲート電極102、ゲートコンタクト118及びゲート誘電体108を含んでいる。ゲートをソース及びドレインから分離するようにゲートスペーサ130が含められている。また、必要に応じてのソース/ドレインエクステンション(SDE)132すなわち“チップ”が、ゲートスペーサ130及びゲート誘電体108の下までソース及びドレインを延長させており、ゲート長を幾分短縮させてFET100の電気特性を向上させる。
この例示的な実施形態において、FET100は、基板116上に形成されたPウェル114を提供するP型ドープされたシリコン基板としての基板の上に形成された、N型ドーパント材料で形成されたソース及びドレインを有するNチャネルトランジスタとして示されている。しかしながら、理解されるように、基板又はドーパント材料を適切に変更して、例えばガリウム砒素ベースの材料などの他の好適基板から形成された非シリコンのP型半導体トランジスタが代用されてもよい。ソース104及びドレイン106は、従来からのドーパント注入プロセス及び材料を用いて形成されることができ、例えば、応力誘起ソース/ドレイン構造、隆起ソース/ドレイン及び/又はリセスソース/ドレイン、非対称ドープ、カウンタードープあるいは結晶構造修飾されたソース/ドレイン、又はLDD(低ドープドレイン)技術によるソース/ドレインエクステンション領域の注入ドーピングなどを含んでいてもよい。ソース/ドレインの動作特性を変えるための様々なその他の技術も使用されることができる。そのような技術は、特定の実施形態において、電気特性を変化させる補償ドーパントとしてのヘテロドーパント材料の使用を含む。
ゲート電極102は、従来からの材料で形成されることができる。そのような材料は好ましくは、以下に限られないが、金属、金属合金、金属窒化物、及び金属シリサイド、並びにこれらの積層体及びこれらの複合材料を含む。特定の実施形態において、ゲート電極102はまた、例えば高濃度ドープされたポリシリコン及びポリシリコンゲルマニウム合金を含め、ポリシリコンから形成されてもよい。金属又は金属合金は、例えば窒化チタンなどのチタン含有化合物を含め、アルミニウム、チタン、又はその窒化物を含有するものを含み得る。ゲート電極102の形成は、シリサイド法、化学気相成長法、並びに、以下に限られないが例えば蒸着法及びスパッタリング法などの物理気相成長法を含み得る。典型的に、ゲート電極102は約1nmから約500nmまでの総厚を有する。
ゲート誘電体108は、例えば酸化物、窒化物及び酸窒化物などの従来からの誘電体材料を含み得る。他の例では、ゲート誘電体108は、以下に限られないが酸化ハフニウム、ケイ酸ハフニウム、酸化ジルコニウム、酸化ランタン、酸化チタン、チタン酸バリウムストロンチウム、ジルコン酸チタン酸鉛、金属ベースの誘電体材料、及び誘電性を有するその他の材料を含め、概して高い誘電率の誘電体材料を含み得る。好適なハフニウム含有酸化物は、HfO、HfZrO、HfSiO、HfTiO、HfAlO、及びこれらに類するものと含む。組成及び利用可能な堆積処理装置に応じて、ゲート誘電体108は、熱酸化若しくはプラズマ酸化、窒化物形成法、化学気相成長法(原子層成長法を含む)、及び物理気相成長法などの方法によって形成され得る。一部の実施形態において、複数層若しくは複合層、積層体、及び複数の誘電体材料の組成混合物を使用することができる。例えば、ゲート誘電体は、約0.3nmと1nmとの間の厚さを有するSiOベースの絶縁体と、約0.5nmと4nmとの間の厚さを有する酸化ハフニウムベースの絶縁体とから形成され得る。典型的に、ゲート誘電体は約0.5nmから約5nmまでの総厚を有する。
チャネル領域110は、ゲート誘電体108の下方且つ高濃度ドープされたスクリーン領域112の上方に形成される。チャネル領域110はまた、ソース104及びドレイン106に接触し、且つこれらの間に延在している。好ましくは、チャネル領域は、ゲート誘電体108に隣接する、あるいはその付近の、5×1017ドーパント原子/cm未満のドーパント濃度を有する実質的にアンドープのシリコンを含む。チャネル厚は典型的に、5nmから50nmまでの範囲内とし得る。特定の実施形態において、チャネル領域110は、スクリーン領域上での純粋あるいは実質的に純粋なシリコンのエピタキシャル成長によって形成される。
開示されるように、閾値電圧設定領域111は、スクリーン領域112の上方に位置し、典型的に、薄いドープト層として形成される。ドーパント濃度、厚さ、並びにゲート誘電体及びスクリーン領域からの離隔距離を好適に変化させることは、動作時のFET100の閾値電圧の、制御された微調整を可能にする。特定の実施形態において、閾値電圧設定領域111は、約1×1018ドーパント原子/cmと約1×1019ドーパント原子/cmとの間の濃度を有するようにドープされる。閾値電圧設定領域111は、1)その場(in-situ)エピタキシャルドーピング、2)薄いシリコン層のエピタキシャル成長と、それに続く厳密に制御されたドーパント注入、3)薄いシリコン層のエピタキシャル成長と、それに続くスクリーン領域112からの原子のドーパント拡散、又は4)これらのプロセスの組合せ(例えば、シリコンのエピタキシャル成長と、それに続くドーパント注入及びスクリーン領域112からのドーパント拡散の双方)、を含む幾つかの異なるプロセスによって形成され得る。
典型的に、高濃度ドープされたスクリーン領域112の位置によって、動作時のFET100の空乏領域の深さが設定される。有利には、スクリーン領域112(及び関連する空乏深さ)は、ゲート長と同等の深さ(Lg/1)からゲート長の大きい一部である深さ(Lg/5)までの範囲の深さに設定される。好適な実施形態において、典型的な範囲は、Lg/3とLg/1.5との間である。極めて低い電力での動作では、Lg/2又はそれ以上を有するデバイスが好ましく、より高い電圧で動作するデジタル又はアナログのデバイスは、しばしば、Lg/5とLg/2との間のスクリーン領域を用いて形成され得る。例えば、32nmのゲート長を有するトランジスタは、ゲート誘電体の下16nm(Lg/2)の深さにピークドーパント密度を有するスクリーン領域と、8nm(Lg/4)の深さにピークドーパント密度を有する閾値電圧設定領域とを有するように形成され得る。
特定の実施形態において、スクリーン領域112は、約5×1018ドーパント原子/cmと約1×1020ドーパント原子/cmとの間の濃度という、アンドープのチャネルのドーパント濃度より有意に高く、且つ必要に応じての閾値電圧設定領域111のドーパント濃度より少なくとも僅かに高いドーパント濃度を有するようにドープされる。認識されるように、正確なドーパント濃度及びスクリーン領域の深さは、FET100の所望の動作特性を改善するように、あるいは利用可能なトランジスタ製造プロセス及びプロセス条件を考慮に入れるように変更されることができる。
リークを制御する助けとなるよう、スクリーン領域112の下方にパンチスルー抑制領域113が形成される。パンチスルー抑制領域113は、典型的には、低濃度ドープされたウェル内への直接注入によって形成されるが、スクリーン領域からの外方拡散、その場成長、又はその他の既知のプロセスによって形成されてもよい。閾値電圧設定領域111と同様に、パンチスルー抑制領域113は、スクリーン領域112より低いドーパント濃度を有し、これは典型的に、約1×1018ドーパント原子/cmと約1×1019ドーパント原子/cmとの間に設定される。また、パンチスルー抑制領域113のドーパント濃度は、ウェル基板の全体的なドーパント濃度より高く設定される。認識されるように、正確なドーパント濃度及び深さは、FET100の所望の動作特性を改善するように、あるいは利用可能なトランジスタ製造プロセス及びプロセス条件を考慮に入れるように変更されることができる。
このようなFET100を形成することは、十分に開発されて長く使用されてきたプレーナCMOSプロセス技術を容易に適応することができるので、SOIトランジスタ又はfinFETトランジスタと比較して単純である。
同時に、このような構造、及びこのような構造を製造する方法は、従来のナノスケールデバイスと比較して低い動作電圧及び低い閾値電圧の双方を有するFETトランジスタを可能にする。また、DDCトランジスタは、ボディバイアス電圧発生器の助けを借りて閾値電圧を静的に設定することを可能にするように構成され得る。一部の実施形態において、閾値電圧は更に、動的に制御されることができ、トランジスタのリーク電流を大いに低減(低リーク、低速動作用にVを上昇方向に調整するように電圧バイアスを設定することによる)あるいは増大(高リーク、高速動作用にVを減少方向に調整することによる)させることを可能にし得る。最終的に、これらの構造、及びこれらの構造を製造する方法は、回路の動作中に動的に調整されることが可能なFETデバイスを有する集積回路を設計することを可能にする。故に、集積回路内のトランジスタは、名目上同じ構造で設計されながら、異なるバイアス電圧に応じて異なる動作電圧で動作するように、あるいは異なるバイアス電圧及び動作電圧に応じて異なる動作モードで動作するように、制御、調整あるいはプログラムされることができる。また、これらは、製造後に、1つの回路内で異なる複数の用途に合わせて設定されることができる。
認識されるように、半導体の物理特性及び電気特性を変化させるために半導体の基板又は結晶層に注入される、あるいはその他の方法で存在する原子の濃度は、物理的及び機能的な領域又は層に関して記述されている。これら領域又は層は、特定の平均濃度を有する3次元の材料の集まりとして当業者に理解され得る。あるいは、それらは、異なる濃度又は空間的に変化する濃度を有する複数の部分領域又はサブレイヤとして理解され得る。それらはまた、ドーパント原子の小集団、実質的に同様のドーパント原子の領域若しくはそれに類するもの、又はその他の物理的形態として存在し得る。これらの特性に基づく領域の記述は、形状や正確な位置又は向きを限定することを意図するものではない。それらはまた、これらの領域又は層を、特定の、プロセス工程の種類若しくは数、層の種類若しくは数(例えば、複合層若しくは単一層)、使用される半導体堆積技術、エッチング技術若しくは成長技術に限定することを意図したものではない。これらのプロセスは、エピタキシャルに形成される領域若しくは原子層成長、ドーパント注入方法、又は線形、単調増加、レトログレード若しくはその他の好適に空間変化するドーパント濃度を含む特定の縦方向若しくは横方向のドーパントプロファイルを含み得る。所望のドーパント濃度が維持されることを確保するため、低温処理、炭素ドーピング、その場ドーパント堆積、及び先端的なフラッシュ又はその他のアニール技術を含む様々なドーパント耐マイグレーション技術が意図される。得られるドーパントプロファイルは、異なるドーパント濃度の1つ以上の領域又は層を有することができ、プロセスにかかわらずに、濃度バラつき、及び領域若しくは層がどのように定義されるかは、赤外線分光法、ラザフォード後方散乱(RBS)、二次イオン質量分析法(SIMS)、又は様々な定性的あるいは定量的なドーパント濃度決定法を用いるその他のドーパント分析ツール、を含む技術によって検出可能であってもよいし、検出可能でなくてもよい。
1つの取り得るトランジスタ構造を一層十分に認識するため、深空乏化トランジスタのドーパントプロファイル202を図2に示す。これは、ゲート誘電体からウェルに向かって下方に延在するソースとドレインとの間の中線で取ったものである。濃度は、立方センチメートル当たりのドーパント原子の個数で測定され、下方への深さは、ゲート長Lgとの比として測定されている。比としての測定は、ナノメートル単位での絶対深さより、相異なるノード(最小ゲート長に関して一般的に定義され、例えば、45nm、32nm、22nm又は15nm)で製造されるトランジスタ間の相互比較を可能にするのに良好である。
図2にて見て取れるように、ゲート誘電体に隣接するチャネル210の領域はドーパントを実質的に有しておらず、ほぼLg/4の深さまで5×1017ドーパント原子/cm未満を有する。閾値電圧設定領域211はドーパント濃度を約3×1018ドーパント原子/cmまで上昇させている。この濃度は、約3×1019ドーパント原子/cmまで、もう1桁上昇して、動作時のトランジスタの空乏領域の底面を設定するスクリーン領域212を形成している。約Lg/1の深さの約1×1019ドーパント原子/cmのドーパント濃度を有するパンチスルー抑制領域213が、スクリーン領域と低濃度ドープされたウェル214との中間にある。パンチスルー抑制領域がない場合、例えば30nmのゲート長と1.0Vの動作電圧とを有するように構築されたトランジスタはかなり大きいリークを有することが予期される。開示のパンチスルー抑制領域213が注入されるとき、パンチスルーリークが低減され、トランジスタは、より電力効率に優れ、且つパンチスルーの不具合なくトランジスタ構造内のプロセスバラつきを許容し得るものとなる。
これは、次の表1で、より良く見て取れる。表1は、或る範囲のパンチスルードーズに関して予期される性能改善と閾値電圧とを示している。
Figure 2017046016
別のドーパントプロファイルも意図される。図3には、深さが僅かに増大された低ドープチャネルを含む別のドーパントプロファイルが示されている。図2の実施形態とは対照的に、閾値電圧設定領域211は、エピタキシャル堆積されたシリコン層へのスクリーン領域212からの外方拡散によって主として形成された浅いノッチである。スクリーン領域212自体は3×1019ドーパント原子/cmより高いドーパント濃度を有するように設定されている。パンチスルー抑制領域213は、約8×1018ドーパント原子/cmのドーパント濃度を有し、スクリーン領域212からの外方拡散と別個の低エネルギー注入との組合せによって設けられている。
図4には、深さが大いに増大された低ドープチャネルを含む別のドーパントプロファイルが示されている。図2及び3の実施形態とは対照的に、閾値電圧の設定を支援する目立ったノッチ、平面又は層は存在していない。スクリーン領域212は3×1019ドーパント原子/cmより高く設定されており、パンチスルー抑制領域213は、より狭く画成された同様の高さの約8×1018ドーパント原子/cmのドーパント濃度を有し、別個の低エネルギー注入によって設けられている。
ドーパントプロファイルの更なる他の一変形例を図5に示す。図5は、非常に低い濃度にドープされたチャネル210を含むトランジスタ構造のトランジスタドーパントプロファイル205を示している。閾値電圧設定領域211は、スクリーン領域上に成長された薄いエピタキシャル層のその場ドーピング又は十分に制御された注入ドーピングによって精密に形成されている。スクリーン領域212は約1×1019ドーパント原子/cmに設定されており、パンチスルー抑制領域213は、狭く画成された約8×1018ドーパント原子/cmのドーパント濃度を有し、別個の低エネルギー注入によって設けられている。ウェル注入214の濃度は約5×1017ドーパント原子/cmまで徐々に低下している。
図6に示すドーパントプロファイル206は、ゲート誘電体に隣接する低濃度ドープされたチャネル210と、狭く画成された閾値電圧設定領域211とを含んでいる。スクリーン領域212は、約1×1019ドーパント原子/cmに設定された狭いピークまで上昇しており、パンチスルー抑制領域213は、約5×1018ドーパント原子/cmのドーパント濃度の広いピークを有し、別個の低エネルギー注入によって設けられている。ウェル注入214の濃度は、トランジスタのバイアス係数を改善するように高くされており、約8×1017ドーパント原子/cmの濃度を有する。
図6の狭いスクリーン領域ピークドーパント濃度とは対照的に、図7のドーパントプロファイル207は広いピーク212を有している。狭いアンドープのチャネル210に加えて、このトランジスタ構造は、明確に画成された部分的にレトログレードな閾値設定211と、目立って分離されたパンチスルー抑制領域213とを含んでいる。ウェル214のドーピング濃度は比較的低く、約5×1017ドーパント原子/cm未満である。
図8は、アナログトランジスタ及びデジタルトランジスタの双方を含めて様々な種類のFET構造に好適な、パンチスルー抑制領域とスクリーン領域とを備えたトランジスタを形成するための1つの例示的なプロセスを示す模式的なプロセスフロー図300である。ここに例示されるプロセスは、本発明の概念を不明瞭にしないよう、その記載において一般的且つ広いものであるように意図されたものであり、より詳細な実施形態及び例については以下にて説明する。これらのプロセス工程は、他のプロセス工程とともに、レガシーデバイスとともにDDC構造デバイスを含む集積回路の処理及び製造を可能にし、向上された性能と低減された電力とを有する幅広いアナログデバイス及びデジタルデバイスに及ぶ設計を可能にする。
異なる複数の実施形態及び例に従った数多くの異なるプロセスのうちの1つであるが、このプロセスは、工程302にて、ウェル形成で開始する。303に示されるように、ウェル形成は、所望の用途及び結果に応じて、STI(シャロートレンチアイソレーション)形成304の前又は後とし得る。P型注入には、ボロン(B)、インジウム(I)又はその他のP型材料を使用することができ、N型注入には、ヒ素(As)、リン(P)又はその他のN型材料を使用することができる。PMOSウェル注入では、10keVから80keVの範囲内でP+注入物を注入することができ、NMOSウェル注入においては、ボロン注入のB+注入は0.5keVから5keVの範囲内且つ1×1013/cmから8×1013/cmの濃度範囲内とし得る。ゲルマニウム注入Ge+が、10keVから60keVの範囲内且つ1×1014/cmから5×1014/cmの濃度で実行されてもよい。ドーパントマイグレーションを抑制するために、炭素注入C+が、0.5keVから5keVの範囲且つ1×1013/cmから8×1013/cmの濃度で実行されてもよい。ウェル注入は、パンチスルー抑制領域、該パンチスルー抑制領域より高いドーパント密度を有するスクリーン領域逐次注入、及び閾値電圧設定領域(上述のように、典型的に、注入によって、あるいはスクリーン領域上に成長されたエピタキシャル層内へのドーパントの拡散によって形成される)の逐次的な注入、及び/又はエピタキシャル成長と注入を含んでもよい。
一部の実施形態において、ウェル形成302は、302Aに示すように、Ge/B(N)、As(P)のビームライン注入と、その後のエピタキシャル(EPI)プレ洗浄プロセスと、その後の非選択ブランケット(全面)EPI堆積とを含んでもよい。他の例では、ウェルは、302Bに示すように、B(N)、As(P)のプラズマ注入と、その後のEPIプレ洗浄と、その後の非選択(ブランケット)EPI堆積とを用いて形成されてもよい。ウェル形成は、他の例では、302Cに示すように、B(N)、As(P)の固体ソース拡散と、その後のEPIプレ洗浄と、その後の非選択(ブランケット)EPI堆積とを含んでもよい。更なる他の代替例として、ウェル形成は、302Dに示すように、単純に、ウェル注入と、その後のB(N)、P(P)のその場ドープ選択EPIとを含んでもよい。ここに記載される実施形態は、異なるウェル構造で異なるパラメータに従って共通基板上に構成される多数のデバイスのうちの何れかを可能にする。
ウェル形成302の前又は後に行われ得るシャロートレンチアイソレーション(STI)形成304は、900℃未満の温度での低温トレンチ犠牲酸化物(trench sacrificial oxide;TSOX)ライナーを含み得る。ゲートスタック306が、多数の様々な手法にて、様々な仕事関数を有する様々な材料から形成あるいはその他の方法で構築され得る。1つの選択肢は、ポリ/SiONゲートスタック306Aである。別の1つの選択肢は、SiON/メタル/ポリ又はSiON/ポリとその後のhigh−k/メタルゲートとを含むゲートファーストプロセス306Bである。別の1つの選択肢のゲートラストプロセス306Cはhigh−k/メタルゲートスタックを含み、ゲートスタックは、“high−kファースト−メタルゲートラスト”フロー又は“high−kラスト−メタルゲートファースト”フローの何れかで形成され得る。更なる他の選択肢306Dは、デバイス構造に応じて調整可能な範囲の仕事関数、N(NMOS)/P(PMOS)/N(PMOS)/P(NMOS)/ミッドギャップ又はこれら間の何処か、を含むメタルゲートである。一例において、Nは4.05±0.2eVの仕事関数(WF)を有し、Pは5.01±0.2eVのWFを有する。
次に、工程308にて、ソース/ドレインチップが注入され得る。あるいは場合により、用途に応じて、ソース/ドレインチップは注入されないこともある。チップの寸法は、要求に応じて異なり、ゲートスペーサ(SPCR)が使用されるかに部分的に依存することになる。1つの選択肢において、308Aのように、チップ注入は行われなくてもよい。次に、必要に応じての工程310及び312にて、歪みチャネルを作り出す性能向上策として、PMOS又はNMOSのEPI層がソース及びドレイン領域内に形成され得る。ゲートラストのゲートスタックの選択肢の場合、工程314にて、ゲートラストモジュールが形成される。これは、314Aに示すように、ゲートラストプロセスの場合のみとし得る。
パンチスルー抑制を含むタイプ及び含まないタイプ、異なる複数の閾値電圧を有するタイプ、静的あるいは動的なバイアス印加を有するタイプ及び有しないタイプを含む複数のトランジスタタイプを支持するダイが意図される。デジタルトランジスタ構成及びアナログトランジスタ構成を1つ以上備えたシステム・オン・チップ(SoC)、先端マイクロプロセッサ、RF、メモリ及びその他のダイが、ここに記載の方法を用いるデバイスに組み込まれ得る。ここに記載の方法及びプロセスによれば、パンチスルー抑制を有する、あるいは有しないDDC及び/又はトランジスタデバイス及び構造の多様な組合せを有するシステムを、バルクCMOSを用いてシリコン上に作り出すことができる。様々な実施形態において、ダイは、動的バイアス構造、静的バイアス構造、又は無バイアス構造が別々あるいは何らかの組み合わせで存在する1つ以上の領域に分割され得る。例えば、動的バイアスの区画においては、動的に調整可能なデバイスが、高Vデバイス及び低Vデバイスとともに、そして場合によりDDC論理デバイスとともに存在し得る。
特定の例示的な実施形態を図面に図示して説明したが、理解されるように、様々なその他の変形が当業者に明らかになり得るのであり、これらの実施形態は、単なる例示であって広範囲の発明を限定するものではなく、また、本発明は、図示して説明された具体的な構造及び構成に限定されるものではない。従って、本明細書及び図面は、限定的なものではなく、例示的なものと見なされるべきである。
本出願は、2009年9月30日に出願された米国仮出願第61/247,300号、2009年11月17日に出願された米国仮出願第61/262,122号、2010年2月18日に出願された米国特許出願第12/708,497号、2010年6月22日に出願された米国仮出願第61/357,492号の利益を主張するものであり、それらの開示事項をここに援用する。

Claims (8)

  1. 半導体上に形成され、ゲート電極とソースおよびドレインを有する複数のトランジスタとを含み、
    前記複数のトランジスタのうちの少なくとも1つのトランジスタは、前記ゲート電極の下方で前記ソースと前記ドレインの間に延在する複数の不純物領域を有し、前記複数の不純物領域はP型又はN型の不純物分布を形成し、前記不純物分布は前記ゲート電極の下方の第1の深さに第1の濃度を有し、前記ゲート電極の下方で前記第1の深さより浅い第2の深さに、前記第1の濃度より低い第2の濃度を有し、また、
    前記複数のトランジスタの各々は、共通のアンドープエピタキシャル膜で形成されたチャネル領域を有し、前記チャネル領域は閾値電圧調整領域の上に形成されており、前記閾値電圧調整領域は前記第2の濃度に関係すること、
    を特徴とする半導体チップ。
  2. 前記不純物分布は、前記第1の深さより浅く前記第2の深さより深い位置に第1のノッチを有することを特徴とする請求項1に記載の半導体チップ。
  3. 前記不純物分布のうちの前記第1の濃度の分布は、前記トランジスタの空乏層領域を確定するスクリーン領域を成すことを特徴とする請求項1または2に記載の半導体チップ。
  4. 前記第1の深さは、前記トランジスタのゲート長より浅く、前記ゲート長の1/5より深いことを特徴とする請求項1乃至3のいずれか一項に記載の半導体チップ。
  5. 前記不純物分布は更に、前記ゲート電極の下方で前記第1の深さより深い第3の深さに、前記第1の濃度より低く前記第2の濃度より高い第3の濃度を有することを特徴とする請求項1乃至4のいずれか一項に記載の半導体チップ。
  6. 前記不純物分布は、前記第1の深さより深く前記第3の深さより浅い位置に第2のノッチを有することを特徴とする請求項5に記載の半導体チップ。
  7. 前記不純物分布のうちの前記第3の濃度の分布は、前記トランジスタのパンチスルー抑制領域を成すことを特徴とする請求項5または6に記載の半導体チップ。
  8. 前記ソース及び前記ドレインのうちの一方の横方向外側で前記トランジスタのボディ内に注入形成され、前記第1の濃度の分布が成す領域の下方にあって該領域と電気的に接触した前記トランジスタのボディにバイアス電圧を選択的に印加するボディタップを更に有することを特徴とする請求項1乃至7のいずれか一項に記載の半導体チップ。
JP2016236397A 2010-06-22 2016-12-06 半導体チップ Active JP6371822B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US35749210P 2010-06-22 2010-06-22
US61/357,492 2010-06-22
US12/895,813 US8421162B2 (en) 2009-09-30 2010-09-30 Advanced transistors with punch through suppression
US12/895,813 2010-09-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013516663A Division JP2013533624A (ja) 2010-06-22 2011-06-21 パンチスルー抑制トランジスタ

Publications (2)

Publication Number Publication Date
JP2017046016A true JP2017046016A (ja) 2017-03-02
JP6371822B2 JP6371822B2 (ja) 2018-08-08

Family

ID=45443199

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013516663A Pending JP2013533624A (ja) 2010-06-22 2011-06-21 パンチスルー抑制トランジスタ
JP2016236397A Active JP6371822B2 (ja) 2010-06-22 2016-12-06 半導体チップ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013516663A Pending JP2013533624A (ja) 2010-06-22 2011-06-21 パンチスルー抑制トランジスタ

Country Status (6)

Country Link
US (5) US8421162B2 (ja)
JP (2) JP2013533624A (ja)
KR (2) KR101817376B1 (ja)
CN (2) CN103038721B (ja)
TW (1) TWI543369B (ja)
WO (1) WO2011163169A1 (ja)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2674966B1 (en) * 2006-06-29 2019-10-23 Cree, Inc. Silicon carbide switching devices including P-type channels
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5697371B2 (ja) * 2010-07-07 2015-04-08 キヤノン株式会社 固体撮像装置および撮像システム
JP5656484B2 (ja) 2010-07-07 2015-01-21 キヤノン株式会社 固体撮像装置および撮像システム
JP5885401B2 (ja) 2010-07-07 2016-03-15 キヤノン株式会社 固体撮像装置および撮像システム
JP5643555B2 (ja) 2010-07-07 2014-12-17 キヤノン株式会社 固体撮像装置及び撮像システム
JP5751766B2 (ja) 2010-07-07 2015-07-22 キヤノン株式会社 固体撮像装置および撮像システム
JP5645513B2 (ja) 2010-07-07 2014-12-24 キヤノン株式会社 固体撮像装置及び撮像システム
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748986B1 (en) * 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
TWI571936B (zh) * 2011-10-26 2017-02-21 聯華電子股份有限公司 具有鰭狀結構之場效電晶體的結構及其製作方法
KR101894221B1 (ko) 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8673731B2 (en) * 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US8932918B2 (en) 2012-08-29 2015-01-13 International Business Machines Corporation FinFET with self-aligned punchthrough stopper
US8637955B1 (en) * 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9082853B2 (en) 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
JP6100535B2 (ja) * 2013-01-18 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9917168B2 (en) * 2013-06-27 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal oxide semiconductor field effect transistor having variable thickness gate dielectric
US9299702B2 (en) * 2013-09-24 2016-03-29 Samar Saha Transistor structure and method with an epitaxial layer over multiple halo implants
US9263522B2 (en) 2013-12-09 2016-02-16 Qualcomm Incorporated Transistor with a diffusion barrier
US9276113B2 (en) 2014-03-10 2016-03-01 International Business Corporation Structure and method to make strained FinFET with improved junction capacitance and low leakage
US9559191B2 (en) 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
US10559469B2 (en) * 2014-04-22 2020-02-11 Texas Instruments Incorporated Dual pocket approach in PFETs with embedded SI-GE source/drain
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US9319013B2 (en) * 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9899514B2 (en) * 2015-05-21 2018-02-20 Globalfoundries Singapore Pte. Ltd. Extended drain metal-oxide-semiconductor transistor
US20180076280A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Shallow drain metal-oxide-semiconductor transistors
US20180076281A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Deep channel isolated drain metal-oxide-semiconductor transistors
TWI621273B (zh) * 2017-04-27 2018-04-11 立錡科技股份有限公司 具有可調整臨界電壓之高壓空乏型mos元件及其製造方法
US10559463B2 (en) 2017-11-30 2020-02-11 International Business Machines Corporation Multi-state device based on ion trapping
KR102639769B1 (ko) * 2018-11-22 2024-02-26 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
US11652143B2 (en) * 2019-03-28 2023-05-16 Intel Corporation III-N transistors integrated with thin-film transistors having graded dopant concentrations and/or composite gate dielectrics

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63305566A (ja) * 1987-06-05 1988-12-13 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JPH08172187A (ja) * 1994-12-16 1996-07-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11500873A (ja) * 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
JP2000243958A (ja) * 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
JP2001102582A (ja) * 1999-08-25 2001-04-13 Infineon Technologies Ag Mosトランジスタ及びその製造方法
JP2002198529A (ja) * 2000-10-18 2002-07-12 Hitachi Ltd 半導体装置およびその製造方法
JP2004047933A (ja) * 2002-07-12 2004-02-12 Samsung Electronics Co Ltd 統合領域を有する半導体素子及びその製造方法
JP2006093507A (ja) * 2004-09-27 2006-04-06 Fujitsu Ltd 半導体装置及びその製造方法

Family Cites Families (512)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4021835A (en) 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
EP0024905B1 (en) * 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
US4315781A (en) * 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) * 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
JPS5848936A (ja) 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066A (ja) 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd Mos型半導体装置
JPS59193066U (ja) 1983-06-08 1984-12-21 三菱電機株式会社 エレベ−タの防犯テレビカメラ
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) * 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPH0770606B2 (ja) * 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
JPS62128175A (ja) 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
DE3765844D1 (de) 1986-06-10 1990-12-06 Siemens Ag Verfahren zum herstellen von hochintegrierten komplementaeren mos-feldeffekttransistorschaltungen.
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
DE3789894T2 (de) 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
US5298435A (en) 1990-04-18 1994-03-29 National Semiconductor Corporation Application of electronic properties of germanium to inhibit n-type or p-type diffusion in silicon
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
JPH04179160A (ja) 1990-11-09 1992-06-25 Hitachi Ltd 半導体装置
JPH04186774A (ja) 1990-11-21 1992-07-03 Hitachi Ltd 半導体装置
JP2899122B2 (ja) 1991-03-18 1999-06-02 キヤノン株式会社 絶縁ゲートトランジスタ及び半導体集積回路
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JP3146045B2 (ja) 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
JPH0697432A (ja) 1992-09-10 1994-04-08 Hitachi Ltd 半導体装置およびその製造方法
US5422508A (en) * 1992-09-21 1995-06-06 Siliconix Incorporated BiCDMOS structure
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) * 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
JP3200231B2 (ja) * 1992-12-14 2001-08-20 株式会社東芝 半導体装置の製造方法
US5426279A (en) 1993-06-21 1995-06-20 Dasgupta; Sankar Heating rate regulator
US5298457A (en) 1993-07-01 1994-03-29 G. I. Corporation Method of making semiconductor devices using epitaxial techniques to form Si/Si-Ge interfaces and inverting the material
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
DE69516767T2 (de) 1994-02-14 2000-11-23 Koninkl Philips Electronics Nv Referenzschaltung mit kontrollierter temperaturabhängigkeit
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
JPH07312423A (ja) 1994-05-17 1995-11-28 Hitachi Ltd Mis型半導体装置
US5889315A (en) * 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
JP2701762B2 (ja) 1994-11-28 1998-01-21 日本電気株式会社 半導体装置及びその製造方法
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) * 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
JP2780670B2 (ja) 1995-04-14 1998-07-30 日本電気株式会社 エピタキシャルチャネルmosトランジスタの製造方法
JPH08293557A (ja) 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
JPH098296A (ja) 1995-06-23 1997-01-10 Hitachi Ltd 半導体装置
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) * 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) * 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) * 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
JPH09121049A (ja) 1995-10-25 1997-05-06 Sony Corp 半導体装置
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JP3420879B2 (ja) * 1996-03-06 2003-06-30 沖電気工業株式会社 pMOSの製造方法、及びCMOSの製造方法
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
JPH10189766A (ja) 1996-10-29 1998-07-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびに半導体ウエハおよびその製造方法
JPH10135348A (ja) 1996-11-05 1998-05-22 Fujitsu Ltd 電界効果型半導体装置
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JP4521619B2 (ja) 1996-11-21 2010-08-11 ルネサスエレクトロニクス株式会社 低電力プロセッサ
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
DE19706789C2 (de) * 1997-02-20 1999-10-21 Siemens Ag CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
JP4253052B2 (ja) 1997-04-08 2009-04-08 株式会社東芝 半導体装置
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6218895B1 (en) 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6194259B1 (en) * 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US5879998A (en) * 1997-07-09 1999-03-09 Advanced Micro Devices, Inc. Adaptively controlled, self-aligned, short channel device and method for manufacturing same
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
JP3009102B2 (ja) * 1997-11-12 2000-02-14 日本電気株式会社 半導体装置、その製造方法、及び差動増幅装置
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) * 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
JP4278202B2 (ja) 1998-03-27 2009-06-10 株式会社ルネサステクノロジ 半導体装置の設計方法、半導体装置及び記録媒体
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) * 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) * 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6380019B1 (en) 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6184112B1 (en) * 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
JP2000299462A (ja) 1999-04-15 2000-10-24 Toshiba Corp 半導体装置及びその製造方法
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) * 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6501131B1 (en) * 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6503801B1 (en) * 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
US6162693A (en) * 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) * 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) * 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) * 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
EP1231640A4 (en) 2000-06-27 2008-10-08 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
JP2001068674A (ja) 2000-08-10 2001-03-16 Canon Inc 絶縁ゲートトランジスタ及び半導体集積回路
JP2002057331A (ja) * 2000-08-11 2002-02-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
JP3950294B2 (ja) 2000-11-16 2007-07-25 シャープ株式会社 半導体装置
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
WO2002061842A1 (fr) * 2001-01-31 2002-08-08 Matsushita Electric Industrial Co., Ltd. Film cristallin a semi-conducteurs
JP2002237575A (ja) 2001-02-08 2002-08-23 Sharp Corp 半導体装置及びその製造方法
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) * 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6483375B1 (en) 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2003086706A (ja) 2001-09-13 2003-03-20 Sharp Corp 半導体装置及びその製造方法、スタティック型ランダムアクセスメモリ装置並びに携帯電子機器
US20040207011A1 (en) 2001-07-19 2004-10-21 Hiroshi Iwata Semiconductor device, semiconductor storage device and production methods therefor
JP2003031803A (ja) 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
JP2003086794A (ja) * 2001-09-11 2003-03-20 Sharp Corp 半導体装置及びその製造方法、並びに携帯電子機器
US6995397B2 (en) 2001-09-14 2006-02-07 Matsushita Electric Industrial Co., Ltd. Semiconductor device
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6521470B1 (en) * 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) * 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
KR100794094B1 (ko) * 2001-12-28 2008-01-10 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
DE10214066B4 (de) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
CN100399576C (zh) 2002-03-28 2008-07-02 先进微装置公司 于沟道区域中具有退化掺杂分布的半导体组件及用于制造该半导体组件的方法
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US6893947B2 (en) 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) * 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) * 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) * 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
JP2004214578A (ja) 2003-01-09 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置
JP4491605B2 (ja) 2003-02-19 2010-06-30 株式会社ルネサステクノロジ 半導体集積回路装置
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
SE0300924D0 (sv) * 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
JP2006524429A (ja) 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Nドープシリコン層のエピタキシャル成長のための方法
US7294877B2 (en) * 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
AU2003236078A1 (en) 2003-04-10 2004-11-04 Fujitsu Limited Semiconductor device and its manufacturing method
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7652326B2 (en) * 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
WO2004112145A1 (ja) 2003-06-10 2004-12-23 Fujitsu Limited パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US20060273299A1 (en) * 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
WO2005010946A2 (en) 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
EP1665386A1 (en) 2003-09-03 2006-06-07 Koninklijke Philips Electronics N.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
EP1697978A1 (en) 2003-12-18 2006-09-06 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
CN103199017B (zh) 2003-12-30 2016-08-03 飞兆半导体公司 形成掩埋导电层方法、材料厚度控制法、形成晶体管方法
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (ko) 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (ja) * 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) * 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) * 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) * 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US8106481B2 (en) * 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
US20060049464A1 (en) * 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US7425460B2 (en) * 2004-09-17 2008-09-16 California Institute Of Technology Method for implementation of back-illuminated CMOS or CCD imagers
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7095094B2 (en) * 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) * 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7170120B2 (en) * 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7271079B2 (en) 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) * 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) * 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP2007013025A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 電界効果型トランジスタおよびその製造方法
US7735452B2 (en) 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
US7964921B2 (en) 2005-08-22 2011-06-21 Renesas Electronics Corporation MOSFET and production method of semiconductor device
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
KR101455404B1 (ko) * 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를위한 시스템 및 방법
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) * 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) * 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) * 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) * 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) * 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) * 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
WO2007136102A1 (ja) 2006-05-23 2007-11-29 Nec Corporation 半導体装置、集積回路、及び半導体装置の製造方法
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
CN101351890A (zh) 2006-09-07 2009-01-21 胜高股份有限公司 固态图像传感装置的半导体基板以及固态图像传感装置和其制造方法
US20080067589A1 (en) * 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7764137B2 (en) * 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
US7683442B1 (en) * 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) * 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) * 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US7604399B2 (en) 2007-05-31 2009-10-20 Siemens Energy, Inc. Temperature monitor for bus structure flex connector
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) * 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
CN101720463B (zh) 2007-06-28 2012-09-26 萨格昂泰克以色列有限公司 基于设计规则和用户约束的半导体布图修正方法
US7651920B2 (en) * 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) * 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) * 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
JP5528667B2 (ja) 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
JP2009170472A (ja) 2008-01-10 2009-07-30 Sharp Corp トランジスタ、半導体装置、半導体装置の製造方法
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008007029B4 (de) 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
EP2248159A4 (en) 2008-02-14 2011-07-13 Maxpower Semiconductor Inc SEMICONDUCTOR COMPONENT STRUCTURES AND SAME PROCESSES
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) * 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP5173582B2 (ja) * 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
CN201194816Y (zh) 2008-05-28 2009-02-18 李建政 多功能美容针
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) * 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) * 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US8153482B2 (en) * 2008-09-22 2012-04-10 Sharp Laboratories Of America, Inc. Well-structure anti-punch-through microwire device
CN102165561A (zh) 2008-09-25 2011-08-24 应用材料股份有限公司 使用十八硼烷自我非晶体化注入物的无缺陷接点形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) * 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) * 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
CN101661889B (zh) * 2009-08-15 2011-09-07 北京大学深圳研究生院 一种部分耗尽的绝缘层上硅mos晶体管的制作方法
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US20110079861A1 (en) 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
EP2309544B1 (en) 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062789A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems,and methods for making and using the same
US8114761B2 (en) * 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
KR20110085503A (ko) * 2010-01-20 2011-07-27 삼성전자주식회사 공통 소스 라인에 바이어스 전압을 개별적으로 인가할 수 있는 반도체 소자
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8436422B2 (en) * 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
TWI522548B (zh) 2012-09-13 2016-02-21 Famosa Corp The electronic control damping structure of fitness equipment

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63305566A (ja) * 1987-06-05 1988-12-13 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JPH08172187A (ja) * 1994-12-16 1996-07-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11500873A (ja) * 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
JP2000243958A (ja) * 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
JP2001102582A (ja) * 1999-08-25 2001-04-13 Infineon Technologies Ag Mosトランジスタ及びその製造方法
JP2002198529A (ja) * 2000-10-18 2002-07-12 Hitachi Ltd 半導体装置およびその製造方法
JP2004047933A (ja) * 2002-07-12 2004-02-12 Samsung Electronics Co Ltd 統合領域を有する半導体素子及びその製造方法
JP2006093507A (ja) * 2004-09-27 2006-04-06 Fujitsu Ltd 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US20130181298A1 (en) 2013-07-18
CN105070716B (zh) 2018-12-18
JP2013533624A (ja) 2013-08-22
KR20130088134A (ko) 2013-08-07
US10325986B2 (en) 2019-06-18
JP6371822B2 (ja) 2018-08-08
CN105070716A (zh) 2015-11-18
KR101817376B1 (ko) 2018-01-11
KR101919737B1 (ko) 2018-11-16
TW201205811A (en) 2012-02-01
US20140167156A1 (en) 2014-06-19
US9508800B2 (en) 2016-11-29
US20110121404A1 (en) 2011-05-26
WO2011163169A1 (en) 2011-12-29
CN103038721A (zh) 2013-04-10
US20170040419A1 (en) 2017-02-09
US20160181370A1 (en) 2016-06-23
US9263523B2 (en) 2016-02-16
CN103038721B (zh) 2015-08-19
KR20180005739A (ko) 2018-01-16
TWI543369B (zh) 2016-07-21
US8421162B2 (en) 2013-04-16

Similar Documents

Publication Publication Date Title
JP6371822B2 (ja) 半導体チップ
US11757002B2 (en) Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
TWI550863B (zh) 具有臨界電壓設定摻雜劑結構之先進電晶體
US9659962B2 (en) Semiconductor devices and methods of manufacture thereof
CN103311281B (zh) 半导体器件及其制造方法
US8404551B2 (en) Source/drain extension control for advanced transistors
US20080303096A1 (en) Semiconductor devices and methods of manufacture thereof
US20130240996A1 (en) Semiconductor Device and Method of Manufacturing the Same
US20070235763A1 (en) Substrate band gap engineered multi-gate pMOS devices
WO2014071754A1 (zh) 半导体结构及其制造方法
US20120326155A1 (en) Semiconductor structure and method for manufacturing the same
US20150255289A1 (en) Method for manufacturing a semiconductor structure
KR101178016B1 (ko) 구조화된 저농도 도펀트 채널들을 갖는 진보한 트랜지스터
US20230395597A1 (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180525

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180601

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180703

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180713

R150 Certificate of patent or registration of utility model

Ref document number: 6371822

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250