US20130181298A1 - Advanced transistors with punch through suppression - Google Patents
Advanced transistors with punch through suppression Download PDFInfo
- Publication number
- US20130181298A1 US20130181298A1 US13/787,073 US201313787073A US2013181298A1 US 20130181298 A1 US20130181298 A1 US 20130181298A1 US 201313787073 A US201313787073 A US 201313787073A US 2013181298 A1 US2013181298 A1 US 2013181298A1
- Authority
- US
- United States
- Prior art keywords
- transistor
- gate
- depth
- dopant
- threshold voltage
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 230000001629 suppression Effects 0.000 title abstract description 28
- 239000002019 doping agent Substances 0.000 claims abstract description 111
- 239000000758 substrate Substances 0.000 claims description 17
- 230000005669 field effect Effects 0.000 claims description 4
- 230000003068 static effect Effects 0.000 claims description 3
- 238000012216 screening Methods 0.000 abstract description 34
- 238000000034 method Methods 0.000 description 51
- 239000007943 implant Substances 0.000 description 35
- 239000010410 layer Substances 0.000 description 35
- 125000004429 atom Chemical group 0.000 description 27
- 230000008569 process Effects 0.000 description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 18
- 229910052710 silicon Inorganic materials 0.000 description 18
- 239000010703 silicon Substances 0.000 description 18
- 235000012431 wafers Nutrition 0.000 description 15
- 230000015572 biosynthetic process Effects 0.000 description 11
- 239000000463 material Substances 0.000 description 11
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 230000000694 effects Effects 0.000 description 10
- 238000009792 diffusion process Methods 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 238000000151 deposition Methods 0.000 description 7
- 230000008021 deposition Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 230000001965 increasing effect Effects 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- 238000011065 in-situ storage Methods 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 125000006850 spacer group Chemical group 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 125000005843 halogen group Chemical group 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical class [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum oxide Inorganic materials [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000013508 migration Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 238000005001 rutherford backscattering spectroscopy Methods 0.000 description 2
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- 238000004566 IR spectroscopy Methods 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000003623 enhancer Substances 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- -1 hafnium silicates Chemical class 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- PIPQOOWEMLRYEJ-UHFFFAOYSA-N indium(1+) Chemical compound [In+] PIPQOOWEMLRYEJ-UHFFFAOYSA-N 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- SOQBVABWOPYFQZ-UHFFFAOYSA-N oxygen(2-);titanium(4+) Chemical class [O-2].[O-2].[Ti+4] SOQBVABWOPYFQZ-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical class [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/107—Substrate region of field-effect devices
- H01L29/1075—Substrate region of field-effect devices of field-effect transistors
- H01L29/1079—Substrate region of field-effect devices of field-effect transistors with insulated gate
- H01L29/1083—Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82345—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823493—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1041—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
- H01L29/1045—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/36—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66537—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7801—DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
- H01L29/7816—Lateral DMOS transistors, i.e. LDMOS transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7833—Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7833—Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
- H01L29/7836—Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode
Definitions
- This disclosure relates to structures and processes for forming advanced transistors with improved operational characteristics, including enhanced punch through suppression.
- Short channel effects are particularly acute when channel length under a transistor gate is comparable in magnitude to depletion depth of an operating transistor, and include reduction in threshold voltage, severe surface scattering, drain induced barrier lowering (DIBL), source-drain punch through, and electron mobility issues.
- DIBL drain induced barrier lowering
- Halo implants can be symmetrical or asymmetrical with respect to a transistor source and drain, and typically provide a smoother dopant gradient between a transistor well and the source and drains.
- SOI transistors are built on a thin layer of silicon that overlies an insulator layer, have an undoped or low doped channel that minimizes short channel effects, and do not require either deep well implants or halo implants for operation.
- SOI devices were built on insulative sapphire wafers instead of silicon wafers, and are typically only used in specialty applications (e.g. military avionics or satellite) because of the high costs.
- Modem SOI technology can use silicon wafers, but require expensive and time consuming additional wafer processing steps to make an insulative silicon oxide layer that extends across the entire wafer below a surface layer of device-quality single-crystal silicon.
- SOI wafers can be fabricated by bonding a silicon wafer to another silicon wafer (a “handle” wafer) that has an oxide layer on its surface. The pair of wafers are split apart, using a process that leaves a thin transistor quality layer of single crystal silicon on top of the BOX layer on the handle wafer. This is called the “layer transfer” technique, because it transfers a thin layer of silicon onto a thermally grown oxide layer of the handle wafer.
- Another possible advanced transistor that has been investigated uses multiple gate transistors that, like SOI transistors, minimize short channel effects by having little or no doping in the channel.
- a finFET due to a fin-like shaped channel partially surrounded by gates
- use of finFET transistors has been proposed for transistors having 28 nanometer or lower transistor gate size.
- SOI transistors while moving to a radically new transistor architecture solves some short channel effect issues, it creates others, requiring even more significant transistor layout redesign than SOI.
- manufacturers have been reluctant to invest in semiconductor fabrication facilities capable of making finFETs.
- FIG. 1 illustrates a DDC transistor with a punch through suppression
- FIG. 2 illustrates a dopant profile of a DDC transistor with enhanced punch through suppression
- FIGS. 3-7 illustrate alternative useful dopant profiles
- FIG. 8 is a flow diagram illustrating one exemplary process for forming a DDC transistor with a punch through suppression.
- nanoscale bulk CMOS transistors are subject to significant adverse short channel effects, including body leakage through both drain induced barrier lowering (DIBL) and source drain punch through. Punch through is associated with the merging of source and drain depletion layers, causing the drain depletion layer to extend across a doped substrate and reach the source depletion layer, creating a conduction path or leakage current between the source and drain. This results in a substantial increase in required transistor electrical power, along with a consequent increase in transistor heat output and decrease in operational lifetime for portable or battery powered devices using such transistors.
- DIBL drain induced barrier lowering
- a Field Effect Transistor (FET) 100 is configured to have greatly reduced short channel effects, along with enhanced punch through suppression according to certain described embodiments.
- the FET 100 includes a gate electrode 102 , source 104 , drain 106 , and a gate dielectric 108 positioned over a channel 110 .
- the channel 110 is deeply depleted, forming what can be described as deeply depleted channel (DDC) as compared to conventional transistors, with depletion depth set in part by a highly doped screening region 112 .
- DDC deeply depleted channel
- the channel 110 is substantially undoped, and positioned as illustrated above a highly doped screening region 112 , it may include simple or complex layering with different dopant concentrations.
- This doped layering can include a threshold voltage set region 111 with a dopant concentration less than screening region 112 , optionally positioned between the gate dielectric 108 and the screening region 112 in the channel 110 .
- a threshold voltage set region 111 permits small adjustments in operational threshold voltage of the FET 100 , while leaving the bulk of the channel 110 substantially undoped. In particular, that portion of the channel 110 adjacent to the gate dielectric 108 should remain undoped.
- a punch through suppression region 113 is formed beneath the screening region 112 . Like the threshold voltage set region 111 , the punch through suppression region 113 has a dopant concentration less than screening region 112 , while being higher than the overall dopant concentration of a lightly doped well substrate 114 .
- a bias voltage 122 VBS may be applied to source 104 to further modify operational threshold voltage
- P+ terminal 126 can be connected to P-well 114 at connection 124 to close the circuit.
- the gate stack includes a gate electrode 102 , gate contact 118 and a gate dielectric 108 .
- Gate spacers 130 are included to separate the gate from the source and drain, and optional Source/Drain Extensions (SDE) 132 , or “tips” extend the source and drain under the gate spacers and gate dielectric 108 , somewhat reducing the gate length and improving electrical characteristics of FET 100 .
- SDE Source/Drain Extensions
- the FET 100 is shown as an N-channel transistor having a source and drain made of N-type dopant material, formed upon a substrate as P-type doped silicon substrate providing a P-well 114 formed on a substrate 116 .
- a nonsilicon P-type semiconductor transistor formed from other suitable substrates such as Gallium Arsenide based materials may be substituted.
- the source 104 and drain 106 can be formed using conventional dopant implant processes and materials, and may include, for example, modifications such as stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (low doped drain) techniques.
- LDD low doped drain
- Various other techniques to modify source/drain operational characteristics can also be used, including, in certain embodiments, use of heterogeneous dopant materials as compensation dopants to modify electrical characteristics.
- the gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof.
- the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy.
- Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride.
- Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods.
- the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers.
- the gate dielectric 108 may include conventional dielectric materials such as oxides, nitrides and oxynitrides. Alternatively, the gate dielectric 108 may include generally higher dielectric constant dielectric materials including, but not limited to hafnium oxides, hafnium silicates, zirconium oxides, lanthanum oxides, titanium oxides, barium-strontium-titanates and lead-zirconate-titanates, metal based dielectric materials, and other materials having dielectric properties.
- Preferred hafnium-containing oxides include HfO 2 , HfZrO x , HfSiO x , HfTiO x , HfAlO x , and the like.
- the gate dielectric 108 may be formed by such methods as thermal or plasma oxidation, nitridation methods, chemical vapor deposition methods (including atomic layer deposition methods) and physical vapor deposition methods.
- multiple or composite layers, laminates, and compositional mixtures of dielectric materials can be used.
- a gate dielectric can be formed from a SiO 2 -based insulator having a thickness between about 0.3 and 1 nm and the hafnium oxide based insulator having a thickness between 0.5 and 4 nm.
- the gate dielectric has an overall thickness from about 0.5 to about 5 nanometers.
- the channel region 110 is formed below the gate dielectric 108 and above the highly doped screening region 112 .
- the channel region 110 also contacts and extends between, the source 104 and the drain 106 .
- the channel region includes substantially undoped silicon having a dopant concentration less than 5 ⁇ 10 17 dopant atoms per cm 3 adjacent or near the gate dielectric 108 .
- Channel thickness can typically range from 5 to 50 nanometers.
- the channel region 110 is formed by epitaxial growth of pure or substantially pure silicon on the screening region.
- the threshold voltage set region 111 is positioned under the gate dielectric 108 , spaced therefrom, and above screening region 112 , and is typically formed as a thin doped layer. Suitably varying dopant concentration, thickness, and separation from the gate dielectric and the screening region allows for controlled slight adjustments of threshold voltage in the operating FET 100 .
- the threshold voltage set region 111 is doped to have a concentration between about 1 ⁇ 10 18 dopant atoms per cm3 and about 1 ⁇ 10 19 dopant atoms per cm 3 .
- the threshold voltage set region 111 can be formed by several different processes, including 1) in-situ epitaxial doping, 2) epitaxial growth of a thin layer of silicon followed by a tightly controlled dopant implant, 3) epitaxial growth of a thin layer of silicon followed by dopant diffusion of atoms from the screening region 112 , or 4) by any combination of these processes (e.g. epitaxial growth of silicon followed by both dopant implant and diffusion from the screening layer 112 ).
- Position of a highly doped screening region 112 typically sets depth of the depletion zone of an operating FET 100 .
- the screening region 112 (and associated depletion depth) are set at a depth that ranges from one comparable to the gate length (Lg/l) to a depth that is a large fraction of the gate length (Lg/5).
- the typical range is between Lg/3 to Lg/1.5.
- Devices having an Lg/2 or greater are preferred for extremely low power operation, while digital or analog devices operating at higher voltages can often be formed with a screening region between Lg/5 and Lg/2.
- a transistor having a gate length of 32 nanometers could be formed to have a screening region that has a peak dopant density at a depth below the gate dielectric of about 16 nanometers (Lg/2), along with a threshold voltage set region at peak dopant density at a depth of 8 nanometers (Lg/4).
- the screening region 112 is doped to have a concentration between about 5 ⁇ 10 18 dopant atoms per cm 3 and about 1 ⁇ 10 20 dopant atoms per cm 3 , significantly more than the dopant concentration of the undoped channel, and at least slightly greater than the dopant concentration of the optional threshold voltage set region 111 .
- exact dopant concentrations and screening region depths can be modified to improve desired operating characteristics of FET 100 , or to take in to account available transistor manufacturing processes and process conditions.
- the punch through suppression region 113 is formed beneath the screening region 112 .
- the punch through suppression region 113 is formed by direct implant into a lightly doped well, but it may be formed by out-diffusion from the screening region, in-situ growth, or other known process.
- the punch through suppression region 113 has a dopant concentration less than the screening region 112 , typically set between about 1 ⁇ 10 18 dopant atoms per cm 3 and about 1 ⁇ 10 19 dopant atoms per cm 3 .
- the punch through suppression region 113 dopant concentration is set higher than the overall dopant concentration of the well substrate.
- exact dopant concentrations and depths can be modified to improve desired operating characteristics of FET 100 , or to take in to account available transistor manufacturing processes and process conditions.
- Forming such a FET 100 is relatively simple compared to SOI or finFET transistors, since well developed and long used planar CMOS processing techniques can be readily adapted.
- the structures and the methods of making the structures allow for FET transistors having both a low operating voltage and a low threshold voltage as compared to conventional nanoscale devices.
- DDC transistors can be configured to allow for the threshold voltage to be statically set with the aid of a voltage body bias generator.
- the threshold voltage can even be dynamically controlled, allowing the transistor leakage currents to be greatly reduced (by setting the voltage bias to upwardly adjust the V T for low leakage, low speed operation), or increased (by downwardly adjusting the V T for high leakage, high speed operation).
- these structures and the methods of making structures provide for designing integrated circuits having FET devices that can be dynamically adjusted while the circuit is in operation.
- transistors in an integrated circuit can be designed with nominally identical structure, and can be controlled, modulated or programmed to operate at different operating voltages in response to different bias voltages, or to operate in different operating modes in response to different bias voltages and operating voltages.
- these can be configured post-fabrication for different applications within a circuit.
- concentrations of atoms implanted or otherwise present in a substrate or crystalline layers of a semiconductor to modify physical and electrical characteristics of a semiconductor are be described in terms of physical and functional regions or layers. These may be understood by those skilled in the art as three-dimensional masses of material that have particular averages of concentrations. Or, they may be understood as sub-regions or sub-layers with different or spatially varying concentrations. They may also exist as small groups of dopant atoms, regions of substantially similar dopant atoms or the like, or other physical embodiments. Descriptions of the regions based on these properties are not intended to limit the shape, exact location or orientation.
- regions or layers are also not intended to limit these regions or layers to any particular type or number of process steps, type or numbers of layers (e.g., composite or unitary), semiconductor deposition, etch techniques, or growth techniques utilized.
- These processes may include epitaxially formed regions or atomic layer deposition, dopant implant methodologies or particular vertical or lateral dopant profiles, including linear, monotonically increasing, retrograde, or other suitable spatially varying dopant concentration.
- dopant anti-migration techniques are contemplated, including low temperature processing, carbon doping, in-situ dopant deposition, and advanced flash or other annealing techniques.
- the resultant dopant profile may have one or more regions or layers with different dopant concentrations, and the variations in concentrations and how the regions or layers are defined, regardless of process, mayor may not be detectable via techniques including infrared spectroscopy, Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.
- infrared spectroscopy Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.
- RBS Rutherford Back Scattering
- SIMS Secondary Ion Mass Spectroscopy
- FIG. 2 illustrates a dopant profile 202 of a deeply depleted transistor taken at midline between a source and drain, and extending downward from a gate dielectric toward a well. Concentration is measured in number of dopant atoms per cubic centimeter, and downward depth is measured as a ratio of gate length Lg. Measuring as a ratio rather than absolute depth in nanometers better allows cross comparison between transistors manufactured at different nodes (e.g. 45 nm, 32 nm, 22 nm, or 15 nm) where nodes are commonly defined in term of minimum gate lengths.
- nodes e.g. 45 nm, 32 nm, 22 nm, or 15 nm
- the region of the channel 210 adjacent to the gate dielectric is substantially free of dopants, having less than 5 ⁇ 10 17 dopant atoms per cm 3 to a depth of nearly Lg/4.
- a threshold voltage set region 211 increases the dopant concentration to about 3 ⁇ 10 18 dopant atoms per cm 3 , and the concentration increases another order of magnitude above 3 ⁇ 10 18 dopant atoms per cm 3 to form the screening region 212 that sets the base of the depletion zone in an operating transistor.
- a punch through suppression region 213 having a dopant concentration of about 1 ⁇ 10 19 dopant atoms per cm 3 at a depth of about Lg/1 is intermediate between the screening region and the lightly doped well 214 .
- a transistor constructed to have, for example, a 30 nm gate length and an operating voltage of 1.0 volts would be expected to have significantly greater leakage.
- punch through leakage is reduced, making the transistor more power efficient, and better able to tolerate process variations in transistor structure without punch through failure.
- the threshold voltage set region 211 is a shallow notch primarily formed by out-diffusion into an epitaxially deposited layer of silicon from the screening region 212 .
- the screening region 212 itself is set to have a dopant concentration greater than 3 ⁇ 10 18 dopant atoms per cm 3 .
- the punch through suppression region 213 has a dopant concentration of about 8 ⁇ 10 18 dopant atoms per cm 3 , provided by a combination of out-diffusion from the screening region 212 and a separate low energy implant.
- FIG. 4 an alternative dopant profile 204 that includes a greatly increased depth for the low doped channel is shown.
- the screening region 212 is set to be greater than 3 ⁇ 10 19 dopant atoms per cm 3 and the punch through suppression region 213 has a similarly high, yet narrowly defined dopant concentration of about 8 ⁇ 10 18 dopant atoms per cm 3 , provided by with a separate low energy implant.
- FIG. 5 illustrates a transistor dopant profile 205 for a transistor structure that includes a very low doped channel 210 .
- the threshold voltage set region 211 is precisely formed by in-situ or well controlled implant doping of thin epitaxial layer grown on the screening region.
- the screening region 212 is set to be about 1 ⁇ 10 19 dopant atoms per cm 3 and the punch through suppression region 213 also has narrowly defined dopant concentration of about 8 ⁇ 10 18 dopant atoms per cm 3 , provided by with a separate low energy implant.
- the well implant 214 concentration is gradually reduced to about 5 ⁇ 10 17 dopant atoms per cm 3 .
- a dopant profile 206 includes a low doped channel 210 adjacent to the gate dielectric, and a narrowly defined threshold voltage set region 211 .
- the screening region 212 increases to a narrow peak set to be about 1 ⁇ 10 19 dopant atoms per cm 3 and the punch through suppression region 213 also has broadly peak dopant concentration of about 5 ⁇ 10 18 dopant atoms per cm 3 , provided by with a separate low energy implant.
- the well implant 214 concentration is high to improve bias coefficient of the transistor, with a concentration of about 8 ⁇ 10 17 dopant atoms per cm 3 .
- the dopant profile 207 of FIG. 7 has a broad peak 212 .
- the transistor structure includes a well defined partially retrograde threshold set 211 , and a distinct separate punch through suppression peak 213 .
- the well 214 doping concentration is relatively low, less than about 5 ⁇ 10 17 dopant atoms per cm 3 .
- FIG. 8 is a schematic process flow diagram 300 illustrating one exemplary process for forming a transistor with a punch through suppression region and a screening region suitable for different types of FET structures, including both analog and digital transistors.
- the process illustrated here is intended to be general and broad in its description in order not to obscure the inventive concepts, and more detailed embodiments and examples are set forth below. These along with other process steps allow -for the processing and manufacture of integrated circuits that include DDC structured devices together with legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power.
- Step 302 the process begins at the well formation, which may be one of many different processes according to different embodiments and examples.
- the well formation may be before or after STI (shallow trench isolation) formation 304 , depending on the application and results desired.
- Boron (B), indium (I) or other P-type materials may be used for P-type implants, and arsenic (As) or phosphorous (P) and other N-type materials may be used for N-type implants.
- the P+ implant may be implanted within a range from 10 to 80 keV, and at NMOS well implants, the boron implant B+ implant may be within a range of 0.5 to 5 keV, and within a concentration range of 1 ⁇ 10 13 to 8 ⁇ 10 13 /cm 2 .
- a germanium implant Ge+ may be performed within a range of 10 to 60 keV, and at a concentration of 1 ⁇ 10 14 to 5 ⁇ 10 14 /cm 2 .
- a carbon implant, C+ may be performed at a range of 0.5 to 5 keV, and at a concentration of 1 ⁇ 10 13 to 8 ⁇ 10 13 /cm 2 .
- Well implants may include sequential implant, and/or epitaxial growth and implant, of punch through suppression regions, screen regions having a higher dopant density than the punch through suppression region, and threshold voltage set regions (which previously discussed are typically formed by implant or diffusion of dopants into a grown epitaxial layer on the screening region).
- the well formation 302 may include a beam line implant of Ge/B (N), As (P), followed by an epitaxial (EPI) pre-clean process, and followed finally non-selective blanket EPI deposition, as shown in 302 A.
- the well may be formed using a plasma implant of B (N), As (P), followed by an EPI pre-clean, then finally a non-selective (blanket) EPI deposition, 302 B.
- the well formation may alternatively include a solid-source diffusion of B(N), As(P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302 C.
- the well formation may alternatively include a solid-source diffusion of B (N), As (P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302 D.
- well formation may simply include well implants, followed by in-situ doped selective EPI of B (N), P (P).
- Shallow trench isolation (STI) formation 304 which, again, may occur before or after well formation 302 , may include a low temperature trench sacrificial oxide (TSOX) liner 304 A at a temperature lower than 900° C.
- the gate stack 306 may be formed or otherwise constructed in a number of different ways, from different materials, and of different work functions. One option is a poly/SiON gate stack 306 A. Another option is a gate-first process 306 B that includes SiON/Metal/Poly and/or SiON/Poly, followed by High-K/Metal Gate.
- a gate-last process 306 C includes a high-K/metal gate stack wherein the gate stack can either be formed with “Hi-K first-Metal gate last” flow or and “Hi-K last-Metal gate last” flow.
- 306 D is a metal gate that includes a tunable range of work functions depending on the device construction, N(NMOS)/P(PMOS)N(PMOS)/P(NMOS)/Mid-gap or anywhere in between.
- N has a work function (WF) of 4.05 V ⁇ 200 mV
- P has a WF of 5.01 V ⁇ 200 mV.
- Source/Drain tips may be implanted, or optionally may not be implanted depending on the application.
- the dimensions of the tips can be varied as required, and will depend in part on whether gate spacers (SPCR) are used. In one option, there may be no tip implant in 308 A.
- PMOS or NMOS EPI layers may be formed in the source and drain regions as performance enhancers for creating strained channels.
- a Gate-last module is formed. This may be only for gate-last processes 314 A.
- Die supporting multiple transistor types including those with and without a punch through suppression, those having different threshold voltages, and with and without static or dynamic biasing are contemplated.
- Systems on a chip (SoC) advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations can be incorporated into a device using the methods described herein.
- SoC systems on a chip
- advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations can be incorporated into a device using the methods described herein.
- a system having a variety of combinations of DDC and/or transistor devices and structures with or without punch through suppression can be produced on silicon using bulk CMOS.
- the die may be divided into one or more areas where dynamic bias structures, static bias structures or no-bias structures exist separately or in some combination.
- dynamically adjustable devices may exist along with high and low V T devices and possibly DDC logic devices.
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
- This application is a continuation of U.S. application Ser. No. 12/895,813 filed Sep. 30, 2010 claiming the benefit of U.S. Provisional Application No. 61/247,300 filed Sep. 30, 2009, U.S. Provisional Application No. 61/262,122 filed Nov. 17, 2009, U.S. application Ser. No. 12/708,497 filed Feb. 18, 2010, and U.S. Provisional Application No. 61/357,492 filed Jun. 22, 2010, the disclosure of each being incorporated by reference herein.
- This disclosure relates to structures and processes for forming advanced transistors with improved operational characteristics, including enhanced punch through suppression.
- Fitting more transistors onto a single die is desirable to reduce cost of electronics and improve their functional capability. A common strategy employed by semiconductor manufacturers is to simply reduce gate size of a field effect transistor (FET), and proportionally shrink area of the transistor source, drain, and required interconnects between transistors. However, a simple proportional shrink is not always possible because of what are known as “short channel effects”. Short channel effects are particularly acute when channel length under a transistor gate is comparable in magnitude to depletion depth of an operating transistor, and include reduction in threshold voltage, severe surface scattering, drain induced barrier lowering (DIBL), source-drain punch through, and electron mobility issues.
- Conventional solutions to mitigate some short channel effects can involve implantation of pocket or halo implants around the source and the drain. Halo implants can be symmetrical or asymmetrical with respect to a transistor source and drain, and typically provide a smoother dopant gradient between a transistor well and the source and drains. Unfortunately, while such implants improve some electrical characteristics such as threshold voltage rolloff and drain induced barrier lowering, the resultant increased channel doping adversely affects electron mobility, primarily because of the increased dopant scattering in the channel.
- Many semiconductor manufacturers have attempted to reduce short channel effects by employing new transistor types, including fully or partially depleted silicon on insulator (SOI) transistors. SOI transistors are built on a thin layer of silicon that overlies an insulator layer, have an undoped or low doped channel that minimizes short channel effects, and do not require either deep well implants or halo implants for operation. Unfortunately, creating a suitable insulator layer is expensive and difficult to accomplish. Early SOI devices were built on insulative sapphire wafers instead of silicon wafers, and are typically only used in specialty applications (e.g. military avionics or satellite) because of the high costs. Modem SOI technology can use silicon wafers, but require expensive and time consuming additional wafer processing steps to make an insulative silicon oxide layer that extends across the entire wafer below a surface layer of device-quality single-crystal silicon.
- One common approach to making such a silicon oxide layer on a silicon wafer requires high dose ion implantation of oxygen and high temperature annealing to form a buried oxide (BOX) layer in a bulk silicon wafer. Alternatively, SOI wafers can be fabricated by bonding a silicon wafer to another silicon wafer (a “handle” wafer) that has an oxide layer on its surface. The pair of wafers are split apart, using a process that leaves a thin transistor quality layer of single crystal silicon on top of the BOX layer on the handle wafer. This is called the “layer transfer” technique, because it transfers a thin layer of silicon onto a thermally grown oxide layer of the handle wafer.
- As would be expected, both BOX formation or layer transfer are costly manufacturing techniques with a relatively high failure rate. Accordingly, manufacture of SOI transistors not an economically attractive solution for many leading manufacturers. When cost of transistor redesign to cope with “floating body” effects, the need to develop new SOI specific transistor processes, and other circuit changes is added to SOI wafer costs, it is clear that other solutions are needed.
- Another possible advanced transistor that has been investigated uses multiple gate transistors that, like SOI transistors, minimize short channel effects by having little or no doping in the channel. Commonly known as a finFET (due to a fin-like shaped channel partially surrounded by gates), use of finFET transistors has been proposed for transistors having 28 nanometer or lower transistor gate size. But again, like SOI transistors, while moving to a radically new transistor architecture solves some short channel effect issues, it creates others, requiring even more significant transistor layout redesign than SOI. Considering the likely need for complex non-planar transistor manufacturing techniques to make a finFET, and the unknown difficulty in creating a new process flow for finFET, manufacturers have been reluctant to invest in semiconductor fabrication facilities capable of making finFETs.
- A more complete understanding of embodiments of the invention will be apparent from the detailed description taken in conjunction with the accompanying drawings wherein like reference numerals represent like parts, in which:
-
FIG. 1 illustrates a DDC transistor with a punch through suppression; -
FIG. 2 illustrates a dopant profile of a DDC transistor with enhanced punch through suppression; -
FIGS. 3-7 illustrate alternative useful dopant profiles; and -
FIG. 8 is a flow diagram illustrating one exemplary process for forming a DDC transistor with a punch through suppression. - Unlike silicon on insulator (SOI) transistors, nanoscale bulk CMOS transistors (those typically having a gate length less than 100 nanometers) are subject to significant adverse short channel effects, including body leakage through both drain induced barrier lowering (DIBL) and source drain punch through. Punch through is associated with the merging of source and drain depletion layers, causing the drain depletion layer to extend across a doped substrate and reach the source depletion layer, creating a conduction path or leakage current between the source and drain. This results in a substantial increase in required transistor electrical power, along with a consequent increase in transistor heat output and decrease in operational lifetime for portable or battery powered devices using such transistors.
- An improved transistor manufacturable on bulk CMOS substrates is seen in
FIG. 1 . A Field Effect Transistor (FET) 100 is configured to have greatly reduced short channel effects, along with enhanced punch through suppression according to certain described embodiments. The FET 100 includes agate electrode 102,source 104,drain 106, and a gate dielectric 108 positioned over achannel 110. In operation, thechannel 110 is deeply depleted, forming what can be described as deeply depleted channel (DDC) as compared to conventional transistors, with depletion depth set in part by a highlydoped screening region 112. While thechannel 110 is substantially undoped, and positioned as illustrated above a highly dopedscreening region 112, it may include simple or complex layering with different dopant concentrations. This doped layering can include a threshold voltage setregion 111 with a dopant concentration less thanscreening region 112, optionally positioned between the gate dielectric 108 and thescreening region 112 in thechannel 110. A threshold voltage setregion 111 permits small adjustments in operational threshold voltage of theFET 100, while leaving the bulk of thechannel 110 substantially undoped. In particular, that portion of thechannel 110 adjacent to the gate dielectric 108 should remain undoped. Additionally, a punch throughsuppression region 113 is formed beneath thescreening region 112. Like the threshold voltage setregion 111, the punch throughsuppression region 113 has a dopant concentration less thanscreening region 112, while being higher than the overall dopant concentration of a lightly dopedwell substrate 114. - In operation, a
bias voltage 122 VBS may be applied tosource 104 to further modify operational threshold voltage, andP+ terminal 126 can be connected to P-well 114 atconnection 124 to close the circuit. The gate stack includes agate electrode 102,gate contact 118 and a gate dielectric 108.Gate spacers 130 are included to separate the gate from the source and drain, and optional Source/Drain Extensions (SDE) 132, or “tips” extend the source and drain under the gate spacers and gate dielectric 108, somewhat reducing the gate length and improving electrical characteristics ofFET 100. - In this exemplary embodiment, the FET 100 is shown as an N-channel transistor having a source and drain made of N-type dopant material, formed upon a substrate as P-type doped silicon substrate providing a P-
well 114 formed on asubstrate 116. However, it will be understood that, with appropriate change to substrate or dopant material, a nonsilicon P-type semiconductor transistor formed from other suitable substrates such as Gallium Arsenide based materials may be substituted. Thesource 104 anddrain 106 can be formed using conventional dopant implant processes and materials, and may include, for example, modifications such as stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (low doped drain) techniques. Various other techniques to modify source/drain operational characteristics can also be used, including, in certain embodiments, use of heterogeneous dopant materials as compensation dopants to modify electrical characteristics. - The
gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof. In certain embodiments thegate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy. Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride. Formation of thegate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods. Typically, thegate electrode 102 has an overall thickness from about 1 to about 500 nanometers. - The gate dielectric 108 may include conventional dielectric materials such as oxides, nitrides and oxynitrides. Alternatively, the gate dielectric 108 may include generally higher dielectric constant dielectric materials including, but not limited to hafnium oxides, hafnium silicates, zirconium oxides, lanthanum oxides, titanium oxides, barium-strontium-titanates and lead-zirconate-titanates, metal based dielectric materials, and other materials having dielectric properties. Preferred hafnium-containing oxides include HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx, and the like. Depending on composition and available deposition processing equipment, the
gate dielectric 108 may be formed by such methods as thermal or plasma oxidation, nitridation methods, chemical vapor deposition methods (including atomic layer deposition methods) and physical vapor deposition methods. In some embodiments, multiple or composite layers, laminates, and compositional mixtures of dielectric materials can be used. For example, a gate dielectric can be formed from a SiO2-based insulator having a thickness between about 0.3 and 1 nm and the hafnium oxide based insulator having a thickness between 0.5 and 4 nm. Typically, the gate dielectric has an overall thickness from about 0.5 to about 5 nanometers. - The
channel region 110 is formed below thegate dielectric 108 and above the highly dopedscreening region 112. Thechannel region 110 also contacts and extends between, thesource 104 and thedrain 106. Preferably, the channel region includes substantially undoped silicon having a dopant concentration less than 5×1017 dopant atoms per cm3 adjacent or near thegate dielectric 108. Channel thickness can typically range from 5 to 50 nanometers. In certain embodiments thechannel region 110 is formed by epitaxial growth of pure or substantially pure silicon on the screening region. - As disclosed, the threshold voltage set
region 111 is positioned under thegate dielectric 108, spaced therefrom, and abovescreening region 112, and is typically formed as a thin doped layer. Suitably varying dopant concentration, thickness, and separation from the gate dielectric and the screening region allows for controlled slight adjustments of threshold voltage in the operatingFET 100. In certain embodiments, the threshold voltage setregion 111 is doped to have a concentration between about 1×1018 dopant atoms per cm3 and about 1×1019 dopant atoms per cm3. The threshold voltage setregion 111 can be formed by several different processes, including 1) in-situ epitaxial doping, 2) epitaxial growth of a thin layer of silicon followed by a tightly controlled dopant implant, 3) epitaxial growth of a thin layer of silicon followed by dopant diffusion of atoms from thescreening region 112, or 4) by any combination of these processes (e.g. epitaxial growth of silicon followed by both dopant implant and diffusion from the screening layer 112). - Position of a highly doped
screening region 112 typically sets depth of the depletion zone of anoperating FET 100. Advantageously, the screening region 112 (and associated depletion depth) are set at a depth that ranges from one comparable to the gate length (Lg/l) to a depth that is a large fraction of the gate length (Lg/5). In preferred embodiments, the typical range is between Lg/3 to Lg/1.5. Devices having an Lg/2 or greater are preferred for extremely low power operation, while digital or analog devices operating at higher voltages can often be formed with a screening region between Lg/5 and Lg/2. For example, a transistor having a gate length of 32 nanometers could be formed to have a screening region that has a peak dopant density at a depth below the gate dielectric of about 16 nanometers (Lg/2), along with a threshold voltage set region at peak dopant density at a depth of 8 nanometers (Lg/4). - In certain embodiments, the
screening region 112 is doped to have a concentration between about 5×1018 dopant atoms per cm3 and about 1×1020 dopant atoms per cm3, significantly more than the dopant concentration of the undoped channel, and at least slightly greater than the dopant concentration of the optional threshold voltage setregion 111. As will be appreciated, exact dopant concentrations and screening region depths can be modified to improve desired operating characteristics ofFET 100, or to take in to account available transistor manufacturing processes and process conditions. - To help control leakage, the punch through
suppression region 113 is formed beneath thescreening region 112. Typically, the punch throughsuppression region 113 is formed by direct implant into a lightly doped well, but it may be formed by out-diffusion from the screening region, in-situ growth, or other known process. Like the threshold voltage setregion 111, the punch throughsuppression region 113 has a dopant concentration less than thescreening region 112, typically set between about 1×1018 dopant atoms per cm3 and about 1×1019 dopant atoms per cm3. In addition, the punch throughsuppression region 113 dopant concentration is set higher than the overall dopant concentration of the well substrate. As will be appreciated, exact dopant concentrations and depths can be modified to improve desired operating characteristics ofFET 100, or to take in to account available transistor manufacturing processes and process conditions. - Forming such a
FET 100 is relatively simple compared to SOI or finFET transistors, since well developed and long used planar CMOS processing techniques can be readily adapted. - Together, the structures and the methods of making the structures allow for FET transistors having both a low operating voltage and a low threshold voltage as compared to conventional nanoscale devices. Furthermore, DDC transistors can be configured to allow for the threshold voltage to be statically set with the aid of a voltage body bias generator. In some embodiments the threshold voltage can even be dynamically controlled, allowing the transistor leakage currents to be greatly reduced (by setting the voltage bias to upwardly adjust the VT for low leakage, low speed operation), or increased (by downwardly adjusting the VT for high leakage, high speed operation). Ultimately, these structures and the methods of making structures provide for designing integrated circuits having FET devices that can be dynamically adjusted while the circuit is in operation. Thus, transistors in an integrated circuit can be designed with nominally identical structure, and can be controlled, modulated or programmed to operate at different operating voltages in response to different bias voltages, or to operate in different operating modes in response to different bias voltages and operating voltages. In addition, these can be configured post-fabrication for different applications within a circuit.
- As will be appreciated, concentrations of atoms implanted or otherwise present in a substrate or crystalline layers of a semiconductor to modify physical and electrical characteristics of a semiconductor are be described in terms of physical and functional regions or layers. These may be understood by those skilled in the art as three-dimensional masses of material that have particular averages of concentrations. Or, they may be understood as sub-regions or sub-layers with different or spatially varying concentrations. They may also exist as small groups of dopant atoms, regions of substantially similar dopant atoms or the like, or other physical embodiments. Descriptions of the regions based on these properties are not intended to limit the shape, exact location or orientation. They are also not intended to limit these regions or layers to any particular type or number of process steps, type or numbers of layers (e.g., composite or unitary), semiconductor deposition, etch techniques, or growth techniques utilized. These processes may include epitaxially formed regions or atomic layer deposition, dopant implant methodologies or particular vertical or lateral dopant profiles, including linear, monotonically increasing, retrograde, or other suitable spatially varying dopant concentration. To ensure that desired dopant concentrations are maintained, various dopant anti-migration techniques, are contemplated, including low temperature processing, carbon doping, in-situ dopant deposition, and advanced flash or other annealing techniques. The resultant dopant profile may have one or more regions or layers with different dopant concentrations, and the variations in concentrations and how the regions or layers are defined, regardless of process, mayor may not be detectable via techniques including infrared spectroscopy, Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.
- To better appreciate one possible transistor structure,
FIG. 2 illustrates adopant profile 202 of a deeply depleted transistor taken at midline between a source and drain, and extending downward from a gate dielectric toward a well. Concentration is measured in number of dopant atoms per cubic centimeter, and downward depth is measured as a ratio of gate length Lg. Measuring as a ratio rather than absolute depth in nanometers better allows cross comparison between transistors manufactured at different nodes (e.g. 45 nm, 32 nm, 22 nm, or 15 nm) where nodes are commonly defined in term of minimum gate lengths. - As seen in
FIG. 2 , the region of thechannel 210 adjacent to the gate dielectric is substantially free of dopants, having less than 5×1017 dopant atoms per cm3 to a depth of nearly Lg/4. A threshold voltage setregion 211 increases the dopant concentration to about 3×1018 dopant atoms per cm3, and the concentration increases another order of magnitude above 3×1018 dopant atoms per cm3 to form thescreening region 212 that sets the base of the depletion zone in an operating transistor. A punch throughsuppression region 213 having a dopant concentration of about 1×1019 dopant atoms per cm3 at a depth of about Lg/1 is intermediate between the screening region and the lightly doped well 214. Without the punch through suppression region, a transistor constructed to have, for example, a 30 nm gate length and an operating voltage of 1.0 volts would be expected to have significantly greater leakage. When the disclosed punch through suppression region is implanted, punch through leakage is reduced, making the transistor more power efficient, and better able to tolerate process variations in transistor structure without punch through failure. - This is better seen with respect to the following Table 1, which indicates expected performance improvements for a range of punch through dosage and threshold voltage:
-
TABLE 1 Ioff (nA/um) Idsat (mA/um) Vt (V) Target Punchthrough layer 2 0.89 0.31 No Punchthrough layer 70 1 0.199 Higher Dose Punchthrough 0.9 0.54 0.488 Very deep Punchthrough 15 1 0.237 - Alternative dopant profiles are contemplated. As seen in
FIG. 3 , analternative dopant profile 203 that includes a slightly increased depth for the low doped channel is shown. In contrast to the embodiments ofFIG. 2 , the threshold voltage setregion 211 is a shallow notch primarily formed by out-diffusion into an epitaxially deposited layer of silicon from thescreening region 212. Thescreening region 212 itself is set to have a dopant concentration greater than 3×1018 dopant atoms per cm3. The punch throughsuppression region 213 has a dopant concentration of about 8×1018 dopant atoms per cm3, provided by a combination of out-diffusion from thescreening region 212 and a separate low energy implant. - As seen in
FIG. 4 , analternative dopant profile 204 that includes a greatly increased depth for the low doped channel is shown. In contrast to the embodiments ofFIGS. 2 and 3 , there is no distinct notch, plane or layer to aid in threshold voltage setting. Thescreening region 212 is set to be greater than 3×1019 dopant atoms per cm3 and the punch throughsuppression region 213 has a similarly high, yet narrowly defined dopant concentration of about 8×1018 dopant atoms per cm3, provided by with a separate low energy implant. - Yet another variation in dopant profile is seen in
FIG. 5 , which illustrates atransistor dopant profile 205 for a transistor structure that includes a very lowdoped channel 210. The threshold voltage setregion 211 is precisely formed by in-situ or well controlled implant doping of thin epitaxial layer grown on the screening region. Thescreening region 212 is set to be about 1×1019 dopant atoms per cm3 and the punch throughsuppression region 213 also has narrowly defined dopant concentration of about 8×1018 dopant atoms per cm3, provided by with a separate low energy implant. Thewell implant 214 concentration is gradually reduced to about 5×1017 dopant atoms per cm3. - As seen in
FIG. 6 , adopant profile 206 includes a lowdoped channel 210 adjacent to the gate dielectric, and a narrowly defined threshold voltage setregion 211. Thescreening region 212 increases to a narrow peak set to be about 1×1019 dopant atoms per cm3 and the punch throughsuppression region 213 also has broadly peak dopant concentration of about 5×1018 dopant atoms per cm3, provided by with a separate low energy implant. Thewell implant 214 concentration is high to improve bias coefficient of the transistor, with a concentration of about 8×1017 dopant atoms per cm3. - In contrast to the narrow screen region peak dopant concentration of
FIG. 6 , thedopant profile 207 ofFIG. 7 has abroad peak 212. In addition to a narrowundoped channel 210, the transistor structure includes a well defined partially retrograde threshold set 211, and a distinct separate punch throughsuppression peak 213. The well 214 doping concentration is relatively low, less than about 5×1017 dopant atoms per cm3. -
FIG. 8 is a schematic process flow diagram 300 illustrating one exemplary process for forming a transistor with a punch through suppression region and a screening region suitable for different types of FET structures, including both analog and digital transistors. The process illustrated here is intended to be general and broad in its description in order not to obscure the inventive concepts, and more detailed embodiments and examples are set forth below. These along with other process steps allow -for the processing and manufacture of integrated circuits that include DDC structured devices together with legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power. - In
Step 302, the process begins at the well formation, which may be one of many different processes according to different embodiments and examples. As indicated in 303, the well formation may be before or after STI (shallow trench isolation)formation 304, depending on the application and results desired. Boron (B), indium (I) or other P-type materials may be used for P-type implants, and arsenic (As) or phosphorous (P) and other N-type materials may be used for N-type implants. For the PMOS well implants, the P+ implant may be implanted within a range from 10 to 80 keV, and at NMOS well implants, the boron implant B+ implant may be within a range of 0.5 to 5 keV, and within a concentration range of 1×1013 to 8×1013/cm2. A germanium implant Ge+, may be performed within a range of 10 to 60 keV, and at a concentration of 1×1014 to 5×1014/cm2. To reduce dopant migration, a carbon implant, C+ may be performed at a range of 0.5 to 5 keV, and at a concentration of 1×1013 to 8×1013/cm2. Well implants may include sequential implant, and/or epitaxial growth and implant, of punch through suppression regions, screen regions having a higher dopant density than the punch through suppression region, and threshold voltage set regions (which previously discussed are typically formed by implant or diffusion of dopants into a grown epitaxial layer on the screening region). - In some embodiments the
well formation 302 may include a beam line implant of Ge/B (N), As (P), followed by an epitaxial (EPI) pre-clean process, and followed finally non-selective blanket EPI deposition, as shown in 302A. Alternatively, the well may be formed using a plasma implant of B (N), As (P), followed by an EPI pre-clean, then finally a non-selective (blanket) EPI deposition, 302B. The well formation may alternatively include a solid-source diffusion of B(N), As(P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302C. The well formation may alternatively include a solid-source diffusion of B (N), As (P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302D. As yet another alternative, well formation may simply include well implants, followed by in-situ doped selective EPI of B (N), P (P). Embodiments described herein allow for anyone of a number of devices configured on a common substrate with different well structures and according to different parameters. - Shallow trench isolation (STI)
formation 304, which, again, may occur before or afterwell formation 302, may include a low temperature trench sacrificial oxide (TSOX)liner 304A at a temperature lower than 900° C. Thegate stack 306 may be formed or otherwise constructed in a number of different ways, from different materials, and of different work functions. One option is a poly/SiON gate stack 306A. Another option is a gate-first process 306B that includes SiON/Metal/Poly and/or SiON/Poly, followed by High-K/Metal Gate. Another option, a gate-last process 306C includes a high-K/metal gate stack wherein the gate stack can either be formed with “Hi-K first-Metal gate last” flow or and “Hi-K last-Metal gate last” flow. Yet another option, 306D is a metal gate that includes a tunable range of work functions depending on the device construction, N(NMOS)/P(PMOS)N(PMOS)/P(NMOS)/Mid-gap or anywhere in between. In one example, N has a work function (WF) of 4.05 V±200 mV, and P has a WF of 5.01 V±200 mV. - Next, in
Step 308, Source/Drain tips may be implanted, or optionally may not be implanted depending on the application. The dimensions of the tips can be varied as required, and will depend in part on whether gate spacers (SPCR) are used. In one option, there may be no tip implant in 308A. Next, inoptional steps Step 314, a Gate-last module is formed. This may be only for gate-last processes 314A. - Die supporting multiple transistor types, including those with and without a punch through suppression, those having different threshold voltages, and with and without static or dynamic biasing are contemplated. Systems on a chip (SoC), advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations can be incorporated into a device using the methods described herein. According to the methods and processes discussed herein, a system having a variety of combinations of DDC and/or transistor devices and structures with or without punch through suppression can be produced on silicon using bulk CMOS. In different embodiments, the die may be divided into one or more areas where dynamic bias structures, static bias structures or no-bias structures exist separately or in some combination. In a dynamic bias section, for example, dynamically adjustable devices may exist along with high and low VT devices and possibly DDC logic devices.
- While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art.
- Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.
- Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made therein without departing from the spirit and scope of the invention as defined by the appended claims.
Claims (19)
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/787,073 US20130181298A1 (en) | 2010-06-22 | 2013-03-06 | Advanced transistors with punch through suppression |
US14/188,218 US9263523B2 (en) | 2009-09-30 | 2014-02-24 | Advanced transistors with punch through suppression |
US14/977,887 US9508800B2 (en) | 2009-09-30 | 2015-12-22 | Advanced transistors with punch through suppression |
US15/298,913 US10325986B2 (en) | 2009-09-30 | 2016-10-20 | Advanced transistors with punch through suppression |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US35749210P | 2010-06-22 | 2010-06-22 | |
US12/895,813 US8421162B2 (en) | 2009-09-30 | 2010-09-30 | Advanced transistors with punch through suppression |
US13/787,073 US20130181298A1 (en) | 2010-06-22 | 2013-03-06 | Advanced transistors with punch through suppression |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/895,813 Continuation US8421162B2 (en) | 2009-09-30 | 2010-09-30 | Advanced transistors with punch through suppression |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/188,218 Division US9263523B2 (en) | 2009-09-30 | 2014-02-24 | Advanced transistors with punch through suppression |
Publications (1)
Publication Number | Publication Date |
---|---|
US20130181298A1 true US20130181298A1 (en) | 2013-07-18 |
Family
ID=45443199
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/895,813 Active 2030-11-25 US8421162B2 (en) | 2009-09-30 | 2010-09-30 | Advanced transistors with punch through suppression |
US13/787,073 Abandoned US20130181298A1 (en) | 2009-09-30 | 2013-03-06 | Advanced transistors with punch through suppression |
US14/188,218 Active US9263523B2 (en) | 2009-09-30 | 2014-02-24 | Advanced transistors with punch through suppression |
US14/977,887 Active US9508800B2 (en) | 2009-09-30 | 2015-12-22 | Advanced transistors with punch through suppression |
US15/298,913 Active US10325986B2 (en) | 2009-09-30 | 2016-10-20 | Advanced transistors with punch through suppression |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/895,813 Active 2030-11-25 US8421162B2 (en) | 2009-09-30 | 2010-09-30 | Advanced transistors with punch through suppression |
Family Applications After (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/188,218 Active US9263523B2 (en) | 2009-09-30 | 2014-02-24 | Advanced transistors with punch through suppression |
US14/977,887 Active US9508800B2 (en) | 2009-09-30 | 2015-12-22 | Advanced transistors with punch through suppression |
US15/298,913 Active US10325986B2 (en) | 2009-09-30 | 2016-10-20 | Advanced transistors with punch through suppression |
Country Status (6)
Country | Link |
---|---|
US (5) | US8421162B2 (en) |
JP (2) | JP2013533624A (en) |
KR (2) | KR101817376B1 (en) |
CN (2) | CN103038721B (en) |
TW (1) | TWI543369B (en) |
WO (1) | WO2011163169A1 (en) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9263522B2 (en) | 2013-12-09 | 2016-02-16 | Qualcomm Incorporated | Transistor with a diffusion barrier |
WO2019106475A1 (en) * | 2017-11-30 | 2019-06-06 | International Business Machines Corporation | Multi-state device based on ion trapping |
Families Citing this family (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008005092A2 (en) * | 2006-06-29 | 2008-01-10 | Cree, Inc. | Silicon carbide switching devices including p-type channels and methods of forming the same |
US8421162B2 (en) | 2009-09-30 | 2013-04-16 | Suvolta, Inc. | Advanced transistors with punch through suppression |
US8273617B2 (en) | 2009-09-30 | 2012-09-25 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
US8759872B2 (en) * | 2010-06-22 | 2014-06-24 | Suvolta, Inc. | Transistor with threshold voltage set notch and method of fabrication thereof |
JP5751766B2 (en) | 2010-07-07 | 2015-07-22 | キヤノン株式会社 | Solid-state imaging device and imaging system |
JP5656484B2 (en) | 2010-07-07 | 2015-01-21 | キヤノン株式会社 | Solid-state imaging device and imaging system |
JP5697371B2 (en) * | 2010-07-07 | 2015-04-08 | キヤノン株式会社 | Solid-state imaging device and imaging system |
JP5885401B2 (en) | 2010-07-07 | 2016-03-15 | キヤノン株式会社 | Solid-state imaging device and imaging system |
JP5645513B2 (en) | 2010-07-07 | 2014-12-24 | キヤノン株式会社 | Solid-state imaging device and imaging system |
JP5643555B2 (en) | 2010-07-07 | 2014-12-17 | キヤノン株式会社 | Solid-state imaging device and imaging system |
US8400219B2 (en) * | 2011-03-24 | 2013-03-19 | Suvolta, Inc. | Analog circuits having improved transistors, and methods therefor |
US8748986B1 (en) | 2011-08-05 | 2014-06-10 | Suvolta, Inc. | Electronic device with controlled threshold voltage |
TWI571936B (en) * | 2011-10-26 | 2017-02-21 | 聯華電子股份有限公司 | Structure of field effect transistor with fin structure and fabricating method thereof |
KR101894221B1 (en) | 2012-03-21 | 2018-10-04 | 삼성전자주식회사 | Field effect transistor and semiconductor device including the same |
US9299698B2 (en) | 2012-06-27 | 2016-03-29 | Mie Fujitsu Semiconductor Limited | Semiconductor structure with multiple transistors having various threshold voltages |
US8673731B2 (en) * | 2012-08-20 | 2014-03-18 | International Business Machines Corporation | Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices |
US8932918B2 (en) | 2012-08-29 | 2015-01-13 | International Business Machines Corporation | FinFET with self-aligned punchthrough stopper |
US8637955B1 (en) * | 2012-08-31 | 2014-01-28 | Suvolta, Inc. | Semiconductor structure with reduced junction leakage and method of fabrication thereof |
US9041126B2 (en) * | 2012-09-21 | 2015-05-26 | Mie Fujitsu Semiconductor Limited | Deeply depleted MOS transistors having a screening layer and methods thereof |
US9082853B2 (en) | 2012-10-31 | 2015-07-14 | International Business Machines Corporation | Bulk finFET with punchthrough stopper region and method of fabrication |
JP6100535B2 (en) * | 2013-01-18 | 2017-03-22 | ルネサスエレクトロニクス株式会社 | Semiconductor device and manufacturing method of semiconductor device |
US9917168B2 (en) * | 2013-06-27 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal oxide semiconductor field effect transistor having variable thickness gate dielectric |
US9299702B2 (en) * | 2013-09-24 | 2016-03-29 | Samar Saha | Transistor structure and method with an epitaxial layer over multiple halo implants |
US9276113B2 (en) | 2014-03-10 | 2016-03-01 | International Business Corporation | Structure and method to make strained FinFET with improved junction capacitance and low leakage |
US9559191B2 (en) | 2014-04-16 | 2017-01-31 | International Business Machines Corporation | Punch through stopper in bulk finFET device |
US10559469B2 (en) * | 2014-04-22 | 2020-02-11 | Texas Instruments Incorporated | Dual pocket approach in PFETs with embedded SI-GE source/drain |
US9087860B1 (en) * | 2014-04-29 | 2015-07-21 | Globalfoundries Inc. | Fabricating fin-type field effect transistor with punch-through stop region |
US9390976B2 (en) | 2014-05-01 | 2016-07-12 | International Business Machines Corporation | Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction |
US9319013B2 (en) * | 2014-08-19 | 2016-04-19 | Mie Fujitsu Semiconductor Limited | Operational amplifier input offset correction with transistor threshold voltage adjustment |
US9899514B2 (en) | 2015-05-21 | 2018-02-20 | Globalfoundries Singapore Pte. Ltd. | Extended drain metal-oxide-semiconductor transistor |
US20180076281A1 (en) * | 2016-09-12 | 2018-03-15 | Jeng-Jye Shau | Deep channel isolated drain metal-oxide-semiconductor transistors |
US20180076280A1 (en) * | 2016-09-12 | 2018-03-15 | Jeng-Jye Shau | Shallow drain metal-oxide-semiconductor transistors |
TWI621273B (en) * | 2017-04-27 | 2018-04-11 | 立錡科技股份有限公司 | High Voltage Depletion Mode MOS Device with Adjustable Threshold Voltage and Manufacturing Method Thereof |
KR102639769B1 (en) * | 2018-11-22 | 2024-02-26 | 삼성디스플레이 주식회사 | Display apparatus and method of manufacturing the same |
US11652143B2 (en) * | 2019-03-28 | 2023-05-16 | Intel Corporation | III-N transistors integrated with thin-film transistors having graded dopant concentrations and/or composite gate dielectrics |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4518926A (en) * | 1982-12-20 | 1985-05-21 | At&T Bell Laboratories | Gate-coupled field-effect transistor pair amplifier |
US20060273299A1 (en) * | 2003-06-26 | 2006-12-07 | Rj Mears, Llc | Method for making a semiconductor device including a dopant blocking superlattice |
US20080079493A1 (en) * | 2006-09-28 | 2008-04-03 | Dsm Solutions, Inc. | Circuit and method for generating electrical solitons with junction field effect transistors |
US20120168864A1 (en) * | 2009-09-28 | 2012-07-05 | International Business Machines Corporation | Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage |
Family Cites Families (516)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4021835A (en) | 1974-01-25 | 1977-05-03 | Hitachi, Ltd. | Semiconductor device and a method for fabricating the same |
US3958266A (en) | 1974-04-19 | 1976-05-18 | Rca Corporation | Deep depletion insulated gate field effect transistors |
US4000504A (en) | 1975-05-12 | 1976-12-28 | Hewlett-Packard Company | Deep channel MOS transistor |
US4276095A (en) | 1977-08-31 | 1981-06-30 | International Business Machines Corporation | Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations |
US4242691A (en) | 1978-09-18 | 1980-12-30 | Mitsubishi Denki Kabushiki Kaisha | MOS Semiconductor device |
DE3069973D1 (en) * | 1979-08-25 | 1985-02-28 | Zaidan Hojin Handotai Kenkyu | Insulated-gate field-effect transistor |
US4315781A (en) * | 1980-04-23 | 1982-02-16 | Hughes Aircraft Company | Method of controlling MOSFET threshold voltage with self-aligned channel stop |
JPS56155572A (en) * | 1980-04-30 | 1981-12-01 | Sanyo Electric Co Ltd | Insulated gate field effect type semiconductor device |
JPS5848936A (en) | 1981-09-10 | 1983-03-23 | Fujitsu Ltd | Preparation of semiconductor device |
JPS59193066A (en) | 1983-04-15 | 1984-11-01 | Matsushita Electric Ind Co Ltd | Mos semiconductor device |
JPS59193066U (en) | 1983-06-08 | 1984-12-21 | 三菱電機株式会社 | Elevator security TV camera |
US4559091A (en) | 1984-06-15 | 1985-12-17 | Regents Of The University Of California | Method for producing hyperabrupt doping profiles in semiconductors |
US5060234A (en) | 1984-11-19 | 1991-10-22 | Max-Planck Gesellschaft Zur Forderung Der Wissenschaften | Injection laser with at least one pair of monoatomic layers of doping atoms |
US4617066A (en) | 1984-11-26 | 1986-10-14 | Hughes Aircraft Company | Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing |
US4578128A (en) * | 1984-12-03 | 1986-03-25 | Ncr Corporation | Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants |
US4662061A (en) | 1985-02-27 | 1987-05-05 | Texas Instruments Incorporated | Method for fabricating a CMOS well structure |
JPH0770606B2 (en) * | 1985-11-29 | 1995-07-31 | 株式会社日立製作所 | Semiconductor device |
JPS62128175A (en) | 1985-11-29 | 1987-06-10 | Hitachi Ltd | Semiconductor device |
GB8606748D0 (en) | 1986-03-19 | 1986-04-23 | Secr Defence | Monitoring surface layer growth |
US4780748A (en) | 1986-06-06 | 1988-10-25 | American Telephone & Telegraph Company, At&T Bell Laboratories | Field-effect transistor having a delta-doped ohmic contact |
EP0248988B1 (en) | 1986-06-10 | 1990-10-31 | Siemens Aktiengesellschaft | Method of producing highly integrated complementary mos field-effect transistor circuits |
US5156990A (en) | 1986-07-23 | 1992-10-20 | Texas Instruments Incorporated | Floating-gate memory cell with tailored doping profile |
US5923985A (en) | 1987-01-05 | 1999-07-13 | Seiko Instruments Inc. | MOS field effect transistor and its manufacturing method |
DE3789894T2 (en) | 1987-01-05 | 1994-09-08 | Seiko Instr Inc | MOS field effect transistor and its manufacturing method. |
JPS63305566A (en) * | 1987-06-05 | 1988-12-13 | Nippon Telegr & Teleph Corp <Ntt> | Semiconductor device and manufacture thereof |
GB2206010A (en) | 1987-06-08 | 1988-12-21 | Philips Electronic Associated | Differential amplifier and current sensing circuit including such an amplifier |
EP0312237A3 (en) | 1987-10-13 | 1989-10-25 | AT&T Corp. | Interface charge enhancement in delta-doped heterostructure |
US5156989A (en) | 1988-11-08 | 1992-10-20 | Siliconix, Incorporated | Complementary, isolated DMOS IC technology |
US5034337A (en) | 1989-02-10 | 1991-07-23 | Texas Instruments Incorporated | Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices |
US4956311A (en) | 1989-06-27 | 1990-09-11 | National Semiconductor Corporation | Double-diffused drain CMOS process using a counterdoping technique |
US5208473A (en) | 1989-11-29 | 1993-05-04 | Mitsubishi Denki Kabushiki Kaisha | Lightly doped MISFET with reduced latchup and punchthrough |
JP2822547B2 (en) | 1990-03-06 | 1998-11-11 | 富士通株式会社 | High electron mobility transistor |
US5298435A (en) | 1990-04-18 | 1994-03-29 | National Semiconductor Corporation | Application of electronic properties of germanium to inhibit n-type or p-type diffusion in silicon |
KR920008834A (en) | 1990-10-09 | 1992-05-28 | 아이자와 스스무 | Thin film semiconductor devices |
JPH04179160A (en) | 1990-11-09 | 1992-06-25 | Hitachi Ltd | Semiconductor device |
JPH04186774A (en) | 1990-11-21 | 1992-07-03 | Hitachi Ltd | Semiconductor device |
JP2899122B2 (en) | 1991-03-18 | 1999-06-02 | キヤノン株式会社 | Insulated gate transistor and semiconductor integrated circuit |
US5166765A (en) | 1991-08-26 | 1992-11-24 | At&T Bell Laboratories | Insulated gate field-effect transistor with pulse-shaped doping |
KR940006711B1 (en) | 1991-09-12 | 1994-07-25 | 포항종합제철 주식회사 | Manufacturing method of delta doping quantum fet |
JP2851753B2 (en) | 1991-10-22 | 1999-01-27 | 三菱電機株式会社 | Semiconductor device and manufacturing method thereof |
JP3146045B2 (en) | 1992-01-06 | 2001-03-12 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
JPH05315598A (en) | 1992-05-08 | 1993-11-26 | Fujitsu Ltd | Semiconductor device |
US5242847A (en) | 1992-07-27 | 1993-09-07 | North Carolina State University At Raleigh | Selective deposition of doped silion-germanium alloy on semiconductor substrate |
JPH0697432A (en) | 1992-09-10 | 1994-04-08 | Hitachi Ltd | Semiconductor device and its manufacture |
US5422508A (en) * | 1992-09-21 | 1995-06-06 | Siliconix Incorporated | BiCDMOS structure |
JPH06151828A (en) | 1992-10-30 | 1994-05-31 | Toshiba Corp | Semiconductor device and is manufacture |
US5298763A (en) * | 1992-11-02 | 1994-03-29 | Motorola, Inc. | Intrinsically doped semiconductor structure and method for making |
JP3200231B2 (en) * | 1992-12-14 | 2001-08-20 | 株式会社東芝 | Method for manufacturing semiconductor device |
US5426279A (en) | 1993-06-21 | 1995-06-20 | Dasgupta; Sankar | Heating rate regulator |
US5298457A (en) | 1993-07-01 | 1994-03-29 | G. I. Corporation | Method of making semiconductor devices using epitaxial techniques to form Si/Si-Ge interfaces and inverting the material |
US5444008A (en) | 1993-09-24 | 1995-08-22 | Vlsi Technology, Inc. | High-performance punchthrough implant method for MOS/VLSI |
US5625568A (en) | 1993-12-22 | 1997-04-29 | Vlsi Technology, Inc. | Method and apparatus for compacting integrated circuits with standard cell architectures |
EP0698236B1 (en) | 1994-02-14 | 2000-05-10 | Koninklijke Philips Electronics N.V. | A reference circuit having a controlled temperature dependence |
KR0144959B1 (en) | 1994-05-17 | 1998-07-01 | 김광호 | Semiconductor device and manufacturing method |
JPH07312423A (en) | 1994-05-17 | 1995-11-28 | Hitachi Ltd | Mis type semiconductor device |
US5622880A (en) * | 1994-08-18 | 1997-04-22 | Sun Microsystems, Inc. | Method of making a low power, high performance junction transistor |
US5889315A (en) * | 1994-08-18 | 1999-03-30 | National Semiconductor Corporation | Semiconductor structure having two levels of buried regions |
US5818078A (en) | 1994-08-29 | 1998-10-06 | Fujitsu Limited | Semiconductor device having a regrowth crystal region |
US5559368A (en) | 1994-08-30 | 1996-09-24 | The Regents Of The University Of California | Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation |
JP2701762B2 (en) | 1994-11-28 | 1998-01-21 | 日本電気株式会社 | Semiconductor device and manufacturing method thereof |
EP0717435A1 (en) | 1994-12-01 | 1996-06-19 | AT&T Corp. | Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby |
US6153920A (en) | 1994-12-01 | 2000-11-28 | Lucent Technologies Inc. | Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby |
JPH08172187A (en) * | 1994-12-16 | 1996-07-02 | Mitsubishi Electric Corp | Semiconductor device and its manufacture |
JPH08250728A (en) | 1995-03-10 | 1996-09-27 | Sony Corp | Field-effect semiconductor device and manufacturing method thereof |
US5608253A (en) * | 1995-03-22 | 1997-03-04 | Advanced Micro Devices Inc. | Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits |
JP2780670B2 (en) | 1995-04-14 | 1998-07-30 | 日本電気株式会社 | Manufacturing method of epitaxial channel MOS transistor |
JPH08293557A (en) | 1995-04-25 | 1996-11-05 | Hitachi Ltd | Semiconductor device and manufacture thereof |
US5552332A (en) | 1995-06-02 | 1996-09-03 | Motorola, Inc. | Process for fabricating a MOSFET device having reduced reverse short channel effects |
US5663583A (en) | 1995-06-06 | 1997-09-02 | Hughes Aircraft Company | Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate |
JP3462301B2 (en) | 1995-06-16 | 2003-11-05 | 三菱電機株式会社 | Semiconductor device and manufacturing method thereof |
JPH098296A (en) | 1995-06-23 | 1997-01-10 | Hitachi Ltd | Semiconductor device |
US5624863A (en) | 1995-07-17 | 1997-04-29 | Micron Technology, Inc. | Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate |
US5754826A (en) | 1995-08-04 | 1998-05-19 | Synopsys, Inc. | CAD and simulation system for targeting IC designs to multiple fabrication processes |
KR0172793B1 (en) * | 1995-08-07 | 1999-02-01 | 김주용 | Method of manufacturing semiconductor device |
JPH0973784A (en) | 1995-09-07 | 1997-03-18 | Nec Corp | Semiconductor device and its control circuit therefor |
US6127700A (en) * | 1995-09-12 | 2000-10-03 | National Semiconductor Corporation | Field-effect transistor having local threshold-adjust doping |
US5712501A (en) * | 1995-10-10 | 1998-01-27 | Motorola, Inc. | Graded-channel semiconductor device |
JPH09121049A (en) | 1995-10-25 | 1997-05-06 | Sony Corp | Semiconductor device |
US5753555A (en) | 1995-11-22 | 1998-05-19 | Nec Corporation | Method for forming semiconductor device |
JPH11500873A (en) | 1995-12-15 | 1999-01-19 | フィリップス エレクトロニクス ネムローゼ フェンノートシャップ | Semiconductor field-effect device with SiGe layer |
US5698884A (en) | 1996-02-07 | 1997-12-16 | Thunderbird Technologies, Inc. | Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same |
JP3420879B2 (en) * | 1996-03-06 | 2003-06-30 | 沖電気工業株式会社 | Method for manufacturing pMOS and method for manufacturing CMOS |
JPH09270466A (en) | 1996-04-01 | 1997-10-14 | Mitsubishi Electric Corp | Semiconductor device and manufacture thereof |
JPH1022462A (en) | 1996-06-28 | 1998-01-23 | Sharp Corp | Semiconductor device and manufacture thereof |
US5847419A (en) | 1996-09-17 | 1998-12-08 | Kabushiki Kaisha Toshiba | Si-SiGe semiconductor device and method of fabricating the same |
JPH10189766A (en) | 1996-10-29 | 1998-07-21 | Hitachi Ltd | Semiconductor integrated circuit device and fabrication thereof, semiconductor wafer and fabrication thereof |
JPH10135348A (en) | 1996-11-05 | 1998-05-22 | Fujitsu Ltd | Field effect semiconductor device |
US5736419A (en) | 1996-11-12 | 1998-04-07 | National Semiconductor Corporation | Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions |
JP4521619B2 (en) | 1996-11-21 | 2010-08-11 | ルネサスエレクトロニクス株式会社 | Low power processor |
JPH10163342A (en) | 1996-12-04 | 1998-06-19 | Sharp Corp | Semiconductor device |
JPH10223853A (en) | 1997-02-04 | 1998-08-21 | Mitsubishi Electric Corp | Semiconductor device |
DE19706789C2 (en) * | 1997-02-20 | 1999-10-21 | Siemens Ag | CMOS circuit with partially dielectrically isolated source-drain regions and method for their production |
US5918129A (en) | 1997-02-25 | 1999-06-29 | Advanced Micro Devices, Inc. | Method of channel doping using diffusion from implanted polysilicon |
JPH10242153A (en) | 1997-02-26 | 1998-09-11 | Hitachi Ltd | Semiconductor wafer, manufacture thereof, semiconductor device and manufacture thereof |
US5936868A (en) | 1997-03-06 | 1999-08-10 | Harris Corporation | Method for converting an integrated circuit design for an upgraded process |
JPH10270687A (en) | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | Field-effect transistor and manufacture thereof |
US5923067A (en) | 1997-04-04 | 1999-07-13 | International Business Machines Corporation | 3-D CMOS-on-SOI ESD structure and method |
JP4253052B2 (en) | 1997-04-08 | 2009-04-08 | 株式会社東芝 | Semiconductor device |
US6060345A (en) | 1997-04-21 | 2000-05-09 | Advanced Micro Devices, Inc. | Method of making NMOS and PMOS devices with reduced masking steps |
US6218892B1 (en) | 1997-06-20 | 2001-04-17 | Intel Corporation | Differential circuits employing forward body bias |
US6218895B1 (en) | 1997-06-20 | 2001-04-17 | Intel Corporation | Multiple well transistor circuits having forward body bias |
US6194259B1 (en) * | 1997-06-27 | 2001-02-27 | Advanced Micro Devices, Inc. | Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants |
US6723621B1 (en) * | 1997-06-30 | 2004-04-20 | International Business Machines Corporation | Abrupt delta-like doping in Si and SiGe films by UHV-CVD |
US5923987A (en) | 1997-06-30 | 1999-07-13 | Sun Microsystems, Inc. | Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface |
US5879998A (en) * | 1997-07-09 | 1999-03-09 | Advanced Micro Devices, Inc. | Adaptively controlled, self-aligned, short channel device and method for manufacturing same |
US5946214A (en) | 1997-07-11 | 1999-08-31 | Advanced Micro Devices | Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns |
US5989963A (en) | 1997-07-21 | 1999-11-23 | Advanced Micro Devices, Inc. | Method for obtaining a steep retrograde channel profile |
JP3544833B2 (en) | 1997-09-18 | 2004-07-21 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
FR2769132B1 (en) | 1997-09-29 | 2003-07-11 | Sgs Thomson Microelectronics | IMPROVING THE ISOLATION BETWEEN POWER SUPPLY POWERS OF AN ANALOG-DIGITAL CIRCUIT |
JP3009102B2 (en) * | 1997-11-12 | 2000-02-14 | 日本電気株式会社 | Semiconductor device, manufacturing method thereof, and differential amplifier |
US5856003A (en) * | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
JPH11163458A (en) * | 1997-11-26 | 1999-06-18 | Mitsui Chem Inc | Semiconductor laser device |
US6426260B1 (en) | 1997-12-02 | 2002-07-30 | Magepower Semiconductor Corp. | Switching speed improvement in DMO by implanting lightly doped region under gate |
US6271070B2 (en) | 1997-12-25 | 2001-08-07 | Matsushita Electronics Corporation | Method of manufacturing semiconductor device |
KR100339409B1 (en) | 1998-01-14 | 2002-09-18 | 주식회사 하이닉스반도체 | semiconductor device and method for fabricating the same |
US6088518A (en) | 1998-01-30 | 2000-07-11 | Aspec Technology, Inc. | Method and system for porting an integrated circuit layout from a reference process to a target process |
US6001695A (en) | 1998-03-02 | 1999-12-14 | Texas Instruments - Acer Incorporated | Method to form ultra-short channel MOSFET with a gate-side airgap structure |
US6096611A (en) | 1998-03-13 | 2000-08-01 | Texas Instruments - Acer Incorporated | Method to fabricate dual threshold CMOS circuits |
JP4278202B2 (en) | 1998-03-27 | 2009-06-10 | 株式会社ルネサステクノロジ | Semiconductor device design method, semiconductor device, and recording medium |
KR100265227B1 (en) | 1998-06-05 | 2000-09-15 | 김영환 | Method for fabricating cmos transistor |
US6072217A (en) | 1998-06-11 | 2000-06-06 | Sun Microsystems, Inc. | Tunable threshold SOI device using isolated well structure for back gate |
US6492232B1 (en) | 1998-06-15 | 2002-12-10 | Motorola, Inc. | Method of manufacturing vertical semiconductor device |
US6262461B1 (en) | 1998-06-22 | 2001-07-17 | Motorola, Inc. | Method and apparatus for creating a voltage threshold in a FET |
US5985705A (en) * | 1998-06-30 | 1999-11-16 | Lsi Logic Corporation | Low threshold voltage MOS transistor and method of manufacture |
KR100292818B1 (en) * | 1998-07-02 | 2001-11-05 | 윤종용 | MOS transistor manufacturing method |
US6320222B1 (en) | 1998-09-01 | 2001-11-20 | Micron Technology, Inc. | Structure and method for reducing threshold voltage variations due to dopant fluctuations |
US6066533A (en) | 1998-09-29 | 2000-05-23 | Advanced Micro Devices, Inc. | MOS transistor with dual metal gate structure |
US6143593A (en) | 1998-09-29 | 2000-11-07 | Conexant Systems, Inc. | Elevated channel MOSFET |
US20020008257A1 (en) | 1998-09-30 | 2002-01-24 | John P. Barnak | Mosfet gate electrodes having performance tuned work functions and methods of making same |
US6380019B1 (en) | 1998-11-06 | 2002-04-30 | Advanced Micro Devices, Inc. | Method of manufacturing a transistor with local insulator structure |
US6084271A (en) | 1998-11-06 | 2000-07-04 | Advanced Micro Devices, Inc. | Transistor with local insulator structure |
US6221724B1 (en) | 1998-11-06 | 2001-04-24 | Advanced Micro Devices, Inc. | Method of fabricating an integrated circuit having punch-through suppression |
US6184112B1 (en) * | 1998-12-02 | 2001-02-06 | Advanced Micro Devices, Inc. | Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile |
US6214654B1 (en) | 1999-01-27 | 2001-04-10 | Advanced Micro Devices, Inc. | Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget |
US6245618B1 (en) | 1999-02-03 | 2001-06-12 | Advanced Micro Devices, Inc. | Mosfet with localized amorphous region with retrograde implantation |
JP2000243958A (en) * | 1999-02-24 | 2000-09-08 | Toshiba Corp | Semiconductor device and manufacture thereof |
US6060364A (en) | 1999-03-02 | 2000-05-09 | Advanced Micro Devices, Inc. | Fast Mosfet with low-doped source/drain |
US7145167B1 (en) | 2000-03-11 | 2006-12-05 | International Business Machines Corporation | High speed Ge channel heterostructures for field effect devices |
JP2000299462A (en) | 1999-04-15 | 2000-10-24 | Toshiba Corp | Semiconductor device and its manufacture |
US6928128B1 (en) | 1999-05-03 | 2005-08-09 | Rambus Inc. | Clock alignment circuit having a self regulating voltage supply |
US6232164B1 (en) | 1999-05-24 | 2001-05-15 | Taiwan Semiconductor Manufacturing Company | Process of making CMOS device structure having an anti-SCE block implant |
US6190979B1 (en) * | 1999-07-12 | 2001-02-20 | International Business Machines Corporation | Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill |
US6501131B1 (en) * | 1999-07-22 | 2002-12-31 | International Business Machines Corporation | Transistors having independently adjustable parameters |
US6271547B1 (en) | 1999-08-06 | 2001-08-07 | Raytheon Company | Double recessed transistor with resistive layer |
US6235597B1 (en) | 1999-08-06 | 2001-05-22 | International Business Machines Corporation | Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication |
US6268640B1 (en) | 1999-08-12 | 2001-07-31 | International Business Machines Corporation | Forming steep lateral doping distribution at source/drain junctions |
US6503801B1 (en) * | 1999-08-18 | 2003-01-07 | Advanced Micro Devices, Inc. | Non-uniform channel profile via enhanced diffusion |
US6444550B1 (en) | 1999-08-18 | 2002-09-03 | Advanced Micro Devices, Inc. | Laser tailoring retrograde channel profile in surfaces |
US6426279B1 (en) | 1999-08-18 | 2002-07-30 | Advanced Micro Devices, Inc. | Epitaxial delta doping for retrograde channel profile |
DE19940362A1 (en) | 1999-08-25 | 2001-04-12 | Infineon Technologies Ag | Metal oxide semiconductor transistor comprises a sink doped with a first conductivity type in semiconductor substrate, an epitaxial layer and source/drain regions of a second conductivity type and channel region arranged in epitaxial layer |
US6162693A (en) * | 1999-09-02 | 2000-12-19 | Micron Technology, Inc. | Channel implant through gate polysilicon |
US7091093B1 (en) | 1999-09-17 | 2006-08-15 | Matsushita Electric Industrial Co., Ltd. | Method for fabricating a semiconductor device having a pocket dopant diffused layer |
US6506640B1 (en) * | 1999-09-24 | 2003-01-14 | Advanced Micro Devices, Inc. | Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through |
US6313489B1 (en) | 1999-11-16 | 2001-11-06 | Philips Electronics North America Corporation | Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device |
JP3371871B2 (en) | 1999-11-16 | 2003-01-27 | 日本電気株式会社 | Method for manufacturing semiconductor device |
US6449749B1 (en) | 1999-11-18 | 2002-09-10 | Pdf Solutions, Inc. | System and method for product yield prediction |
US6541829B2 (en) | 1999-12-03 | 2003-04-01 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing the same |
GB9929084D0 (en) | 1999-12-08 | 2000-02-02 | Regan Timothy J | Modification of integrated circuits |
US7638380B2 (en) | 2000-01-05 | 2009-12-29 | Agere Systems Inc. | Method for manufacturing a laterally diffused metal oxide semiconductor device |
US6633066B1 (en) * | 2000-01-07 | 2003-10-14 | Samsung Electronics Co., Ltd. | CMOS integrated circuit devices and substrates having unstrained silicon active layers |
US6297132B1 (en) | 2000-02-07 | 2001-10-02 | Chartered Semiconductor Manufacturing Ltd. | Process to control the lateral doping profile of an implanted channel region |
US6797994B1 (en) | 2000-02-14 | 2004-09-28 | Raytheon Company | Double recessed transistor |
US7015546B2 (en) * | 2000-02-23 | 2006-03-21 | Semiconductor Research Corporation | Deterministically doped field-effect devices and methods of making same |
US6326666B1 (en) | 2000-03-23 | 2001-12-04 | International Business Machines Corporation | DTCMOS circuit having improved speed |
US6548842B1 (en) | 2000-03-31 | 2003-04-15 | National Semiconductor Corporation | Field-effect transistor for alleviating short-channel effects |
US6319799B1 (en) | 2000-05-09 | 2001-11-20 | Board Of Regents, The University Of Texas System | High mobility heterojunction transistor and method |
US6461928B2 (en) | 2000-05-23 | 2002-10-08 | Texas Instruments Incorporated | Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants |
JP2001352057A (en) * | 2000-06-09 | 2001-12-21 | Mitsubishi Electric Corp | Semiconductor device and its manufacturing method |
WO2002001641A1 (en) | 2000-06-27 | 2002-01-03 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device |
DE10034942B4 (en) | 2000-07-12 | 2004-08-05 | Infineon Technologies Ag | Method for producing a semiconductor substrate with buried doping |
US6624488B1 (en) | 2000-08-07 | 2003-09-23 | Advanced Micro Devices, Inc. | Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices |
JP2001068674A (en) | 2000-08-10 | 2001-03-16 | Canon Inc | Insulated-gate transistor and semiconductor integrated circuit |
JP2002057331A (en) * | 2000-08-11 | 2002-02-22 | Matsushita Electric Ind Co Ltd | Semiconductor device and its manufacturing method |
US6503783B1 (en) | 2000-08-31 | 2003-01-07 | Micron Technology, Inc. | SOI CMOS device with reduced DIBL |
US6391752B1 (en) | 2000-09-12 | 2002-05-21 | Taiwan Semiconductor Manufacturing, Co., Ltd. | Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane |
US7064399B2 (en) | 2000-09-15 | 2006-06-20 | Texas Instruments Incorporated | Advanced CMOS using super steep retrograde wells |
US6891627B1 (en) | 2000-09-20 | 2005-05-10 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension and overlay of a specimen |
US6617217B2 (en) | 2000-10-10 | 2003-09-09 | Texas Instruments Incorpated | Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride |
JP2002198529A (en) | 2000-10-18 | 2002-07-12 | Hitachi Ltd | Semiconductor device and its manufacturing method |
US6448590B1 (en) | 2000-10-24 | 2002-09-10 | International Business Machines Corporation | Multiple threshold voltage FET using multiple work-function gate materials |
JP3950294B2 (en) | 2000-11-16 | 2007-07-25 | シャープ株式会社 | Semiconductor device |
DE10061191A1 (en) | 2000-12-08 | 2002-06-13 | Ihp Gmbh | Layers in substrate slices |
US6300177B1 (en) | 2001-01-25 | 2001-10-09 | Chartered Semiconductor Manufacturing Inc. | Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials |
US6852602B2 (en) * | 2001-01-31 | 2005-02-08 | Matsushita Electric Industrial Co., Ltd. | Semiconductor crystal film and method for preparation thereof |
JP2002237575A (en) | 2001-02-08 | 2002-08-23 | Sharp Corp | Semiconductor device and its manufacturing method |
US6797602B1 (en) | 2001-02-09 | 2004-09-28 | Advanced Micro Devices, Inc. | Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts |
US6787424B1 (en) | 2001-02-09 | 2004-09-07 | Advanced Micro Devices, Inc. | Fully depleted SOI transistor with elevated source and drain |
US6551885B1 (en) | 2001-02-09 | 2003-04-22 | Advanced Micro Devices, Inc. | Low temperature process for a thin film transistor |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6821852B2 (en) | 2001-02-13 | 2004-11-23 | Micron Technology, Inc. | Dual doped gates |
KR100393216B1 (en) | 2001-02-19 | 2003-07-31 | 삼성전자주식회사 | Method of fabricating Metal Oxide Semiconductor transistor with Lightly Doped Drain structure |
US6432754B1 (en) | 2001-02-20 | 2002-08-13 | International Business Machines Corporation | Double SOI device with recess etch and epitaxy |
US6534373B1 (en) * | 2001-03-26 | 2003-03-18 | Advanced Micro Devices, Inc. | MOS transistor with reduced floating body effect |
JP3940565B2 (en) | 2001-03-29 | 2007-07-04 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
JP2002299454A (en) | 2001-04-02 | 2002-10-11 | Toshiba Corp | Method and apparatus for designing logic circuit, and method for mapping logic circuit |
US6576535B2 (en) | 2001-04-11 | 2003-06-10 | Texas Instruments Incorporated | Carbon doped epitaxial layer for high speed CB-CMOS |
US6693333B1 (en) * | 2001-05-01 | 2004-02-17 | Advanced Micro Devices, Inc. | Semiconductor-on-insulator circuit with multiple work functions |
US6620671B1 (en) | 2001-05-01 | 2003-09-16 | Advanced Micro Devices, Inc. | Method of fabricating transistor having a single crystalline gate conductor |
US6586817B1 (en) | 2001-05-18 | 2003-07-01 | Sun Microsystems, Inc. | Device including a resistive path to introduce an equivalent RC circuit |
US6489224B1 (en) | 2001-05-31 | 2002-12-03 | Sun Microsystems, Inc. | Method for engineering the threshold voltage of a device using buried wells |
US6822297B2 (en) | 2001-06-07 | 2004-11-23 | Texas Instruments Incorporated | Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness |
US6500739B1 (en) | 2001-06-14 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect |
US6483375B1 (en) | 2001-06-28 | 2002-11-19 | Intel Corporation | Low power operation mechanism and method |
US6358806B1 (en) * | 2001-06-29 | 2002-03-19 | Lsi Logic Corporation | Silicon carbide CMOS channel |
JP4035354B2 (en) | 2001-07-11 | 2008-01-23 | 富士通株式会社 | Electronic circuit design method and apparatus, computer program, and storage medium |
US20040207011A1 (en) | 2001-07-19 | 2004-10-21 | Hiroshi Iwata | Semiconductor device, semiconductor storage device and production methods therefor |
JP2003031803A (en) | 2001-07-19 | 2003-01-31 | Matsushita Electric Ind Co Ltd | Semiconductor device and method for manufacturing it |
JP2003031813A (en) * | 2001-07-19 | 2003-01-31 | Matsushita Electric Ind Co Ltd | Semiconductor device |
JP2003086706A (en) | 2001-09-13 | 2003-03-20 | Sharp Corp | Semiconductor device and manufacturing method thereof, static random access memory device, and portable electronic equipment |
US6444551B1 (en) | 2001-07-23 | 2002-09-03 | Taiwan Semiconductor Manufacturing Company | N-type buried layer drive-in recipe to reduce pits over buried antimony layer |
JP2003086794A (en) * | 2001-09-11 | 2003-03-20 | Sharp Corp | Semiconductor device, manufacturing method therefor, and portable electronic device |
WO2003028110A1 (en) | 2001-09-14 | 2003-04-03 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device |
EP1428262A2 (en) | 2001-09-21 | 2004-06-16 | Amberwave Systems Corporation | Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same |
WO2003028106A2 (en) | 2001-09-24 | 2003-04-03 | Amberwave Systems Corporation | Rf circuits including transistors having strained material layers |
US6751519B1 (en) | 2001-10-25 | 2004-06-15 | Kla-Tencor Technologies Corporation | Methods and systems for predicting IC chip yield |
US6521470B1 (en) * | 2001-10-31 | 2003-02-18 | United Microelectronics Corp. | Method of measuring thickness of epitaxial layer |
US20050250289A1 (en) | 2002-10-30 | 2005-11-10 | Babcock Jeffrey A | Control of dopant diffusion from buried layers in bipolar integrated circuits |
US6770521B2 (en) | 2001-11-30 | 2004-08-03 | Texas Instruments Incorporated | Method of making multiple work function gates by implanting metals with metallic alloying additives |
US6760900B2 (en) | 2001-12-03 | 2004-07-06 | Anadigics Inc. | Integrated circuits with scalable design |
ITTO20011129A1 (en) | 2001-12-04 | 2003-06-04 | Infm Istituto Naz Per La Fisi | METHOD FOR THE SUPPRESSION OF THE ABNORMAL TRANSFER OF SILICON DROGANTS. |
US6849528B2 (en) * | 2001-12-12 | 2005-02-01 | Texas Instruments Incorporated | Fabrication of ultra shallow junctions from a solid source with fluorine implantation |
KR100794094B1 (en) * | 2001-12-28 | 2008-01-10 | 주식회사 하이닉스반도체 | Method of manufacturing a transistor in a semiconductor device |
US6662350B2 (en) | 2002-01-28 | 2003-12-09 | International Business Machines Corporation | FinFET layout generation |
US20030141033A1 (en) | 2002-01-31 | 2003-07-31 | Tht Presses Inc. | Semi-solid molding method |
US7919791B2 (en) | 2002-03-25 | 2011-04-05 | Cree, Inc. | Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same |
JP4597531B2 (en) | 2002-03-28 | 2010-12-15 | アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド | Semiconductor device with retrograde dopant distribution in channel region and method for manufacturing such semiconductor device |
DE10214066B4 (en) | 2002-03-28 | 2007-02-01 | Advanced Micro Devices, Inc., Sunnyvale | Semiconductor device having a retrograde doping profile in a channel region and method of making the same |
US6762469B2 (en) | 2002-04-19 | 2004-07-13 | International Business Machines Corporation | High performance CMOS device structure with mid-gap metal gate |
US6957163B2 (en) | 2002-04-24 | 2005-10-18 | Yoshiyuki Ando | Integrated circuits having post-silicon adjustment control |
KR100410574B1 (en) | 2002-05-18 | 2003-12-18 | 주식회사 하이닉스반도체 | Method of fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by decaborane doping |
KR100414736B1 (en) | 2002-05-20 | 2004-01-13 | 주식회사 하이닉스반도체 | A method for forming a transistor of a semiconductor device |
US6893947B2 (en) | 2002-06-25 | 2005-05-17 | Freescale Semiconductor, Inc. | Advanced RF enhancement-mode FETs with improved gate properties |
US6849492B2 (en) | 2002-07-08 | 2005-02-01 | Micron Technology, Inc. | Method for forming standard voltage threshold and low voltage threshold MOSFET devices |
US7673273B2 (en) | 2002-07-08 | 2010-03-02 | Tier Logic, Inc. | MPGA products based on a prototype FPGA |
US6743291B2 (en) | 2002-07-09 | 2004-06-01 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth |
JP4463482B2 (en) | 2002-07-11 | 2010-05-19 | パナソニック株式会社 | MISFET and manufacturing method thereof |
US7112856B2 (en) | 2002-07-12 | 2006-09-26 | Samsung Electronics Co., Ltd. | Semiconductor device having a merged region and method of fabrication |
US6869854B2 (en) | 2002-07-18 | 2005-03-22 | International Business Machines Corporation | Diffused extrinsic base and method for fabrication |
JP4020730B2 (en) | 2002-08-26 | 2007-12-12 | シャープ株式会社 | Semiconductor device and manufacturing method thereof |
KR100464935B1 (en) * | 2002-09-17 | 2005-01-05 | 주식회사 하이닉스반도체 | Method of fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by Boron-fluoride compound doping |
JP2004119513A (en) * | 2002-09-24 | 2004-04-15 | Toshiba Corp | Semiconductor device and its manufacturing method |
US7226843B2 (en) | 2002-09-30 | 2007-06-05 | Intel Corporation | Indium-boron dual halo MOSFET |
US6743684B2 (en) | 2002-10-11 | 2004-06-01 | Texas Instruments Incorporated | Method to produce localized halo for MOS transistor |
US6864135B2 (en) | 2002-10-31 | 2005-03-08 | Freescale Semiconductor, Inc. | Semiconductor fabrication process using transistor spacers of differing widths |
DE10251308B4 (en) | 2002-11-04 | 2007-01-18 | Advanced Micro Devices, Inc., Sunnyvale | Integrated switched capacitor circuit and method |
US6660605B1 (en) | 2002-11-12 | 2003-12-09 | Texas Instruments Incorporated | Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss |
JP3769262B2 (en) | 2002-12-20 | 2006-04-19 | 株式会社東芝 | Wafer flatness evaluation method, wafer flatness evaluation apparatus for executing the evaluation method, wafer manufacturing method using the evaluation method, wafer quality assurance method using the evaluation method, and semiconductor device manufacturing using the evaluation method And method for manufacturing semiconductor device using wafer evaluated by the evaluation method |
KR100486609B1 (en) | 2002-12-30 | 2005-05-03 | 주식회사 하이닉스반도체 | Method for fabricating pMOSFET having Ultra Shallow Super-Steep-Retrograde epi-channel formed by Multiple channel doping |
US7205758B1 (en) | 2004-02-02 | 2007-04-17 | Transmeta Corporation | Systems and methods for adjusting threshold voltage |
EP1579352A2 (en) | 2003-01-02 | 2005-09-28 | PDF Solutions, Inc. | Yield improvement |
US6963090B2 (en) | 2003-01-09 | 2005-11-08 | Freescale Semiconductor, Inc. | Enhancement mode metal-oxide-semiconductor field effect transistor |
JP2004214578A (en) | 2003-01-09 | 2004-07-29 | Matsushita Electric Ind Co Ltd | Semiconductor device |
JP4491605B2 (en) | 2003-02-19 | 2010-06-30 | 株式会社ルネサステクノロジ | Semiconductor integrated circuit device |
KR100499159B1 (en) | 2003-02-28 | 2005-07-01 | 삼성전자주식회사 | Semiconductor device having a recessed channel and method of manufacturing the same |
US20040175893A1 (en) | 2003-03-07 | 2004-09-09 | Applied Materials, Inc. | Apparatuses and methods for forming a substantially facet-free epitaxial film |
KR100989006B1 (en) | 2003-03-13 | 2010-10-20 | 크로스텍 캐피탈, 엘엘씨 | Method of manufacturing cmos image sensor |
JP4250144B2 (en) | 2003-03-19 | 2009-04-08 | サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト | Semiconductor device having highly doped channel conduction region and manufacturing method thereof |
US7294877B2 (en) * | 2003-03-28 | 2007-11-13 | Nantero, Inc. | Nanotube-on-gate FET structures and applications |
KR20050119662A (en) | 2003-03-28 | 2005-12-21 | 코닌클리즈케 필립스 일렉트로닉스 엔.브이. | Method of epitaxial deposition of an n-doped silicon layer |
SE0300924D0 (en) * | 2003-03-28 | 2003-03-28 | Infineon Technologies Wireless | A method to provide a triple well in an epitaxially based CMOS or BiCMOS process |
WO2004093192A1 (en) | 2003-04-10 | 2004-10-28 | Fujitsu Limited | Semiconductor device and its manufacturing method |
JP4469139B2 (en) | 2003-04-28 | 2010-05-26 | シャープ株式会社 | Compound semiconductor FET |
US7176137B2 (en) | 2003-05-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for multiple spacer width control |
US7652326B2 (en) * | 2003-05-20 | 2010-01-26 | Fairchild Semiconductor Corporation | Power semiconductor devices and methods of manufacture |
US6794235B1 (en) | 2003-06-05 | 2004-09-21 | Texas Instruments Incorporated | Method of manufacturing a semiconductor device having a localized halo implant |
JP4472633B2 (en) | 2003-06-10 | 2010-06-02 | 富士通マイクロエレクトロニクス株式会社 | Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device |
US6808994B1 (en) | 2003-06-17 | 2004-10-26 | Micron Technology, Inc. | Transistor structures and processes for forming same |
US7260562B2 (en) | 2003-06-30 | 2007-08-21 | Intel Corporation | Solutions for constraint satisfaction problems requiring multiple constraints |
US7036098B2 (en) | 2003-06-30 | 2006-04-25 | Sun Microsystems, Inc. | On-chip signal state duration measurement and adjustment |
EP1519421A1 (en) | 2003-09-25 | 2005-03-30 | Interuniversitair Microelektronica Centrum Vzw | Multiple gate semiconductor device and method for forming same |
KR20060056331A (en) | 2003-07-23 | 2006-05-24 | 에이에스엠 아메리카, 인코포레이티드 | Deposition of sige on silicon-on-insulator structures and bulk substrates |
KR20060071412A (en) | 2003-09-03 | 2006-06-26 | 코닌클리즈케 필립스 일렉트로닉스 엔.브이. | Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device |
US6930007B2 (en) | 2003-09-15 | 2005-08-16 | Texas Instruments Incorporated | Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance |
JP4186774B2 (en) | 2003-09-25 | 2008-11-26 | 沖電気工業株式会社 | Information extraction apparatus, information extraction method, and program |
US7127687B1 (en) | 2003-10-14 | 2006-10-24 | Sun Microsystems, Inc. | Method and apparatus for determining transistor sizes |
US7109099B2 (en) | 2003-10-17 | 2006-09-19 | Chartered Semiconductor Manufacturing Ltd. | End of range (EOR) secondary defect engineering using substitutional carbon doping |
US7274076B2 (en) | 2003-10-20 | 2007-09-25 | Micron Technology, Inc. | Threshold voltage adjustment for long channel transistors |
US7141468B2 (en) | 2003-10-27 | 2006-11-28 | Texas Instruments Incorporated | Application of different isolation schemes for logic and embedded memory |
US7057216B2 (en) | 2003-10-31 | 2006-06-06 | International Business Machines Corporation | High mobility heterojunction complementary field effect transistors and methods thereof |
US7132323B2 (en) | 2003-11-14 | 2006-11-07 | International Business Machines Corporation | CMOS well structure and method of forming the same |
US6927137B2 (en) | 2003-12-01 | 2005-08-09 | Texas Instruments Incorporated | Forming a retrograde well in a transistor to enhance performance of the transistor |
US7279743B2 (en) | 2003-12-02 | 2007-10-09 | Vishay-Siliconix | Closed cell trench metal-oxide-semiconductor field effect transistor |
EP1697978A1 (en) | 2003-12-18 | 2006-09-06 | Koninklijke Philips Electronics N.V. | A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same |
US7045456B2 (en) | 2003-12-22 | 2006-05-16 | Texas Instruments Incorporated | MOS transistor gates with thin lower metal silicide and methods for making the same |
US7015741B2 (en) | 2003-12-23 | 2006-03-21 | Intel Corporation | Adaptive body bias for clock skew compensation |
US7111185B2 (en) | 2003-12-23 | 2006-09-19 | Micron Technology, Inc. | Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit |
DE10360874B4 (en) * | 2003-12-23 | 2009-06-04 | Infineon Technologies Ag | Field effect transistor with hetero-layer structure and associated production method |
US7005333B2 (en) | 2003-12-30 | 2006-02-28 | Infineon Technologies Ag | Transistor with silicon and carbon layer in the channel region |
CN103199017B (en) | 2003-12-30 | 2016-08-03 | 飞兆半导体公司 | Form buried conductive layer method, material thickness control methods, form transistor method |
KR100597460B1 (en) | 2003-12-31 | 2006-07-05 | 동부일렉트로닉스 주식회사 | Transistor of semiconductor device and fabricating method thereof |
US6917237B1 (en) | 2004-03-02 | 2005-07-12 | Intel Corporation | Temperature dependent regulation of threshold voltage |
US7089515B2 (en) | 2004-03-09 | 2006-08-08 | International Business Machines Corporation | Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power |
US7176530B1 (en) | 2004-03-17 | 2007-02-13 | National Semiconductor Corporation | Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor |
US7089513B2 (en) | 2004-03-19 | 2006-08-08 | International Business Machines Corporation | Integrated circuit design for signal integrity, avoiding well proximity effects |
US7564105B2 (en) | 2004-04-24 | 2009-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Quasi-plannar and FinFET-like transistors on bulk silicon |
US7402207B1 (en) | 2004-05-05 | 2008-07-22 | Advanced Micro Devices, Inc. | Method and apparatus for controlling the thickness of a selective epitaxial growth layer |
JP4795653B2 (en) * | 2004-06-15 | 2011-10-19 | ルネサスエレクトロニクス株式会社 | Semiconductor memory device |
US7562233B1 (en) | 2004-06-22 | 2009-07-14 | Transmeta Corporation | Adaptive control of operating and body bias voltages |
US7221021B2 (en) | 2004-06-25 | 2007-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming high voltage devices with retrograde well |
US7491988B2 (en) * | 2004-06-28 | 2009-02-17 | Intel Corporation | Transistors with increased mobility in the channel zone and method of fabrication |
US7169675B2 (en) * | 2004-07-07 | 2007-01-30 | Chartered Semiconductor Manufacturing, Ltd | Material architecture for the fabrication of low temperature transistor |
US7462908B2 (en) * | 2004-07-14 | 2008-12-09 | International Rectifier Corporation | Dynamic deep depletion field effect transistor |
US7186622B2 (en) | 2004-07-15 | 2007-03-06 | Infineon Technologies Ag | Formation of active area using semiconductor growth process without STI integration |
US7119381B2 (en) | 2004-07-30 | 2006-10-10 | Freescale Semiconductor, Inc. | Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices |
DE102004037087A1 (en) | 2004-07-30 | 2006-03-23 | Advanced Micro Devices, Inc., Sunnyvale | Self-biasing transistor structure and SRAM cells with fewer than six transistors |
US7071103B2 (en) | 2004-07-30 | 2006-07-04 | International Business Machines Corporation | Chemical treatment to retard diffusion in a semiconductor overlayer |
US7002214B1 (en) * | 2004-07-30 | 2006-02-21 | International Business Machines Corporation | Ultra-thin body super-steep retrograde well (SSRW) FET devices |
US7846822B2 (en) | 2004-07-30 | 2010-12-07 | The Board Of Trustees Of The University Of Illinois | Methods for controlling dopant concentration and activation in semiconductor structures |
JP4469677B2 (en) | 2004-08-04 | 2010-05-26 | パナソニック株式会社 | Semiconductor device and manufacturing method thereof |
JP4664631B2 (en) | 2004-08-05 | 2011-04-06 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
US7189627B2 (en) * | 2004-08-19 | 2007-03-13 | Texas Instruments Incorporated | Method to improve SRAM performance and stability |
US8106481B2 (en) * | 2004-09-03 | 2012-01-31 | Rao G R Mohan | Semiconductor devices with graded dopant regions |
US20060049464A1 (en) | 2004-09-03 | 2006-03-09 | Rao G R Mohan | Semiconductor devices with graded dopant regions |
US7615808B2 (en) * | 2004-09-17 | 2009-11-10 | California Institute Of Technology | Structure for implementation of back-illuminated CMOS or CCD imagers |
JP4540438B2 (en) | 2004-09-27 | 2010-09-08 | 富士通セミコンダクター株式会社 | Semiconductor device and manufacturing method thereof |
US7332439B2 (en) * | 2004-09-29 | 2008-02-19 | Intel Corporation | Metal gate transistors with epitaxial source and drain regions |
US7095094B2 (en) * | 2004-09-29 | 2006-08-22 | Agere Systems Inc. | Multiple doping level bipolar junctions transistors and method for forming |
US7268049B2 (en) * | 2004-09-30 | 2007-09-11 | International Business Machines Corporation | Structure and method for manufacturing MOSFET with super-steep retrograded island |
JP4604637B2 (en) | 2004-10-07 | 2011-01-05 | ソニー株式会社 | Semiconductor device and manufacturing method of semiconductor device |
KR100652381B1 (en) | 2004-10-28 | 2006-12-01 | 삼성전자주식회사 | Multi bridge channel field effect transistor comprising nano-wire channels and method of manufacturing the same |
US7226833B2 (en) | 2004-10-29 | 2007-06-05 | Freescale Semiconductor, Inc. | Semiconductor device structure and method therefor |
DE102004053761A1 (en) | 2004-11-08 | 2006-05-18 | Robert Bosch Gmbh | Semiconductor device and method for its production |
US7402872B2 (en) | 2004-11-18 | 2008-07-22 | Intel Corporation | Method for forming an integrated circuit |
US20060113591A1 (en) | 2004-11-30 | 2006-06-01 | Chih-Hao Wan | High performance CMOS devices and methods for making same |
US7105399B1 (en) | 2004-12-07 | 2006-09-12 | Advanced Micro Devices, Inc. | Selective epitaxial growth for tunable channel thickness |
KR100642407B1 (en) | 2004-12-29 | 2006-11-08 | 주식회사 하이닉스반도체 | Method for manufacturing cell transistor in the memory |
KR100613294B1 (en) * | 2004-12-30 | 2006-08-21 | 동부일렉트로닉스 주식회사 | MOSFET improving the short channel effect and method of fabricating the same |
US20060154428A1 (en) | 2005-01-12 | 2006-07-13 | International Business Machines Corporation | Increasing doping of well compensating dopant region according to increasing gate length |
US7193279B2 (en) | 2005-01-18 | 2007-03-20 | Intel Corporation | Non-planar MOS structure with a strained channel region |
US20060166417A1 (en) * | 2005-01-27 | 2006-07-27 | International Business Machines Corporation | Transistor having high mobility channel and methods |
US7531436B2 (en) | 2005-02-14 | 2009-05-12 | Texas Instruments Incorporated | Highly conductive shallow junction formation |
US7404114B2 (en) | 2005-02-15 | 2008-07-22 | International Business Machines Corporation | System and method for balancing delay of signal communication paths through well voltage adjustment |
US20060203581A1 (en) | 2005-03-10 | 2006-09-14 | Joshi Rajiv V | Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions |
US7407850B2 (en) | 2005-03-29 | 2008-08-05 | Texas Instruments Incorporated | N+ poly on high-k dielectric for semiconductor devices |
JP4493536B2 (en) | 2005-03-30 | 2010-06-30 | 富士通マイクロエレクトロニクス株式会社 | Semiconductor device and manufacturing method thereof |
US7170120B2 (en) * | 2005-03-31 | 2007-01-30 | Intel Corporation | Carbon nanotube energy well (CNEW) field effect transistor |
US7338817B2 (en) | 2005-03-31 | 2008-03-04 | Intel Corporation | Body bias compensation for aged transistors |
US7271079B2 (en) | 2005-04-06 | 2007-09-18 | International Business Machines Corporation | Method of doping a gate electrode of a field effect transistor |
US7605429B2 (en) | 2005-04-15 | 2009-10-20 | International Business Machines Corporation | Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement |
US7446380B2 (en) | 2005-04-29 | 2008-11-04 | International Business Machines Corporation | Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS |
US7441211B1 (en) | 2005-05-06 | 2008-10-21 | Blaze Dfm, Inc. | Gate-length biasing for digital circuit optimization |
US20060273379A1 (en) * | 2005-06-06 | 2006-12-07 | Alpha & Omega Semiconductor, Ltd. | MOSFET using gate work function engineering for switching applications |
US7354833B2 (en) | 2005-06-10 | 2008-04-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for improving threshold voltage stability of a MOS device |
US20070040222A1 (en) * | 2005-06-15 | 2007-02-22 | Benjamin Van Camp | Method and apparatus for improved ESD performance |
US7190050B2 (en) | 2005-07-01 | 2007-03-13 | Synopsys, Inc. | Integrated circuit on corrugated substrate |
JP2007013025A (en) | 2005-07-04 | 2007-01-18 | Matsushita Electric Ind Co Ltd | Field effect transistor and its manufacturing method |
US7735452B2 (en) | 2005-07-08 | 2010-06-15 | Mks Instruments, Inc. | Sensor for pulsed deposition monitoring and control |
JP4800700B2 (en) | 2005-08-01 | 2011-10-26 | ルネサスエレクトロニクス株式会社 | Semiconductor device and semiconductor integrated circuit using the same |
US7409651B2 (en) | 2005-08-05 | 2008-08-05 | International Business Machines Corporation | Automated migration of analog and mixed-signal VLSI design |
US7314794B2 (en) | 2005-08-08 | 2008-01-01 | International Business Machines Corporation | Low-cost high-performance planar back-gate CMOS |
US7964921B2 (en) | 2005-08-22 | 2011-06-21 | Renesas Electronics Corporation | MOSFET and production method of semiconductor device |
US7307471B2 (en) | 2005-08-26 | 2007-12-11 | Texas Instruments Incorporated | Adaptive voltage control and body bias for performance and energy optimization |
US7838369B2 (en) | 2005-08-29 | 2010-11-23 | National Semiconductor Corporation | Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications |
JP2007073578A (en) | 2005-09-05 | 2007-03-22 | Matsushita Electric Ind Co Ltd | Semiconductor device and its manufacturing method |
JP2007103863A (en) | 2005-10-07 | 2007-04-19 | Nec Electronics Corp | Semiconductor device |
US7465642B2 (en) | 2005-10-28 | 2008-12-16 | International Business Machines Corporation | Methods for forming semiconductor structures with buried isolation collars |
US7569873B2 (en) | 2005-10-28 | 2009-08-04 | Dsm Solutions, Inc. | Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys |
JP4256381B2 (en) | 2005-11-09 | 2009-04-22 | 株式会社東芝 | Semiconductor device |
US8255843B2 (en) | 2005-11-14 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing strained-silicon semiconductor device |
US7462538B2 (en) | 2005-11-15 | 2008-12-09 | Infineon Technologies Ag | Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials |
US7759206B2 (en) | 2005-11-29 | 2010-07-20 | International Business Machines Corporation | Methods of forming semiconductor devices using embedded L-shape spacers |
WO2007070321A2 (en) * | 2005-12-09 | 2007-06-21 | Semequip Inc. | System and method for the manufacture of semiconductor devices by the implantation of carbon clusters |
KR20080089403A (en) * | 2005-12-22 | 2008-10-06 | 에이에스엠 아메리카, 인코포레이티드 | Epitaxial deposition of doped semiconductor materials |
KR100657130B1 (en) | 2005-12-27 | 2006-12-13 | 동부일렉트로닉스 주식회사 | Semiconductor device and fabrication method thereof |
US7633134B2 (en) * | 2005-12-29 | 2009-12-15 | Jaroslav Hynecek | Stratified photodiode for high resolution CMOS image sensor implemented with STI technology |
US7485536B2 (en) * | 2005-12-30 | 2009-02-03 | Intel Corporation | Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers |
JP5145691B2 (en) * | 2006-02-23 | 2013-02-20 | セイコーエプソン株式会社 | Semiconductor device |
US20070212861A1 (en) | 2006-03-07 | 2007-09-13 | International Business Machines Corporation | Laser surface annealing of antimony doped amorphized semiconductor region |
US7380225B2 (en) | 2006-03-14 | 2008-05-27 | International Business Machines Corporation | Method and computer program for efficient cell failure rate estimation in cell arrays |
JP5283827B2 (en) * | 2006-03-30 | 2013-09-04 | 富士通セミコンダクター株式会社 | Manufacturing method of semiconductor device |
US7351637B2 (en) | 2006-04-10 | 2008-04-01 | General Electric Company | Semiconductor transistors having reduced channel widths and methods of fabricating same |
US7681628B2 (en) * | 2006-04-12 | 2010-03-23 | International Business Machines Corporation | Dynamic control of back gate bias in a FinFET SRAM cell |
US7348629B2 (en) * | 2006-04-20 | 2008-03-25 | International Business Machines Corporation | Metal gated ultra short MOSFET devices |
US20070257315A1 (en) | 2006-05-04 | 2007-11-08 | International Business Machines Corporation | Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors |
KR100703986B1 (en) | 2006-05-22 | 2007-04-09 | 삼성전자주식회사 | Semiconductor device having analog transistor with improved both operation and flicker noise characteristics and fabrication method thereof |
WO2007136102A1 (en) | 2006-05-23 | 2007-11-29 | Nec Corporation | Semiconductor device, integrated circuit, and semiconductor manufacturing method |
US7384835B2 (en) | 2006-05-25 | 2008-06-10 | International Business Machines Corporation | Metal oxide field effect transistor with a sharp halo and a method of forming the transistor |
US7941776B2 (en) | 2006-05-26 | 2011-05-10 | Open-Silicon Inc. | Method of IC design optimization via creation of design-specific cells from post-layout patterns |
JP5073968B2 (en) | 2006-05-31 | 2012-11-14 | 住友化学株式会社 | Compound semiconductor epitaxial substrate and manufacturing method thereof |
US7503020B2 (en) | 2006-06-19 | 2009-03-10 | International Business Machines Corporation | IC layout optimization to improve yield |
US7469164B2 (en) | 2006-06-26 | 2008-12-23 | Nanometrics Incorporated | Method and apparatus for process control with in-die metrology |
US7538412B2 (en) | 2006-06-30 | 2009-05-26 | Infineon Technologies Austria Ag | Semiconductor device with a field stop zone |
GB0613289D0 (en) | 2006-07-04 | 2006-08-16 | Imagination Tech Ltd | Synchronisation of execution threads on a multi-threaded processor |
JP5090451B2 (en) | 2006-07-31 | 2012-12-05 | アプライド マテリアルズ インコーポレイテッド | Method for forming carbon-containing silicon epitaxial layer |
US7496862B2 (en) | 2006-08-29 | 2009-02-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for automatically modifying integrated circuit layout |
TW200821417A (en) | 2006-09-07 | 2008-05-16 | Sumco Corp | Semiconductor substrate for solid state imaging device, solid state imaging device, and method for manufacturing them |
US20080067589A1 (en) * | 2006-09-20 | 2008-03-20 | Akira Ito | Transistor having reduced channel dopant fluctuation |
JP2008085253A (en) | 2006-09-29 | 2008-04-10 | Oki Electric Ind Co Ltd | Semiconductor device manufacturing method |
US7683442B1 (en) * | 2006-09-29 | 2010-03-23 | Burr James B | Raised source/drain with super steep retrograde channel |
US7642150B2 (en) | 2006-11-08 | 2010-01-05 | Varian Semiconductor Equipment Associates, Inc. | Techniques for forming shallow junctions |
US7750374B2 (en) | 2006-11-14 | 2010-07-06 | Freescale Semiconductor, Inc | Process for forming an electronic device including a transistor having a metal gate electrode |
US7741200B2 (en) | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7696000B2 (en) | 2006-12-01 | 2010-04-13 | International Business Machines Corporation | Low defect Si:C layer with retrograde carbon profile |
US7821066B2 (en) | 2006-12-08 | 2010-10-26 | Michael Lebby | Multilayered BOX in FDSOI MOSFETS |
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US8217423B2 (en) | 2007-01-04 | 2012-07-10 | International Business Machines Corporation | Structure and method for mobility enhanced MOSFETs with unalloyed silicide |
US7416605B2 (en) | 2007-01-08 | 2008-08-26 | Freescale Semiconductor, Inc. | Anneal of epitaxial layer in a semiconductor device |
KR100819562B1 (en) | 2007-01-15 | 2008-04-08 | 삼성전자주식회사 | Semiconductor device having retrograde region and method of fabricating the same |
US20080169516A1 (en) | 2007-01-17 | 2008-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices for alleviating well proximity effects |
KR100862113B1 (en) | 2007-01-22 | 2008-10-09 | 삼성전자주식회사 | Device and method for controlling supply voltage/frequency using information of process variation |
US7644377B1 (en) | 2007-01-31 | 2010-01-05 | Hewlett-Packard Development Company, L.P. | Generating a configuration of a system that satisfies constraints contained in models |
KR100836767B1 (en) | 2007-02-05 | 2008-06-10 | 삼성전자주식회사 | Semiconductor device including mos transistor controling high voltage and method of forming the same |
KR101312259B1 (en) * | 2007-02-09 | 2013-09-25 | 삼성전자주식회사 | Thin film transistor and method for forming the same |
US7781288B2 (en) | 2007-02-21 | 2010-08-24 | International Business Machines Corporation | Semiconductor structure including gate electrode having laterally variable work function |
US7818702B2 (en) | 2007-02-28 | 2010-10-19 | International Business Machines Corporation | Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates |
US7831873B1 (en) | 2007-03-07 | 2010-11-09 | Xilinx, Inc. | Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits |
US7602017B2 (en) | 2007-03-13 | 2009-10-13 | Fairchild Semiconductor Corporation | Short channel LV, MV, and HV CMOS devices |
US7598142B2 (en) | 2007-03-15 | 2009-10-06 | Pushkar Ranade | CMOS device with dual-epi channels and self-aligned contacts |
JP2008235568A (en) | 2007-03-20 | 2008-10-02 | Toshiba Corp | Semiconductor device and its manufacturing method |
US8394687B2 (en) | 2007-03-30 | 2013-03-12 | Intel Corporation | Ultra-abrupt semiconductor junction profile |
US7496867B2 (en) | 2007-04-02 | 2009-02-24 | Lsi Corporation | Cell library management for power optimization |
US7737472B2 (en) | 2007-04-05 | 2010-06-15 | Panasonic Corporation | Semiconductor integrated circuit device |
CN101030602B (en) * | 2007-04-06 | 2012-03-21 | 上海集成电路研发中心有限公司 | MOS transistor for decreasing short channel and its production |
US7692220B2 (en) | 2007-05-01 | 2010-04-06 | Suvolta, Inc. | Semiconductor device storage cell structure, method of operation, and method of manufacture |
US7586322B1 (en) | 2007-05-02 | 2009-09-08 | Altera Corporation | Test structure and method for measuring mismatch and well proximity effects |
US20080272409A1 (en) | 2007-05-03 | 2008-11-06 | Dsm Solutions, Inc.; | JFET Having a Step Channel Doping Profile and Method of Fabrication |
US7604399B2 (en) | 2007-05-31 | 2009-10-20 | Siemens Energy, Inc. | Temperature monitor for bus structure flex connector |
US20080315206A1 (en) * | 2007-06-19 | 2008-12-25 | Herner S Brad | Highly Scalable Thin Film Transistor |
US7759714B2 (en) * | 2007-06-26 | 2010-07-20 | Hitachi, Ltd. | Semiconductor device |
JP5367703B2 (en) | 2007-06-28 | 2013-12-11 | サガンテック イスラエル リミテッド | Semiconductor layout correction method based on design rules and user constraints |
US7651920B2 (en) * | 2007-06-29 | 2010-01-26 | Infineon Technologies Ag | Noise reduction in semiconductor device using counter-doping |
KR100934789B1 (en) | 2007-08-29 | 2009-12-31 | 주식회사 동부하이텍 | Semiconductor device and manufacturing method thereof |
US7895546B2 (en) | 2007-09-04 | 2011-02-22 | Lsi Corporation | Statistical design closure |
US7795677B2 (en) * | 2007-09-05 | 2010-09-14 | International Business Machines Corporation | Nanowire field-effect transistors |
JP2009064860A (en) * | 2007-09-05 | 2009-03-26 | Renesas Technology Corp | Semiconductor device |
JP5242103B2 (en) | 2007-09-07 | 2013-07-24 | ルネサスエレクトロニクス株式会社 | Layout method of semiconductor integrated circuit |
US7675317B2 (en) | 2007-09-14 | 2010-03-09 | Altera Corporation | Integrated circuits with adjustable body bias and power supply circuitry |
US7926018B2 (en) | 2007-09-25 | 2011-04-12 | Synopsys, Inc. | Method and apparatus for generating a layout for a transistor |
US8053340B2 (en) | 2007-09-27 | 2011-11-08 | National University Of Singapore | Method for fabricating semiconductor devices with reduced junction diffusion |
US7704844B2 (en) | 2007-10-04 | 2010-04-27 | International Business Machines Corporation | High performance MOSFET |
US8329564B2 (en) | 2007-10-26 | 2012-12-11 | International Business Machines Corporation | Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method |
US7948008B2 (en) | 2007-10-26 | 2011-05-24 | Micron Technology, Inc. | Floating body field-effect transistors, and methods of forming floating body field-effect transistors |
DE102007052220B4 (en) | 2007-10-31 | 2015-04-09 | Globalfoundries Inc. | A dopant profile adjustment method for MOS devices by adjusting a spacer width prior to implantation |
JP5528667B2 (en) | 2007-11-28 | 2014-06-25 | ルネサスエレクトロニクス株式会社 | Semiconductor device and method for controlling semiconductor device |
US7994573B2 (en) | 2007-12-14 | 2011-08-09 | Fairchild Semiconductor Corporation | Structure and method for forming power devices with carbon-containing region |
US7745270B2 (en) | 2007-12-28 | 2010-06-29 | Intel Corporation | Tri-gate patterning using dual layer gate stack |
JP2009170472A (en) | 2008-01-10 | 2009-07-30 | Sharp Corp | Transistor, semiconductor device, and manufacturing method thereof |
US7622341B2 (en) | 2008-01-16 | 2009-11-24 | International Business Machines Corporation | Sige channel epitaxial development for high-k PFET manufacturability |
DE102008006961A1 (en) * | 2008-01-31 | 2009-08-27 | Advanced Micro Devices, Inc., Sunnyvale | A method of creating a deformed channel region in a transistor by deep implantation of a strain inducing species under the channel region |
DE102008007029B4 (en) | 2008-01-31 | 2014-07-03 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Operation of an electronic circuit with body-controlled dual-channel transistor and SRAM cell with body-controlled dual-channel transistor |
JP2011512677A (en) | 2008-02-14 | 2011-04-21 | マックスパワー・セミコンダクター・インコーポレイテッド | Semiconductor device structure and related processes |
FR2928028B1 (en) | 2008-02-27 | 2011-07-15 | St Microelectronics Crolles 2 | METHOD FOR MANUFACTURING A BENT GRID SEMICONDUCTOR DEVICE AND CORRESPONDING INTEGRATED CIRCUIT |
US7867835B2 (en) * | 2008-02-29 | 2011-01-11 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system for suppressing short channel effects |
US7750682B2 (en) | 2008-03-10 | 2010-07-06 | International Business Machines Corporation | CMOS back-gated keeper technique |
US7968440B2 (en) | 2008-03-19 | 2011-06-28 | The Board Of Trustees Of The University Of Illinois | Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering |
KR101502033B1 (en) | 2008-04-11 | 2015-03-12 | 삼성전자주식회사 | Current control circuit and method for ADC |
EP2112686B1 (en) | 2008-04-22 | 2011-10-12 | Imec | Method for fabricating a dual workfunction semiconductor device made thereof |
JP2009267159A (en) | 2008-04-25 | 2009-11-12 | Sumco Techxiv株式会社 | Device and method for manufacturing semiconductor wafer |
JP5173582B2 (en) * | 2008-05-19 | 2013-04-03 | 株式会社東芝 | Semiconductor device |
US8225255B2 (en) | 2008-05-21 | 2012-07-17 | International Business Machines Corporation | Placement and optimization of process dummy cells |
CN201194816Y (en) | 2008-05-28 | 2009-02-18 | 李建政 | Multifunctional beauty treatment needle |
DE102008026213B3 (en) | 2008-05-30 | 2009-09-24 | Advanced Micro Devices, Inc., Sunnyvale | Transistor e.g. n-channel metal oxide semiconductor transistor, manufacturing method, involves forming non-electrode material at side wall that is turned towards drain side of transistor |
FR2932609B1 (en) | 2008-06-11 | 2010-12-24 | Commissariat Energie Atomique | SOI TRANSISTOR WITH SELF-ALIGNED MASS PLAN AND GRID AND VARIABLE THICKNESS OXIDE |
US8471307B2 (en) | 2008-06-13 | 2013-06-25 | Texas Instruments Incorporated | In-situ carbon doped e-SiGeCB stack for MOS transistor |
US8129797B2 (en) | 2008-06-18 | 2012-03-06 | International Business Machines Corporation | Work function engineering for eDRAM MOSFETs |
US20100012988A1 (en) * | 2008-07-21 | 2010-01-21 | Advanced Micro Devices, Inc. | Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same |
US7951678B2 (en) * | 2008-08-12 | 2011-05-31 | International Business Machines Corporation | Metal-gate high-k reference structure |
DE102008045037B4 (en) | 2008-08-29 | 2010-12-30 | Advanced Micro Devices, Inc., Sunnyvale | Static RAM cell structure and multiple contact scheme for connecting dual-channel transistors |
US7927943B2 (en) * | 2008-09-12 | 2011-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for tuning a work function of high-k metal gate devices |
US8153482B2 (en) * | 2008-09-22 | 2012-04-10 | Sharp Laboratories Of America, Inc. | Well-structure anti-punch-through microwire device |
JP2012503886A (en) | 2008-09-25 | 2012-02-09 | アプライド マテリアルズ インコーポレイテッド | Defect-free junction formation using octadecaborane self-amorphizing implant species |
US20100100856A1 (en) | 2008-10-17 | 2010-04-22 | Anurag Mittal | Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics |
JP5519140B2 (en) | 2008-10-28 | 2014-06-11 | ルネサスエレクトロニクス株式会社 | Semiconductor device and manufacturing method thereof |
US7824986B2 (en) * | 2008-11-05 | 2010-11-02 | Micron Technology, Inc. | Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions |
US8103983B2 (en) * | 2008-11-12 | 2012-01-24 | International Business Machines Corporation | Electrically-driven optical proximity correction to compensate for non-optical effects |
US8170857B2 (en) | 2008-11-26 | 2012-05-01 | International Business Machines Corporation | In-situ design method and system for improved memory yield |
DE102008059501B4 (en) | 2008-11-28 | 2012-09-20 | Advanced Micro Devices, Inc. | Technique for improving the dopant profile and channel conductivity by millisecond annealing processes |
US20100148153A1 (en) | 2008-12-16 | 2010-06-17 | Hudait Mantu K | Group III-V devices with delta-doped layer under channel region |
US7960238B2 (en) | 2008-12-29 | 2011-06-14 | Texas Instruments Incorporated | Multiple indium implant methods and devices and integrated circuits therefrom |
DE102008063427B4 (en) | 2008-12-31 | 2013-02-28 | Advanced Micro Devices, Inc. | A method of selectively fabricating a transistor having an embedded strain inducing material having a gradually shaped configuration |
JP5350815B2 (en) * | 2009-01-22 | 2013-11-27 | 株式会社東芝 | Semiconductor device |
US7829402B2 (en) | 2009-02-10 | 2010-11-09 | General Electric Company | MOSFET devices and methods of making |
US20100207182A1 (en) | 2009-02-13 | 2010-08-19 | International Business Machines Corporation | Implementing Variable Threshold Voltage Transistors |
US8048791B2 (en) | 2009-02-23 | 2011-11-01 | Globalfoundries Inc. | Method of forming a semiconductor device |
US8163619B2 (en) | 2009-03-27 | 2012-04-24 | National Semiconductor Corporation | Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone |
US8178430B2 (en) | 2009-04-08 | 2012-05-15 | International Business Machines Corporation | N-type carrier enhancement in semiconductors |
US8214190B2 (en) | 2009-04-13 | 2012-07-03 | International Business Machines Corporation | Methodology for correlated memory fail estimations |
US7943457B2 (en) | 2009-04-14 | 2011-05-17 | International Business Machines Corporation | Dual metal and dual dielectric integration for metal high-k FETs |
JP2010258264A (en) | 2009-04-27 | 2010-11-11 | Toshiba Corp | Semiconductor integrated circuit device and method of designing the same |
US8183107B2 (en) | 2009-05-27 | 2012-05-22 | Globalfoundries Inc. | Semiconductor devices with improved local matching and end resistance of RX based resistors |
US8173499B2 (en) | 2009-06-12 | 2012-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating a gate stack integration of complementary MOS device |
US8227307B2 (en) | 2009-06-24 | 2012-07-24 | International Business Machines Corporation | Method for removing threshold voltage adjusting layer with external acid diffusion process |
CN101661889B (en) * | 2009-08-15 | 2011-09-07 | 北京大学深圳研究生院 | Manufacturing method of silicon MOS transistor on partially consumed insulating layer |
US8273617B2 (en) | 2009-09-30 | 2012-09-25 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
CN102034865B (en) | 2009-09-30 | 2012-07-04 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
US8421162B2 (en) | 2009-09-30 | 2013-04-16 | Suvolta, Inc. | Advanced transistors with punch through suppression |
US20110079861A1 (en) | 2009-09-30 | 2011-04-07 | Lucian Shifren | Advanced Transistors with Threshold Voltage Set Dopant Structures |
EP2309544B1 (en) | 2009-10-06 | 2019-06-12 | IMEC vzw | Tunnel field effect transistor with improved subthreshold swing |
US8552795B2 (en) | 2009-10-22 | 2013-10-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Substrate bias control circuit for system on chip |
WO2011062788A1 (en) | 2009-11-17 | 2011-05-26 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
US8114761B2 (en) * | 2009-11-30 | 2012-02-14 | Applied Materials, Inc. | Method for doping non-planar transistors |
US8598003B2 (en) | 2009-12-21 | 2013-12-03 | Intel Corporation | Semiconductor device having doped epitaxial region and its methods of fabrication |
TWI404209B (en) | 2009-12-31 | 2013-08-01 | Univ Nat Chiao Tung | High electron mobility transistor and method for fabricating the same |
US8343818B2 (en) | 2010-01-14 | 2013-01-01 | International Business Machines Corporation | Method for forming retrograded well for MOSFET |
KR20110085503A (en) * | 2010-01-20 | 2011-07-27 | 삼성전자주식회사 | Semiconductor device for supplying common source line with individual bias voltage |
US8697521B2 (en) | 2010-01-21 | 2014-04-15 | International Business Machines Corporation | Structure and method for making low leakage and low mismatch NMOSFET |
US8048810B2 (en) | 2010-01-29 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for metal gate N/P patterning |
US8288798B2 (en) | 2010-02-10 | 2012-10-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Step doping in extensions of III-V family semiconductor devices |
US20110212590A1 (en) | 2010-02-26 | 2011-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | High temperature implantation method for stressor formation |
US8436422B2 (en) * | 2010-03-08 | 2013-05-07 | Sematech, Inc. | Tunneling field-effect transistor with direct tunneling for enhanced tunneling current |
US8385147B2 (en) | 2010-03-30 | 2013-02-26 | Silicon Storage Technology, Inc. | Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features |
US8530286B2 (en) | 2010-04-12 | 2013-09-10 | Suvolta, Inc. | Low power semiconductor transistor structure and method of fabrication thereof |
US8176461B1 (en) | 2010-05-10 | 2012-05-08 | Xilinx, Inc. | Design-specific performance specification based on a yield for programmable integrated circuits |
US8201122B2 (en) | 2010-05-25 | 2012-06-12 | International Business Machines Corporation | Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes |
JP5614877B2 (en) | 2010-05-28 | 2014-10-29 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US8759872B2 (en) | 2010-06-22 | 2014-06-24 | Suvolta, Inc. | Transistor with threshold voltage set notch and method of fabrication thereof |
US8361872B2 (en) * | 2010-09-07 | 2013-01-29 | International Business Machines Corporation | High performance low power bulk FET device and method of manufacture |
JP2012060016A (en) | 2010-09-10 | 2012-03-22 | Renesas Electronics Corp | Evaluation method of semiconductor device, evaluation device, and simulation method |
US8450169B2 (en) | 2010-11-29 | 2013-05-28 | International Business Machines Corporation | Replacement metal gate structures providing independent control on work function and gate leakage current |
US8466473B2 (en) | 2010-12-06 | 2013-06-18 | International Business Machines Corporation | Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs |
US8656339B2 (en) | 2010-12-22 | 2014-02-18 | Advanced Micro Devices, Inc. | Method for analyzing sensitivity and failure probability of a circuit |
US8299562B2 (en) | 2011-03-28 | 2012-10-30 | Nanya Technology Corporation | Isolation structure and device structure including the same |
US8324059B2 (en) | 2011-04-25 | 2012-12-04 | United Microelectronics Corp. | Method of fabricating a semiconductor structure |
US8735987B1 (en) | 2011-06-06 | 2014-05-27 | Suvolta, Inc. | CMOS gate stack structures and processes |
US8645878B1 (en) | 2011-08-23 | 2014-02-04 | Suvolta, Inc. | Porting a circuit design from a first semiconductor process to a second semiconductor process |
TWI522548B (en) | 2012-09-13 | 2016-02-21 | Famosa Corp | The electronic control damping structure of fitness equipment |
-
2010
- 2010-09-30 US US12/895,813 patent/US8421162B2/en active Active
-
2011
- 2011-06-21 WO PCT/US2011/041165 patent/WO2011163169A1/en active Application Filing
- 2011-06-21 CN CN201180035830.2A patent/CN103038721B/en active Active
- 2011-06-21 TW TW100121611A patent/TWI543369B/en active
- 2011-06-21 KR KR1020137001668A patent/KR101817376B1/en active IP Right Grant
- 2011-06-21 CN CN201510494596.XA patent/CN105070716B/en active Active
- 2011-06-21 KR KR1020187000155A patent/KR101919737B1/en active IP Right Grant
- 2011-06-21 JP JP2013516663A patent/JP2013533624A/en active Pending
-
2013
- 2013-03-06 US US13/787,073 patent/US20130181298A1/en not_active Abandoned
-
2014
- 2014-02-24 US US14/188,218 patent/US9263523B2/en active Active
-
2015
- 2015-12-22 US US14/977,887 patent/US9508800B2/en active Active
-
2016
- 2016-10-20 US US15/298,913 patent/US10325986B2/en active Active
- 2016-12-06 JP JP2016236397A patent/JP6371822B2/en active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4518926A (en) * | 1982-12-20 | 1985-05-21 | At&T Bell Laboratories | Gate-coupled field-effect transistor pair amplifier |
US20060273299A1 (en) * | 2003-06-26 | 2006-12-07 | Rj Mears, Llc | Method for making a semiconductor device including a dopant blocking superlattice |
US20080079493A1 (en) * | 2006-09-28 | 2008-04-03 | Dsm Solutions, Inc. | Circuit and method for generating electrical solitons with junction field effect transistors |
US20120168864A1 (en) * | 2009-09-28 | 2012-07-05 | International Business Machines Corporation | Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9263522B2 (en) | 2013-12-09 | 2016-02-16 | Qualcomm Incorporated | Transistor with a diffusion barrier |
WO2019106475A1 (en) * | 2017-11-30 | 2019-06-06 | International Business Machines Corporation | Multi-state device based on ion trapping |
US10559463B2 (en) | 2017-11-30 | 2020-02-11 | International Business Machines Corporation | Multi-state device based on ion trapping |
CN111279467A (en) * | 2017-11-30 | 2020-06-12 | 国际商业机器公司 | Ion trap based multi-state device |
GB2581902A (en) * | 2017-11-30 | 2020-09-02 | Ibm | Multi-state device based in ion trapping |
US10886124B2 (en) | 2017-11-30 | 2021-01-05 | International Business Machines Corporation | Multi-state device based on ion trapping |
GB2581902B (en) * | 2017-11-30 | 2022-04-20 | Ibm | Multi-state device based in ion trapping |
Also Published As
Publication number | Publication date |
---|---|
KR101817376B1 (en) | 2018-01-11 |
TW201205811A (en) | 2012-02-01 |
KR20180005739A (en) | 2018-01-16 |
JP2017046016A (en) | 2017-03-02 |
US20140167156A1 (en) | 2014-06-19 |
CN103038721B (en) | 2015-08-19 |
US20110121404A1 (en) | 2011-05-26 |
JP2013533624A (en) | 2013-08-22 |
CN105070716B (en) | 2018-12-18 |
US9263523B2 (en) | 2016-02-16 |
US9508800B2 (en) | 2016-11-29 |
TWI543369B (en) | 2016-07-21 |
US10325986B2 (en) | 2019-06-18 |
US20170040419A1 (en) | 2017-02-09 |
WO2011163169A1 (en) | 2011-12-29 |
US8421162B2 (en) | 2013-04-16 |
JP6371822B2 (en) | 2018-08-08 |
KR101919737B1 (en) | 2018-11-16 |
CN105070716A (en) | 2015-11-18 |
KR20130088134A (en) | 2013-08-07 |
CN103038721A (en) | 2013-04-10 |
US20160181370A1 (en) | 2016-06-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10325986B2 (en) | Advanced transistors with punch through suppression | |
US20150340460A1 (en) | Advanced transistors with threshold voltage set dopant structures | |
US9006843B2 (en) | Source/drain extension control for advanced transistors | |
US8803242B2 (en) | High mobility enhancement mode FET | |
US7960798B2 (en) | Structure and method to form multilayer embedded stressors | |
US9966435B2 (en) | Body tied intrinsic FET | |
KR101178016B1 (en) | Advanced transistors with structured low dopant channels |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: SUVOLTA, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:THOMPSON, SCOTT E.;REEL/FRAME:029934/0402 Effective date: 20110606 Owner name: SUVOLTA, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIFREN, LUCIAN;RANADE, PUSHKAR;GREGORY, PAUL E.;AND OTHERS;SIGNING DATES FROM 20101207 TO 20101208;REEL/FRAME:029934/0309 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |
|
AS | Assignment |
Owner name: MIE FUJITSU SEMICONDUCTOR LIMITED, JAPAN Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SUVOLTA, INC.;REEL/FRAME:038049/0545 Effective date: 20150303 |