TWI543369B - 具有抑制穿通效應之先進電晶體 - Google Patents

具有抑制穿通效應之先進電晶體 Download PDF

Info

Publication number
TWI543369B
TWI543369B TW100121611A TW100121611A TWI543369B TW I543369 B TWI543369 B TW I543369B TW 100121611 A TW100121611 A TW 100121611A TW 100121611 A TW100121611 A TW 100121611A TW I543369 B TWI543369 B TW I543369B
Authority
TW
Taiwan
Prior art keywords
region
dopant
concentration
field effect
effect transistor
Prior art date
Application number
TW100121611A
Other languages
English (en)
Other versions
TW201205811A (en
Inventor
露西安 席弗倫
普西卡 蘭納德
保羅E 格列高里
史考特E 湯普森
沙琴R 桑庫沙爾
鄭偉銘
Original Assignee
三重富士通半導體股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三重富士通半導體股份有限公司 filed Critical 三重富士通半導體股份有限公司
Publication of TW201205811A publication Critical patent/TW201205811A/zh
Application granted granted Critical
Publication of TWI543369B publication Critical patent/TWI543369B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode

Description

具有抑制穿通效應之先進電晶體 相關申請案
本申請案主張2009年9月30申請之美國臨時申請案第61/247,300號之權益,該案之揭示內容以引用之方式併入本文。本申請案亦主張2009年11月17日申請之美國臨時申請案第61/262,122號之權益,該案之揭示內容以引用之方式併入本文,且主張2010年2月18日申請之標題名稱為「電子裝置與系統及其製造與使用方法」之美國專利申請案第12/708,497號之權益,該案之揭示內容以引用之方式併入本文。本申請案亦主張2010年6月22日申請之美國臨時申請案第61/357,492號之權益,該案之揭示內容以引用之方式併入本文。
發明領域
本揭示案係關於用於形成具有改良操作特性之先進電晶體的結構及製程,該等改良操作特性包括增強的抑制穿通效應。
發明背景
需要將更多電晶體裝配至單個晶粒上,以降低電子設備之成本並改良電子設備之功能能力。半導體製造商使用之共同策略為簡單地減小場效電晶體(FET)之閘極大小,且成比例地縮小電晶體源極、汲極及電晶體之間所需互連之區域。然而,簡單成比例縮小由於被稱為「短通道效應」之效應而致並非總是可行。當電晶體閘極下方之通道長度在量值上操作電晶體之空乏深度相當時,短通道效應尤其嚴重,且該等短通道效應包括臨界電壓之減少、嚴重表面散射、汲極引致位障降低(DIBL)、源極-汲極穿通及電子遷移率問題。
減緩一些短通道效應之習知解決方案可能涉及圍繞源極及汲極植入口袋植入物及暈輪植入物。暈輪植入物可為相對於電晶體源極及汲極對稱或非對稱,且該等暈輪植入物通常在電晶體井與源極及汲極之間提供較平滑之摻雜梯度。遺憾地是,儘管此等植入物改善諸如臨界電壓下降及汲極引致位障降低之一些電氣特性,但是所得增加的通道摻雜卻不利地影響電子遷移率,主要因為通道中增加的摻雜劑散射。
許多半導體製造商已試圖藉由使用新電晶體類型來減少短通道效應,該等新電晶體類型包括完全或部分空乏絕緣體上矽(SOI)電晶體。將SOI電晶體建置於覆在絕緣體層上面之矽之薄層上,該等SOI電晶體具有使短通道效應最小化之無摻雜或低摻雜的通道,且該等SOI電晶體不需要用於操作之深井植入物或暈輪植入物。遺憾地,建立適合絕緣體層為昂貴的且難以實現。早期SOI裝置係建置於絕緣藍寶石晶圓上而非矽晶圓上,且由於高成本,通常僅用在專業應用(例如軍用航空電子設備或衛星)中。現代SOI技術可使用矽晶圓,但是需要昂貴且費時的額外晶圓處理步驟,以製作絕緣氧化矽層,該絕緣氧化矽層在裝置品質單晶矽之表面層下方延伸跨越整個晶圓。
在矽晶圓上製作此氧化矽層之一個普通方法需要高劑量離子植入氧及高溫退火,以在塊體矽晶圓中形成埋藏氧化物(BOX)層。或者,可藉由將矽晶圓接合至另一矽晶圓(「操作」晶圓)來製造SOI晶圓,該另一矽晶圓在其表面上具有氧化物層。使用將BOX層之上的單晶矽之薄電晶體品質層留在操作晶圓上之製程,將該對晶圓分裂開。此稱為「層轉移」技術,因為該技術將矽之薄層轉移至操作晶圓之熱生長氧化物層上。
如將預期到者,BOX形成及層轉移二者皆為具有相當高失敗率之昂貴製造技術。因此,SOI電晶體之製造對於許多領先製造商並非為經濟上吸引人的解決方案。當將克服「浮體」效應、需要開發新SOI特定電晶體製程及其他電路變化的電晶體重新設計之成本添加至SOI晶圓成本時,顯然需要其他解決方案。
已研究之另一可能先進電晶體使用多閘極電晶體,如同SOI電晶體,該等多閘極電晶體藉由在通道中具有極少或沒有摻雜來最小化短通道效應。通常被稱為finFET(由於部分遭閘極圍繞的鰭狀形狀之通道),對於具有28奈米或更小電晶體閘極大小之電晶體而言,已提出finFET電晶體之使用。但是再次,如同SOI電晶體,儘管發展至完全新的電晶體架構解決了一些短通道效應問題,但是該架構造成其他問題,從而需要比SOI更顯著的電晶體佈局重新設計。慮及可能需要複雜非平面電晶體製造技術來製作finFET,及建立用於finFET之新製程流程中之未知困難,製造商已不願投資於能夠製作finFET之半導體製造設備。
發明概要
依據本發明之一實施例,係特地提出一種場效電晶體結構,包含:一井,該井經摻雜為具有一摻雜劑之一第一濃度;一屏蔽層,該屏蔽層植入至該井中,且具有大於每立方公分5×1018個摻雜劑原子之摻雜劑之一第二濃度;以及至少一個穿通抑制區域,該至少一個穿通抑制區域具有介於摻雜劑之該第一濃度與該第二濃度之間的一摻雜劑之一第三濃度,其中該穿通抑制區域定置在該閘極下方且在該屏蔽區域與該井之間。
依據本發明之另一實施例,係特地提出一種用於形成一場效電晶體結構之方法,該場效電晶體結構減少不利的穿通效應,該方法包含以下步驟:形成一井,該井經摻雜為具有一摻雜劑之一第一濃度;植入一屏蔽區域,該屏蔽區域具有大於每立方公分5×1018個摻雜劑原子之一摻雜劑濃度;以及在該井中形成一穿通抑制區域。
圖式簡單說明
第1圖圖示具有抑制穿通效應之DDC電晶體;第2圖圖示具有增強的抑制穿通效應之DDC電晶體之摻雜劑分佈輪廓;第3圖-第7圖圖示替代性有用摻雜劑分佈輪廓;以及第8圖為圖示用於形成具有抑制穿通效應之DDC電晶體之一個示例性製程的流程圖。
較佳實施例之詳細說明
不同於絕緣體上矽(SOI)電晶體,奈米級塊體CMOS電晶體(通常具有小於100奈米之閘極長度之電晶體)經受顯著不利的短通道效應,包括經由汲極引致位障降低(DIBL)與源極-汲極穿通之主體洩漏。穿通與源極及汲極空乏層之合併相關聯,從而使汲極空乏層延伸越過摻雜基體並到達源極空乏層,進而建立源極與汲極之間的傳導路徑或漏電流。此舉導致所需電晶體電功率之顯著增長,以及電晶體熱輸出之必然增加及使用此等電晶體之攜帶型或電池供電裝置之操作壽命之減少。
可製造於塊體CMOS基體上之改良電晶體參見於第1圖中。場效電晶體(FET) 100根據某些描述之實施例經組配以具有大幅減少之短通道效應及增強的抑制穿通效應。FET 100包括閘極電極102、源極104、汲極106及定置在通道110上方之閘極介電質108。在操作中,使通道110深空乏,從而形成與習知電晶體相比可被描述為深空乏通道(DDC)之通道,其中空乏深度部分由高摻雜屏蔽區域112設定。儘管通道110為大體上無摻雜的,且如圖所示通道110定置在高摻雜屏蔽區域112上方,但是通道110可包括具有不同摻雜劑濃度之簡單或複雜的分層。此摻雜分層可包括具有小於屏蔽區域112之摻雜劑濃度的臨界電壓設定區域111,臨界電壓設定區域111選擇性地於通道110中定置在閘極介電質108與屏蔽區域112之間。臨界電壓設定區域111容許FET 100之操作臨界電壓之小幅調整,同時使通道110之塊體大體上無摻雜。特定言之,鄰接於閘極介電質108之通道110之部分應保持無摻雜。另外,在屏蔽區域112之下形成穿通抑制區域113。如臨界電壓設定區域111,穿通抑制區域113具有之摻雜劑濃度小於屏蔽區域112而高於輕摻雜井基體114之整體摻雜劑濃度。
在操作中,可將偏壓122 VBS施加至源極104,以進一步修改操作臨界電壓,且可將P+端子126在連接124處連接至P型井114,以閉合電路。閘極堆疊包括閘極電極102、閘極接點118及閘極介電質108。包括閘極間隔物130,以使閘極與源極及汲極分離,且選擇性的源極/汲極延伸(SDE) 132或「尖端」使源極及汲極在閘極間隔物及閘極介電質108之下延伸,從而稍微減少閘極長度並改善FET 100之電氣特性。
在此示例性實施例中,FET 100係圖示為具有由N型摻雜劑材料製成之源極及汲極之N型通道電晶體,FET 100係形成於作為P型摻雜矽基體之基體之上,從而提供形成於基體116上之P型井114。然而,將理解,在適當改變基體或摻雜劑材料的情況下,可取代由諸如基於砷化鎵之材料之其他適合基體形成的非矽P型半導體電晶體。可使用習知摻雜劑植入製程及材料來形成源極104及汲極106,且源極104及汲極106可例如包括以下修改:諸如應力引致源極/汲極結構、凸起及/或凹入源極/汲極、非對稱摻雜、反摻雜或晶體結構修改的源極/汲極、或根據低摻雜汲極(LDD)技術之源極/汲極延伸區域之植入摻雜。亦可使用修改源極/汲極操作特性之各種其他技術,該等技術在某些實施例中包括使用異質摻雜劑材料作為補償摻雜劑來修改電氣特性。
閘極電極102可由習知材料形成,該等材料較佳包括但不限於金屬、金屬合金、金屬氮化物及金屬矽化物,以及該等材料之疊層及複合物。在某些實施例中,閘極電極102亦可由多晶矽形成,該多晶矽包括例如高摻雜多晶矽及多晶矽-鍺合金。金屬或金屬合金可包括含有鋁、鈦、鉭或其氮化物之彼等金屬或金屬合金,該等氮化物包括含有諸如氮化鈦之化合物之鈦。閘極電極102之形成可包括矽化方法、化學氣相沈積方法及物理氣相沈積方法,諸如但不限於蒸發方法及濺鍍方法。通常,閘極電極102具有約1奈米至約500奈米之整體厚度。
閘極介電質108可包括習知介電材料,諸如,氧化物、氮化物及氮氧化物。或者,閘極介電質108可包括通常較高介電常數之介電材料、基於金屬之介電材料及具有介電性質之其他材料,該等通常較高介電常數之介電材料包括但不限於氧化鉿、矽酸鉿、氧化鋯、氧化鑭、氧化鈦、鈦酸鍶鋇及鋯鈦酸鉛。較佳含鉿氧化物包括HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx及其類似物。取決於組合物及可用的沈積處理設備,可藉由諸如熱氧化或電漿氧化、氮化方法、化學氣相沈積方法(包括原子層沈積方法)及物理氣相沈積方法之方法來形成閘極介電質108。在一些實施例中,可使用多個或複合層、疊層及介電材料之成分混合物。舉例而言,閘極介電質可由具有介於約0.3 nm與1 nm之間的厚度之基於SiO2之絕緣體及具有介於0.5 nm與4 nm之間的厚度之基於氧化鉿之絕緣體形成。通常,閘極介電質具有約0.5奈米至約5奈米之整體厚度。
在閘極介電質108下方且在高摻雜屏蔽區域112上方形成通道區域110。通道區域110亦接觸源極104及汲極106,且在源極104與汲極106之間延伸。較佳地,通道區域包括大體上無摻雜的矽,該大體上無摻雜的矽鄰接或接近閘極介電質108具有小於每立方公分5×1017個摻雜劑原子之摻雜劑濃度。通道厚度可通常在5奈米至50奈米之範圍。在某些實施例中,藉由在屏蔽區域上磊晶生長純的或大體上純的矽來形成通道區域110。
如所揭示的,將臨界電壓設定區域111定置在屏蔽區域112上方,且通常將臨界電壓設定區域111形成為薄摻雜層。適當地改變摻雜劑濃度、厚度及使閘極介電質與屏蔽區域分離,在操作FET 100中允許臨界電壓之受控輕微調整。在某些實施例中,摻雜臨界電壓設定區域111,以具有介於約每立方公分1×1018個摻雜劑原子與約每立方公分1×1019個摻雜劑原子之間的濃度。可藉由若干不同製程來形成臨界電壓設定區域111,該等製程包括:1)原位磊晶摻雜,2)矽之薄層之磊晶生長繼之以緊密受控摻雜劑植入,3)矽之薄層之磊晶生長繼之以摻雜劑原子自屏蔽區域112之擴散,或4)藉由此等製程之任何組合(例如矽之磊晶生長繼之以摻雜劑植入與摻雜劑自屏蔽層112擴散)。
高摻雜屏蔽區域112之位置通常設定操作FET 100之空乏區之深度。有利地,以相當於閘極長度之深度(Lg/1)至閘極長度之較大分數之深度(Lg/5)之範圍的深度來設定屏蔽區域112(及相關聯空乏深度)。在較佳實施例中,典型範圍在Lg/3至Lg/1.5之間。具有Lg/2或更大之深度的裝置對於極低功率的操作較佳,而時常可使以較高電壓操作之數位或類比裝置形成有介於Lg/5與Lg/2之間的屏蔽區域。舉例而言,可形成具有32奈米之閘極長度之電晶體,以具有在閘極介電質下方約16奈米之深度(Lg/2)處具有峰值摻雜劑密度的屏蔽區域,且在8奈米之深度(Lg/4)處具有峰值摻雜劑密度之臨界電壓設定區域。
在某些實施例中,摻雜屏蔽區域112,以具有介於約每立方公分5×1018個摻雜劑原子與約每立方公分1×1020個摻雜劑原子之間的濃度,該濃度顯著大於無摻雜通道之摻雜劑濃度,且至少稍微大於選擇性臨界電壓設定區域111之摻雜劑濃度。如將瞭解到,可修改精確摻雜劑濃度及屏蔽區域深度,以改良FET 100之所要的操作特性,或慮及可用的電晶體製造程序及製程條件。
為幫助控制洩漏,在屏蔽區域112之下形成穿通抑制區域113。通常,藉由直接植入至輕摻雜井中來形成穿通抑制區域113,但是穿通抑制區域113係藉由自屏蔽區域向外擴散、原位生長或其他已知製程形成。如臨界電壓設定區域111,穿通抑制區域113具有小於屏蔽區域122之摻雜劑濃度,通常設定在約每立方公分1×1018個摻雜劑原子與約每立方公分1×1019個摻雜劑原子之間。另外,將穿通抑制區域113摻雜劑濃度設定為高於井基體之整體摻雜劑濃度。如將瞭解,可修改精確摻雜劑濃度及深度,以改良FET 100之所要的操作特性,或慮及可用的電晶體製造程序及製程條件。
與SOI或finFET電晶體相比,形成此FET 100相對簡單,因為可容易地調適良好發展且長期使用之平坦化CMOS處理技術。
同時,此等結構及製作該等結構之方法允許具有與習知奈米級裝置相比偏低之操作電壓與低臨界電壓之FET電晶體。此外,可組配DDC電晶體,以允許藉助於電壓主體偏壓產生器使臨界電壓得以靜態地設定。在一些實施例中,甚至可動態控制臨界電壓,從而允許電晶體漏電流得以大幅降低(藉由設定電壓偏壓,以向上調整用於低洩漏、低速操作之VT)或增加(藉由向下調整用於高洩漏、高速操作之VT)。最終,此等結構及製作結構之方法提供設計具有FET裝置之積體電路,當電路處於操作中時可動態調整該等FET裝置。因此,可將積體電路中之電晶體設計為具有標稱相同結構,且該等電晶體可經控制、調變或規劃,來回應於不同偏壓而以不同操作電壓操作,或回應於不同偏壓及操作電壓而以不同操作模式操作。另外,可在製造後組配此等電晶體以用於電路內之不同應用。
如將瞭解,依據實體及功能區域或層來描述植入或以其他方式存在於半導體之基體或結晶層中以修改半導體之實體及電氣特性的原子之濃度。熟習此項技術者可將此等區域或層理解為具有特定之濃度平均值的材料之三維塊體。或者,可將此等區域或層理解為具有不同或隨空間變化之濃度的子區域或子層。此等區域或層亦可作為摻雜劑原子之小群組、大體上類似的摻雜劑原子或其類似物之區域或其他實體實施例而存在。基於此等性質之區域之描述不意欲限制形狀、精確位置或方位。該等描述亦不意欲將此等區域或層限制於任何特定類型或數量之製程步驟、任何特定類型或數量之層(例如複合或單一的)、半導體沈積、蝕刻技術或使用之生長技術。此等製程可包括磊晶形成區域或原子層沈積、摻雜劑植入方法或特定豎直或橫向摻雜劑分佈輪廓,包括線性、單調增加、逆行或其他適合的空間變化摻雜劑濃度。為確保所要的摻雜劑濃度得以維持,涵蓋各種摻雜劑抗遷移技術,包括低溫處理、碳摻雜、原位摻雜劑沈積及先進快閃或其他退火技術。所得摻雜劑分佈輪廓可具有含不同摻雜劑濃度之一或更多區域或層,且濃度之變化及區域或層如何與製程無關地被界定,可能為或可能不為可經由技術來檢測,該等技術包括紅外光譜術、拉塞福背向散射(Rutherford Back Scattering;RBS)、二次離子質譜法(SIMS)或使用不同定性或定量摻雜劑濃度測定方法之其他摻雜劑分析工具。
為更好地瞭解一個可能的電晶體結構,第2圖圖示在源極與汲極之間且自閘極介電質至井向下延伸的中線處取得之深空乏電晶體之摻雜劑分佈輪廓202。以每立方公分摻雜劑原子之數量來量測濃度,且根據閘極長度Lg之比率來量測向下的深度。根據比率而非以奈米為單位之絕對深度來量測,更好地允許在不同節點(例如45 nm、32 nm、22 nm或15 nm)處製造之電晶體之間的交叉比較,其中通常依據最小閘極長度來界定節點。
如第2圖中所見,鄰接於閘極介電質之通道區域210為大體上無摻雜劑的,到達幾乎Lg/4之深度,具有少於每立方公分5×1017個摻雜劑原子。臨界電壓設定區域211使摻雜劑濃度增加至約每立方公分3×1018個摻雜劑原子,且使濃度增加另一數量級,至約每立方公分3×1019個摻雜劑原子,以形成屏蔽區域212,屏蔽區域212設定操作電晶體中之空乏區之基極。在約Lg/1之深度處具有約每立方公分1×1019個摻雜劑原子之摻雜劑濃度的穿通抑制區域213之區域為屏蔽區域與輕摻雜井214之間的中間區域。在沒有穿通抑制區域的情況下,經建構以具有例如30 nm閘極長度及1.0伏特之操作電壓之電晶體,將預期具有顯著更大的洩漏。當植入所揭示的穿通抑制區域213時,穿通洩漏減少,從而使得電晶體更為在功率上有效,且更好地能夠容忍電晶體結構中之製程變化而不發生穿通故障。
參閱以下表1可更好地看出此狀況,表1指示穿通劑量及臨界電壓之範圍之預期效能改良:
涵蓋替代性摻雜劑分佈輪廓。如第3圖中所見,圖示替代性摻雜劑分佈輪廓,該替代性摻雜劑分佈輪廓包括用於低摻雜通道之稍微增加的深度。與第3圖之實施例不同,臨界電壓設定區域211為主要藉由自屏蔽區域212外擴散至矽之磊晶沈積層中形成之淺缺口。屏蔽區域212本身經設定以具有大於每立方公分3×1019個摻雜劑原子之摻雜劑濃度。穿通抑制區域213具有約每立方公分8×1018個摻雜劑原子之摻雜劑濃度,該摻雜劑濃度由來自屏蔽區域212之外擴散與單獨低能植入之組合提供。
如第4圖中所見,圖示替代性摻雜劑分佈輪廓,該替代性摻雜劑分佈輪廓包括用於低摻雜通道之大為增加的深度。與第2圖及第3圖之實施例不同,不存在幫助臨界電壓設定之獨異缺口、平面或層。將屏蔽區域212設定為大於每立方公分3×1019個摻雜劑原子,且穿通抑制區域213具有約每立方公分8×1018個摻雜劑原子之類似高的但狹窄界定之摻雜劑濃度,該摻雜劑濃度由單獨低能植入提供。
摻雜劑分佈輪廓之另一變化參見於第5圖中,第5圖圖示用於包括極低摻雜通道210之電晶體結構之電晶體摻雜劑分佈輪廓205。藉由生長於屏蔽區域上之薄磊晶層之原位或良好受控植入摻雜來精確地形成臨界電壓設定區域211。將屏蔽區域212設定為約每立方公分1×1019個摻雜劑原子,且穿通抑制區域213亦具有約每立方公分8×1018個摻雜劑原子之狹窄界定之摻雜劑濃度,該摻雜劑濃度由單獨低能植入提供。將井植入214濃度逐漸減少至約每立方公分5×1017個摻雜劑原子。
如第6圖中所見,摻雜劑分佈輪廓206包括鄰接於閘極介電質之低摻雜通道210,及狹窄界定之臨界電壓設定區域211。屏蔽區域212增加至被設定為約每立方公分1×1019個摻雜劑原子之窄峰值,且穿通抑制區域213亦具有約每立方公分5×1018個摻雜劑原子之寬峰值摻雜劑濃度,該寬峰值摻雜劑濃度由單獨低能植入提供。井植入214濃度高,以改善電晶體之偏壓係數,其中濃度為約每立方公分8×1017個摻雜劑原子。
與第6圖之窄屏蔽區域峰值摻雜劑濃度不同,第7圖之摻雜劑分佈輪廓207具有寬峰值212。除狹窄無摻雜通道210之外,此電晶體結構包括良好界定之部分逆行臨界設定211及獨特單獨穿通抑制峰值213。井214摻雜濃度相對低,小於約每立方公分5×1017個摻雜劑原子。
第8圖為示意性製程流程圖300,製程流程圖300圖示用於形成具有穿通抑制區域及屏蔽區域之電晶體之一個示例性製程,該電晶體適合於不同類型之FET結構,該等FET結構包括類比電晶體與數位電晶體。在此所示之製程在描述上意欲為一般且廣泛的,以便不使本發明概念難以理解,且下文闡述更詳細實施例及實例。此等及其他製程步驟允許處理及製造包括DDC結構裝置及舊有裝置之積體電路,從而允許設計涵蓋具有改良的效能及降低的功率之全範圍之類比裝置及數位裝置。
在步驟302中,製程自井形成開始,該井形成可為根據不同實施例及實例之許多不同製程中之一個製程。如步驟303中所指示,井形成可在淺溝槽隔離(STI)形成304之前或之後,此取決於應用及所要的結果。硼(B)、銦(I)或其他P型材料可用於P型植入,且砷(As)或磷(P)及其他N型材料可用於N型植入。對於PMOS井植入而言,可在10 keV至80 keV之範圍內植入P+植入物,而對於NMOS井植入而言,可在0.5 keV至5 keV之範圍內且在1×1013/cm2至8×1013/cm2之濃度範圍內植入硼植入物B+。可在10 keV至60 keV之範圍內且以1×1014/cm2至5×1014/cm2之濃度來執行鍺植入物Ge+。為減少摻雜劑遷移,可在0.5 keV至5 keV範圍且以1×1013/cm2至8×1013/cm2之濃度來執行碳植入物C+。井植入可包括穿通抑制區域、具有比穿通抑制區域高的摻雜劑密度之屏蔽區域及臨界電壓設定區域之順序植入及/或磊晶生長及植入,先前所述之臨界電壓設定區域通常係藉由將摻雜劑植入或擴散至屏蔽區域上之生長磊晶層中而形成。
在一些實施例中,井形成302可包括Ge/B(N)、As(P)之射束線植入,繼之以磊晶(EPI)預清潔製程,且最終繼之以非選擇性的毯覆性EPI沈積,如302A中所示。或者,可使用B(N)、As(P)之電漿植入來形成井,該電漿植入繼之以EPI預清潔,此後最終繼之以非選擇性(毯覆性)EPI沈積,即302B。或者,井形成可包括B(N)、As(P)之固態源擴散,繼之以EPI預清潔,且最終繼之以非選擇性(毯覆性)EPI沈積,即302C。或者,井形成可包括B(N)、As(P)之固態源擴散,繼之以EPI預清潔,且最終繼之以非選擇性(毯覆性)EPI沈積,即302D。作為另一替代方法,井形成可簡單地包括井植入,繼之以B(N)、P(P)之原位摻雜選擇性EPI。本文描述之實施例允許數個裝置中之任一裝置,該等裝置係使用不同井結構且根據不同參數組配在共用基體上。
再次可發生在井形成302之前或之後的淺溝槽隔離(STI)形成304可包括以低於900℃之溫度的低溫溝槽犧牲氧化物(TSOX)襯裡。可以數個不同方式、由不同材料或由不同功函數來形成或以其他方式建構閘極堆疊306。一個選擇為多晶矽/SiON閘極堆疊306A。另一選擇為先閘極製程306B,該先閘極製程306B包括SiON/金屬/多晶矽及/或SiON/多晶矽,繼之以高介電常數/金屬閘極。另一選擇,後閘極製程306C,包括高介電常數/金屬閘極堆疊,其中可使用「先高介電常數後金屬閘極」流程或「後高介電常數後金屬閘極」流程來形成該閘極堆疊。另一選擇306D為金屬閘極,該金屬閘極包括取決於裝置構造N(NMOS)/P(PMOS)/N(PMOS)/P(NMOS)/中間隙或在之間的任何地方之可調諧範圍之功函數。在一個實例中,N具有4.05 V±200 mV之功函數(WF),而P具有5.01 V±200 mV之WF。
接著,在步驟308中,可取決於應用而植入源極/汲極尖端,或選擇性地可不植入該等尖端。可根據需要改變尖端之尺寸,且該等尖端之尺寸將部分取決於是否使用閘極間隔物(SPCR)。在一個選擇中,在308A中可不存在尖端植入。接著,在選擇性步驟310及選擇性步驟312中,可在源極及汲極區域中將PMOS或NMOS EPI層形成為用於建立應變通道之效能增強器。對於後閘極之閘極堆疊選擇而言,在步驟314中,形成後閘極模組。此舉可能僅用於後閘極製程314A。
本發明涵蓋支援多個電晶體類型之晶粒,該多個電晶體類型包括有及沒有抑制穿通效應之電晶體類型、具有不同臨界電壓之電晶體類型以及有及沒有靜態或動態偏壓之電晶體類型。使用本文描述之方法可將單晶片系統(SoC)、先進微處理器、射頻、記憶體及具有一或更多數位電晶體及類比電晶體組態之其他晶粒併入一裝置中。根據本文論述之方法及製程,可使用塊體CMOS在矽上生產具有含或不含穿通抑制效應之DDC及/或電晶體裝置及結構之各種組合的系統。在不同實施例中,可將晶粒分為一或更多區域,其中動態偏壓結構、靜態偏壓結構或無偏壓結構單獨存在或以一些組合存在。在動態偏壓區段中,例如,動態可調整裝置可與高VT裝置及低VT裝置一起存在,且可能與DDC邏輯裝置一起存在。
儘管已描述且在隨附圖式中圖示某些示例性實施例,但是應理解,此等實施例僅說明廣義發明而非加以限制,且本發明不限於所示並描述之特定構造及佈置,因為一般熟於此技術者可想到各種其他修改。因此,本說明書及圖式將以說明性意義而非限制性意義視之。
100...場效電晶體(FET)
102...閘極電極
104...源極
106...汲極
108...閘極介電質
110...通道/通道區域
111...臨界電壓設定區域
112...高摻雜屏蔽區域/屏蔽區域/屏蔽層
113...穿通抑制區域
114...輕摻雜井基體/P型井
116...基體
118...閘極接點
122、VBS...偏壓
124...連接
126...P+端子
130...閘極間隔物
132...源極/汲極延伸
202、206、207...摻雜劑分佈輪廓
205...電晶體摻雜劑分佈輪廓
210...窄無摻雜通道/通道區域/低摻雜通道
211...臨界電壓設定區域/良好界定之部分逆行臨界設定
212...屏蔽區域/寬峰值
213...穿通抑制區域/穿通抑制/穿通抑制峰值
214...輕摻雜井/井植入/井
300...製程流程圖
302...步驟/井形成
302A~D、303、306、308、308A、314‧‧‧步驟
304‧‧‧步驟/淺溝槽隔離形成
306A‧‧‧多晶矽/SiON閘極堆疊
306B‧‧‧先閘極製程
306C、314A‧‧‧後閘極製程
306D‧‧‧金屬閘極
310~312‧‧‧選擇性步驟
LG‧‧‧閘極長度
第1圖圖示具有抑制穿通效應之DDC電晶體;
第2圖圖示具有增強的抑制穿通效應之DDC電晶體之摻雜劑分佈輪廓;
第3圖-第7圖圖示替代性有用摻雜劑分佈輪廓;以及
第8圖為圖示用於形成具有抑制穿通效應之DDC電晶體之一個示例性製程的流程圖。
100...場效電晶體(FET)
102...閘極電極
104...源極
106...汲極
108...閘極介電質
110...通道/通道區域
111...臨界電壓設定區域
112...高摻雜屏蔽區域/屏蔽區域/屏蔽層
113...穿通抑制區域
114...輕摻雜井基體/P型井
116...基體
118...閘極接點
122、VBS...偏壓
124...連接
126...P+端子
130...閘極間隔物
132...源極/汲極延伸
LG...閘極長度

Claims (11)

  1. 一種場效電晶體結構,具有一位在一具有長度Lg之閘極下方的閘極介電質,而該場效電晶體結構包含:一基體;在該基體中之一井,其係經摻雜為具有一摻雜劑之一第一濃度;一無摻雜的通道,其位在該閘極介電質下方且延伸至一源極與一汲極;一屏蔽區域,其位在該井中並位在該閘極介電質下方,該屏蔽區域延伸至該源極與該汲極,且具有大於每立方公分5×1018個摻雜劑原子之摻雜劑之一第二濃度;至少一個穿通抑制區域,其具有介於摻雜劑之該第一濃度與該第二濃度之間的一摻雜劑之一第三濃度,其中該穿通抑制區域定置在該井中並位在該閘極介電質下方且在該屏蔽區域之下;以及一臨界電壓設定區域,其具有介於該第二濃度與每立方公分5×1017個摻雜劑原子之間的一第四摻雜劑濃度,其中該臨界電壓設定區域定置在該閘極介電質下方且與之相間隔開並定置在該屏蔽區域上方,該臨界電壓設定區域延伸至該源極與該汲極。
  2. 如申請專利範圍第1項之場效電晶體結構,其中該屏蔽區域層定置在該閘極介電質下方且在介於Lg/5與Lg/1之間的深度。
  3. 如申請專利範圍第1項之場效電晶體結構,其中該屏蔽區 域層定置在該閘極介電質下方且在大於Lg/2的深度。
  4. 如申請專利範圍第1項之場效電晶體結構,其中該通道包含一磊晶成長層。
  5. 如申請專利範圍第1項之場效電晶體結構,其中該通道係被形成為一毯覆性磊晶層。
  6. 如申請專利範圍第1項之場效電晶體結構,其中該屏蔽區域係設定該場效電晶體結構的空乏深度。
  7. 如申請專利範圍第1項之場效電晶體結構,其中該臨界電壓設定區域係被形成為一磊晶層。
  8. 如申請專利範圍第1項之場效電晶體結構,進一步包含一淺溝槽隔離區域。
  9. 一種用於形成場效電晶體結構之方法,係用於形成如請求項1之場效電晶體結構之方法,該方法包含以下步驟:形成一井,該井經摻雜為具有一摻雜劑之一第一濃度;植入一屏蔽區域,該屏蔽區域具有大於每立方公分5×1018個摻雜劑原子之一摻雜劑濃度;在該井中形成一穿通抑制區域;在該屏蔽區域之上生長一毯覆性磊晶層,此步驟包括以下步驟:藉由摻雜劑之直接植入、自該屏蔽區域擴散或原位沈積中之一或更多方法來摻雜鄰接於該屏蔽區域之該毯覆性磊晶層之一部分,以形成一臨界電壓設定層。
  10. 如申請專利範圍第9項之方法,進一步包含以下步驟:在 於該屏蔽區域之上生長一磊晶毯覆性層之步驟之後,使用淺溝槽隔離來將該場效電晶體隔離。
  11. 如申請專利範圍第9項之方法,其中形成一穿通抑制區域之步驟進一步包含以下步驟:藉由直接植入及/或自該屏蔽區域擴散來摻雜鄰接於該屏蔽區域之層體之一部分。
TW100121611A 2010-06-22 2011-06-21 具有抑制穿通效應之先進電晶體 TWI543369B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35749210P 2010-06-22 2010-06-22
US12/895,813 US8421162B2 (en) 2009-09-30 2010-09-30 Advanced transistors with punch through suppression

Publications (2)

Publication Number Publication Date
TW201205811A TW201205811A (en) 2012-02-01
TWI543369B true TWI543369B (zh) 2016-07-21

Family

ID=45443199

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100121611A TWI543369B (zh) 2010-06-22 2011-06-21 具有抑制穿通效應之先進電晶體

Country Status (6)

Country Link
US (5) US8421162B2 (zh)
JP (2) JP2013533624A (zh)
KR (2) KR101817376B1 (zh)
CN (2) CN105070716B (zh)
TW (1) TWI543369B (zh)
WO (1) WO2011163169A1 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5306193B2 (ja) * 2006-06-29 2013-10-02 クリー インコーポレイテッド p型チャネルを含む炭化シリコンスイッチングデバイスおよびその形成方法
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5643555B2 (ja) 2010-07-07 2014-12-17 キヤノン株式会社 固体撮像装置及び撮像システム
JP5751766B2 (ja) 2010-07-07 2015-07-22 キヤノン株式会社 固体撮像装置および撮像システム
JP5697371B2 (ja) * 2010-07-07 2015-04-08 キヤノン株式会社 固体撮像装置および撮像システム
JP5645513B2 (ja) 2010-07-07 2014-12-24 キヤノン株式会社 固体撮像装置及び撮像システム
JP5885401B2 (ja) 2010-07-07 2016-03-15 キヤノン株式会社 固体撮像装置および撮像システム
JP5656484B2 (ja) 2010-07-07 2015-01-21 キヤノン株式会社 固体撮像装置および撮像システム
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748986B1 (en) * 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
TWI571936B (zh) * 2011-10-26 2017-02-21 聯華電子股份有限公司 具有鰭狀結構之場效電晶體的結構及其製作方法
KR101894221B1 (ko) 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8673731B2 (en) * 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US8932918B2 (en) 2012-08-29 2015-01-13 International Business Machines Corporation FinFET with self-aligned punchthrough stopper
US8637955B1 (en) * 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9082853B2 (en) 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
JP6100535B2 (ja) * 2013-01-18 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9917168B2 (en) * 2013-06-27 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal oxide semiconductor field effect transistor having variable thickness gate dielectric
US9299702B2 (en) * 2013-09-24 2016-03-29 Samar Saha Transistor structure and method with an epitaxial layer over multiple halo implants
US9263522B2 (en) 2013-12-09 2016-02-16 Qualcomm Incorporated Transistor with a diffusion barrier
US9276113B2 (en) 2014-03-10 2016-03-01 International Business Corporation Structure and method to make strained FinFET with improved junction capacitance and low leakage
US9559191B2 (en) 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
US10559469B2 (en) * 2014-04-22 2020-02-11 Texas Instruments Incorporated Dual pocket approach in PFETs with embedded SI-GE source/drain
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US9319013B2 (en) * 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9899514B2 (en) 2015-05-21 2018-02-20 Globalfoundries Singapore Pte. Ltd. Extended drain metal-oxide-semiconductor transistor
US20180076281A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Deep channel isolated drain metal-oxide-semiconductor transistors
US20180076280A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Shallow drain metal-oxide-semiconductor transistors
TWI621273B (zh) * 2017-04-27 2018-04-11 立錡科技股份有限公司 具有可調整臨界電壓之高壓空乏型mos元件及其製造方法
US10559463B2 (en) 2017-11-30 2020-02-11 International Business Machines Corporation Multi-state device based on ion trapping
KR102639769B1 (ko) * 2018-11-22 2024-02-26 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
US11652143B2 (en) * 2019-03-28 2023-05-16 Intel Corporation III-N transistors integrated with thin-film transistors having graded dopant concentrations and/or composite gate dielectrics

Family Cites Families (520)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4021835A (en) 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
EP0024905B1 (en) 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
US4315781A (en) 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
JPS5848936A (ja) 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066A (ja) 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd Mos型半導体装置
JPS59193066U (ja) 1983-06-08 1984-12-21 三菱電機株式会社 エレベ−タの防犯テレビカメラ
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPS62128175A (ja) 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
JPH0770606B2 (ja) 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
ATE58030T1 (de) 1986-06-10 1990-11-15 Siemens Ag Verfahren zum herstellen von hochintegrierten komplementaeren mosfeldeffekttransistorschaltungen.
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (de) 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JPS63305566A (ja) * 1987-06-05 1988-12-13 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
US5298435A (en) 1990-04-18 1994-03-29 National Semiconductor Corporation Application of electronic properties of germanium to inhibit n-type or p-type diffusion in silicon
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
JPH04179160A (ja) 1990-11-09 1992-06-25 Hitachi Ltd 半導体装置
JPH04186774A (ja) 1990-11-21 1992-07-03 Hitachi Ltd 半導体装置
JP2899122B2 (ja) 1991-03-18 1999-06-02 キヤノン株式会社 絶縁ゲートトランジスタ及び半導体集積回路
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JP3146045B2 (ja) 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
JPH0697432A (ja) 1992-09-10 1994-04-08 Hitachi Ltd 半導体装置およびその製造方法
US5374569A (en) * 1992-09-21 1994-12-20 Siliconix Incorporated Method for forming a BiCDMOS
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
JP3200231B2 (ja) * 1992-12-14 2001-08-20 株式会社東芝 半導体装置の製造方法
US5426279A (en) 1993-06-21 1995-06-20 Dasgupta; Sankar Heating rate regulator
US5298457A (en) 1993-07-01 1994-03-29 G. I. Corporation Method of making semiconductor devices using epitaxial techniques to form Si/Si-Ge interfaces and inverting the material
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
WO1995022093A1 (en) 1994-02-14 1995-08-17 Philips Electronics N.V. A reference circuit having a controlled temperature dependence
JPH07312423A (ja) 1994-05-17 1995-11-28 Hitachi Ltd Mis型半導体装置
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
JP2701762B2 (ja) 1994-11-28 1998-01-21 日本電気株式会社 半導体装置及びその製造方法
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH08172187A (ja) * 1994-12-16 1996-07-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
JP2780670B2 (ja) 1995-04-14 1998-07-30 日本電気株式会社 エピタキシャルチャネルmosトランジスタの製造方法
JPH08293557A (ja) 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
JPH098296A (ja) 1995-06-23 1997-01-10 Hitachi Ltd 半導体装置
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
JPH09121049A (ja) 1995-10-25 1997-05-06 Sony Corp 半導体装置
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
JPH11500873A (ja) 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JP3420879B2 (ja) * 1996-03-06 2003-06-30 沖電気工業株式会社 pMOSの製造方法、及びCMOSの製造方法
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
JPH10189766A (ja) 1996-10-29 1998-07-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびに半導体ウエハおよびその製造方法
JPH10135348A (ja) 1996-11-05 1998-05-22 Fujitsu Ltd 電界効果型半導体装置
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JP4521619B2 (ja) 1996-11-21 2010-08-11 ルネサスエレクトロニクス株式会社 低電力プロセッサ
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
DE19706789C2 (de) * 1997-02-20 1999-10-21 Siemens Ag CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
JP4253052B2 (ja) 1997-04-08 2009-04-08 株式会社東芝 半導体装置
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218895B1 (en) 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6194259B1 (en) 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US6723621B1 (en) 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US5879998A (en) * 1997-07-09 1999-03-09 Advanced Micro Devices, Inc. Adaptively controlled, self-aligned, short channel device and method for manufacturing same
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
JP3009102B2 (ja) * 1997-11-12 2000-02-14 日本電気株式会社 半導体装置、その製造方法、及び差動増幅装置
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
JP4278202B2 (ja) 1998-03-27 2009-06-10 株式会社ルネサステクノロジ 半導体装置の設計方法、半導体装置及び記録媒体
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) * 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6380019B1 (en) 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6184112B1 (en) 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
JP2000243958A (ja) 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
JP2000299462A (ja) 1999-04-15 2000-10-24 Toshiba Corp 半導体装置及びその製造方法
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6501131B1 (en) * 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6503801B1 (en) 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
DE19940362A1 (de) 1999-08-25 2001-04-12 Infineon Technologies Ag MOS-Transistor und Verfahren zu dessen Herstellung
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
WO2002001641A1 (fr) 2000-06-27 2002-01-03 Matsushita Electric Industrial Co., Ltd. Dispositif semi-conducteur
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
JP2001068674A (ja) 2000-08-10 2001-03-16 Canon Inc 絶縁ゲートトランジスタ及び半導体集積回路
JP2002057331A (ja) * 2000-08-11 2002-02-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
JP2002198529A (ja) 2000-10-18 2002-07-12 Hitachi Ltd 半導体装置およびその製造方法
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
JP3950294B2 (ja) 2000-11-16 2007-07-25 シャープ株式会社 半導体装置
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
WO2002061842A1 (fr) 2001-01-31 2002-08-08 Matsushita Electric Industrial Co., Ltd. Film cristallin a semi-conducteurs
JP2002237575A (ja) 2001-02-08 2002-08-23 Sharp Corp 半導体装置及びその製造方法
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6693333B1 (en) 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6483375B1 (en) 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6358806B1 (en) 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
JP2003031803A (ja) 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2003086706A (ja) 2001-09-13 2003-03-20 Sharp Corp 半導体装置及びその製造方法、スタティック型ランダムアクセスメモリ装置並びに携帯電子機器
WO2003009385A1 (fr) 2001-07-19 2003-01-30 Sharp Kabushiki Kaisha Dispositif a semi-conducteur, dispositif de stockage a semi-conducteur et procedes de production associes
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
JP2003086794A (ja) * 2001-09-11 2003-03-20 Sharp Corp 半導体装置及びその製造方法、並びに携帯電子機器
WO2003028110A1 (fr) 2001-09-14 2003-04-03 Matsushita Electric Industrial Co., Ltd. Semi-conducteur
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6933518B2 (en) 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
KR100794094B1 (ko) * 2001-12-28 2008-01-10 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
DE10214066B4 (de) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
EP1488461A1 (en) 2002-03-28 2004-12-22 Advanced Micro Devices, Inc. Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US6893947B2 (en) 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US7112856B2 (en) 2002-07-12 2006-09-26 Samsung Electronics Co., Ltd. Semiconductor device having a merged region and method of fabrication
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
JP2004214578A (ja) 2003-01-09 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置
JP4491605B2 (ja) 2003-02-19 2010-06-30 株式会社ルネサステクノロジ 半導体集積回路装置
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
SE0300924D0 (sv) 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
KR20050119662A (ko) 2003-03-28 2005-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. N-도핑된 규소 층의 에피택시얼 증착 방법
CN100514650C (zh) 2003-04-10 2009-07-15 富士通微电子株式会社 半导体装置及其制造方法
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
WO2004112145A1 (ja) 2003-06-10 2004-12-23 Fujitsu Limited パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US20060273299A1 (en) * 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
WO2005010946A2 (en) 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7521323B2 (en) 2003-09-03 2009-04-21 Nxp B.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
WO2005062354A1 (en) 2003-12-18 2005-07-07 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
DE10360874B4 (de) 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
JP4903055B2 (ja) 2003-12-30 2012-03-21 フェアチャイルド・セミコンダクター・コーポレーション パワー半導体デバイスおよびその製造方法
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (ko) 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (ja) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7002214B1 (en) 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US8106481B2 (en) 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
WO2006137866A2 (en) 2004-09-17 2006-12-28 Bedabrata Pain Back- illuminated cmos or ccd imaging device structure
JP4540438B2 (ja) * 2004-09-27 2010-09-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7170120B2 (en) 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7271079B2 (en) 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP2007013025A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 電界効果型トランジスタおよびその製造方法
US7735452B2 (en) 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
WO2007023979A1 (ja) 2005-08-22 2007-03-01 Nec Corporation Mosfetおよび半導体装置の製造方法
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
EP1958245B1 (en) 2005-12-09 2013-10-16 Semequip, Inc. Method for the manufacture of semiconductor devices by the implantation of carbon clusters
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US20090321849A1 (en) 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
WO2008029918A1 (fr) 2006-09-07 2008-03-13 Sumco Corporation Substrat à semi-conducteurs pour dispositif de formation d'image à semi-conducteurs, dispositif de formation d'image à semi-conducteurs et procédé pour les fabriquer
US20080067589A1 (en) 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7764137B2 (en) * 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
US7683442B1 (en) 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) * 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US7604399B2 (en) 2007-05-31 2009-10-20 Siemens Energy, Inc. Temperature monitor for bus structure flex connector
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
JP5367703B2 (ja) 2007-06-28 2013-12-11 サガンテック イスラエル リミテッド 設計規則及びユーザ制約に基づく半導体レイアウト修正方法
US7651920B2 (en) 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
JP5528667B2 (ja) 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
JP2009170472A (ja) 2008-01-10 2009-07-30 Sharp Corp トランジスタ、半導体装置、半導体装置の製造方法
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
DE102008007029B4 (de) 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
WO2009102684A2 (en) 2008-02-14 2009-08-20 Maxpower Semiconductor Inc. Semiconductor device structures and related processes
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP5173582B2 (ja) 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
CN201194816Y (zh) 2008-05-28 2009-02-18 李建政 多功能美容针
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US8153482B2 (en) * 2008-09-22 2012-04-10 Sharp Laboratories Of America, Inc. Well-structure anti-punch-through microwire device
CN102165561A (zh) 2008-09-25 2011-08-24 应用材料股份有限公司 使用十八硼烷自我非晶体化注入物的无缺陷接点形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
CN101661889B (zh) * 2009-08-15 2011-09-07 北京大学深圳研究生院 一种部分耗尽的绝缘层上硅mos晶体管的制作方法
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
US20110079861A1 (en) 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
EP2309544B1 (en) 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062788A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
KR20110085503A (ko) * 2010-01-20 2011-07-27 삼성전자주식회사 공통 소스 라인에 바이어스 전압을 개별적으로 인가할 수 있는 반도체 소자
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8436422B2 (en) * 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
TWI522548B (zh) 2012-09-13 2016-02-21 Famosa Corp The electronic control damping structure of fitness equipment

Also Published As

Publication number Publication date
US20160181370A1 (en) 2016-06-23
KR20180005739A (ko) 2018-01-16
US8421162B2 (en) 2013-04-16
TW201205811A (en) 2012-02-01
US20170040419A1 (en) 2017-02-09
US20140167156A1 (en) 2014-06-19
US9508800B2 (en) 2016-11-29
KR101919737B1 (ko) 2018-11-16
KR20130088134A (ko) 2013-08-07
CN103038721B (zh) 2015-08-19
KR101817376B1 (ko) 2018-01-11
US20110121404A1 (en) 2011-05-26
CN103038721A (zh) 2013-04-10
US20130181298A1 (en) 2013-07-18
JP6371822B2 (ja) 2018-08-08
JP2017046016A (ja) 2017-03-02
CN105070716B (zh) 2018-12-18
CN105070716A (zh) 2015-11-18
WO2011163169A1 (en) 2011-12-29
JP2013533624A (ja) 2013-08-22
US10325986B2 (en) 2019-06-18
US9263523B2 (en) 2016-02-16

Similar Documents

Publication Publication Date Title
TWI543369B (zh) 具有抑制穿通效應之先進電晶體
TWI550863B (zh) 具有臨界電壓設定摻雜劑結構之先進電晶體
US11757002B2 (en) Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US7902014B2 (en) CMOS devices with a single work function gate electrode and method of fabrication
US20070069302A1 (en) Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US20070235763A1 (en) Substrate band gap engineered multi-gate pMOS devices
US8664068B2 (en) Low-diffusion drain and source regions in CMOS transistors for low power/high performance applications
US20130032877A1 (en) N-channel transistor comprising a high-k metal gate electrode structure and a reduced series resistance by epitaxially formed semiconductor material in the drain and source areas
US9099412B2 (en) Selective laser anneal on semiconductor material
US8759168B2 (en) MOSFET with thin semiconductor channel and embedded stressor with enhanced junction isolation and method of fabrication
KR101178016B1 (ko) 구조화된 저농도 도펀트 채널들을 갖는 진보한 트랜지스터
JP2010267713A (ja) 半導体装置及びその製造方法