CN105070716A - 具有穿通抑制的先进晶体管 - Google Patents

具有穿通抑制的先进晶体管 Download PDF

Info

Publication number
CN105070716A
CN105070716A CN201510494596.XA CN201510494596A CN105070716A CN 105070716 A CN105070716 A CN 105070716A CN 201510494596 A CN201510494596 A CN 201510494596A CN 105070716 A CN105070716 A CN 105070716A
Authority
CN
China
Prior art keywords
dopant
concentration
depth
transistor
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510494596.XA
Other languages
English (en)
Other versions
CN105070716B (zh
Inventor
L·希弗伦
P·拉纳德
P·E·格雷戈里
S·R·松库沙莱
W·张
S·E·汤普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Triple Fujitsu Semiconductor Co Ltd
Suvolta Inc
Original Assignee
Triple Fujitsu Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Triple Fujitsu Semiconductor Co Ltd filed Critical Triple Fujitsu Semiconductor Co Ltd
Publication of CN105070716A publication Critical patent/CN105070716A/zh
Application granted granted Critical
Publication of CN105070716B publication Critical patent/CN105070716B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode

Abstract

本发明提供一种具有穿通抑制的先进晶体管和管芯,所述管芯包括:衬底,衬底为单个半导体材料的单晶;多个场效应晶体管结构,由衬底支撑;其中至少一个晶体管结构具有在栅极下方且在所述源极与漏极之间延伸的多个不同的掺杂区域,注入多个掺杂区域来为所述晶体管结构中的至少一个限定p型或n型材料的掺杂剂分布,掺杂剂分布在距离栅极的第一深度处具有峰掺杂剂浓度并且在距离栅极的第二深度处具有第一中间掺杂剂浓度,第一中间掺杂剂浓度低于峰掺杂剂浓度;多个晶体管结构中的每个包括通常由无掺杂的均厚外延生长形成的沟道区域,沟道区域直接位于在单半导体材料的单晶中形成的阈值电压控制区域之上,阈值电压控制区域与第一中间掺杂剂浓度相关。

Description

具有穿通抑制的先进晶体管
本申请是申请号为201180035830.2、发明名称为“具有穿通抑制的先进晶体管”、申请日为2011年06月21日的发明专利申请的分案申请。
相关申请
本申请要求2009年9月30日提交的美国临时申请No.61/247300的优先权,将该临时申请的公开内容通过引用并入于此。本申请还要求其公开内容通过引用并入于此的2009年11月17日提交的美国临时申请No.61/262122以及其公开内容通过引用并入于此的2010年2月18日提交的、发明名称为“ElectronicDevicesandSystems,andMethodsforMakingandUsingtheSame”的美国专利申请No.12/708497的优先权。本申请还要求其公开内容通过引用并入于此的2010年6月22日提交的美国临时申请No.61/357492的优先权。
技术领域
本公开内容涉及形成具有包括增强的穿通(punchthrough)抑制的改进的工作特性的先进晶体管的结构和工艺。
背景技术
期望将多个晶体管适配到单个管芯,以减小电子设备的成本并改进其功能能力。半导体制造商所采用的常见策略是简单地减小场效应晶体管(FET)的栅极尺寸,并且按比例缩小晶体管源极、漏极以及晶体管之间的所需互连的面积。然而,由于称为“短沟道效应”的效应,所以简单地按比例缩小并不总是可能的。短沟道效应在晶体管栅极下的沟道长度与工作晶体管的耗尽深度的大小可比较时特别严重,短沟道效应包括阈值电压减小、严重的表面散射、漏极感应势垒降低(DIBL)、源极-漏极穿通以及电子迁移率问题。
减轻某些短沟道效应的常规解决方案可以涉及袋状物(pocket)注入或源极和漏极周围的晕环(halo)注入。晕环注入可以关于晶体管的源极和漏极对称或不对称,并且通常在晶体管阱与源极和漏极之间提供平滑的掺杂剂梯度。不幸的是,虽然这样的注入改善了诸如阈值电压滚降(rolloff)和漏极感应势垒降低等某些电气特性,但是所得到的增大的沟道掺杂对电子迁移率产生不利的影响,这主要是因为沟道中的掺杂剂散射增大。
许多半导体制造商都试图通过采用新的晶体管类型(包括全部或部分耗尽的绝缘体上硅(SOI)晶体管)来减小短沟道效应。SOI晶体管构建在绝缘体层之上的薄硅层上,具有使短沟道效应最小化的未掺杂的或低掺杂沟道,并且不需要深阱注入或晕环注入来工作。不幸的是,形成合适的绝缘体层十分昂贵且难以完成。早期的SOI器件构建在绝缘蓝宝石晶片上而非硅晶片上,并且因为成本高,通常仅用于特殊应用(例如军用航空电子设备或卫星)。现代的SOI技术可以使用硅晶片,但需要昂贵且费时的额外的晶片处理步骤来制作延伸跨越器件质量单晶硅的表面层下的整个晶片的绝缘氧化硅层。
在硅晶片上制作这样的氧化硅层的一种常用方法需要高剂量氧离子注入和高温退火,以在体硅晶片中形成埋入氧化物(BOX)层。或者,可以通过将一个硅晶片键合到表面上具有氧化物层的另一硅晶片(“处理”晶片)来制造SOI。使用在处理晶片的BOX层的顶部上留下单晶硅的薄晶体管质量层的工艺来将这对晶片分开。这就是所谓的“层转移”技术,因为该技术将薄硅层转移到处理晶片的热生长氧化物层上。
如所预期的,BOX形成或层转移这两者都是具有相对较高故障率的昂贵制造技术。因此,对于许多领先的制造商而言,制造SOI晶体管不是经济上有吸引力的解决方案。当重新设计晶体管以应对“浮体(floatingbody)”效应、研发新的SOI特定晶体管工艺的需要和其它电路变化的成本被添加到SOI晶片的成本时,很显然需要其它解决方案。
正在研究的另一可能的先进晶体管采用多栅极晶体管,其类似于SOI晶体管,通过在沟道中少量掺杂或不掺杂来使短沟道效应最小化。通常称为finFET(由于由栅极部分地围绕的鳍形状的沟道),对具有28纳米或更低晶体管栅极尺寸的晶体管提出使用finFET晶体管。但同样,类似于SOI晶体管,虽然换到全新的晶体管架构解决了某些短沟道效应问题,但是又产生了需要比SOI更加显著的晶体管布局重新设计的其它问题。考虑到可能需要复杂的非平面晶体管制造技术来制作finFET以及创建finFET的新工艺流程的未知困难,制造商一直不愿在能制作finFET的半导体制造设施上投资。
发明内容
因此,为了克服现有技术的缺陷,本发明提供一种管芯,包括:衬底,所述衬底为单半导体材料的单晶;多个场效应晶体管结构,由所述衬底支撑,每个场效应晶体管结构具有栅极、源极和漏极;其中至少一个所述晶体管结构具有在所述栅极下方且在所述源极与漏极之间延伸的多个不同的(distinct)掺杂区域,注入所述多个掺杂区域来为至少一个所述晶体管结构限定p型或n型材料的掺杂剂分布,所述掺杂剂分布在距离所述栅极的第一深度处具有峰掺杂剂浓度并且在距离所述栅极的第二深度处具有第一中间掺杂剂浓度,所述第一中间掺杂剂浓度低于所述峰掺杂剂浓度;其中所述多个晶体管结构中的每个包括通常由无掺杂的均厚外延生长形成的沟道区域,所述沟道区域直接位于在单半导体材料的单晶中形成的阈值电压控制区域之上,所述阈值电压控制区域与所述第一中间掺杂剂浓度相关。
根据实施例的另一个方案,本发明提供一种管芯,包括:衬底;多个场效应晶体管结构,由所述衬底支撑,每个场效应晶体管结构具有栅极、源极和漏极;其中至少一个所述晶体管结构具有在所述栅极下方且在所述源极与漏极之间延伸的多个不同的掺杂区域,注入所述多个掺杂区域来为至少一个所述晶体管结构限定p型或n型材料的掺杂剂分布,所述掺杂剂分布在距离所述栅极的第一深度处具有峰掺杂剂浓度并且在距离所述栅极的第二深度处具有第一中间掺杂剂浓度,所述第一中间掺杂剂浓度建立第一凸峰;其中所述多个晶体管结构中的每个包括通常由无掺杂的均厚外延生长形成的沟道。
根据本发明的管芯,可以减小电子设备的成本并改进晶体管的功能。
附图说明
图1示出了具有穿通抑制的DDC晶体管;
图2示出了具有增强的穿通抑制的DDC晶体管的掺杂剂分布;
图3-7示出了替代的有用的掺杂剂分布;以及
图8是示出用于形成具有穿通抑制的DDC晶体管的一个示例性工艺的流程图。
具体实施方式
不同于绝缘体上硅(SOI)的晶体管,纳米级体CMOS晶体管(通常具有小于100纳米的栅极长度)受到短沟道效应的显著不利影响,包括通过漏极感应势垒降低(DIBL)和源极漏极穿通这两者的体泄漏。穿通与源极和漏极耗尽层的合并有关,导致漏极耗尽层延伸穿过掺杂衬底并到达源极耗尽层,在源极与漏极之间产生传导路径或漏电流。这导致所需的晶体管电功率大幅增大,并连同晶体管热输出随之增大,使用这样的晶体管的便携式或电池供电设备的工作寿命降低。
图1中示出了可在体CMOS衬底上制造的改进的晶体管。根据某些所描述的实施例,场效应晶体管(FET)100配置成具有大大减小的短沟道效应以及增强的穿通抑制。FET100包括栅极电极102、源极104、漏极106和定位在沟道110上的栅极电介质108。在工作时,沟道110被深耗尽,与常规晶体管相比,形成可以描述为深耗尽沟道(DDC)的沟道,且部分地通过高度掺杂的屏蔽区域112来设定耗尽深度。虽然沟道110基本上未掺杂,并且如图所示定位在高度掺杂的屏蔽区域112上,但是沟道110可以包括具有不同掺杂剂浓度的简单或复杂分层。这种掺杂的分层可以包括掺杂剂浓度小于屏蔽区域112的阈值电压设定区域111,其可选地定位在沟道110中的栅极电介质108与屏蔽区域112之间。阈值电压设定区域111允许小幅调整FET100的工作阈值电压,同时留下基本上未掺杂的沟道110的体。具体而言,邻近于栅极电介质108的沟道110的部分应当保持不掺杂。此外,穿通抑制区域113形成在屏蔽区域112的下方。类似于阈值电压设定区域111,穿通抑制区域113的掺杂剂浓度小于屏蔽区域112,同时高于轻掺杂阱衬底114的整体掺杂剂浓度。
在工作中,可以将偏置电压122VBS施加到源极104以进一步修改工作阈值电压,并且P+端子126可以在连接部124连接到P阱114以使电路闭合。栅极堆叠包括栅极电极102、栅极接触部118和栅极电介质108。包括栅极间隔部130以使源极和漏极与栅极分离,并且可选的源极/漏极延伸部(SDE)132或“尖端”在栅极间隔部和栅极电介质108下延伸源极和漏极,稍微减小了栅极长度并改进了FET100的电气特性。
在此示例性实施例中,FET100示出为N沟道晶体管,其具有由N型掺杂材料制成的源极和漏极,形成在作为P型掺杂的硅衬底的衬底上,且设置有形成在衬底116上的P阱114。然而,将会理解通过适当改变衬底或掺杂剂材料,可以替代由诸如砷化镓基材料等其它合适的衬底形成的非硅P型半导体晶体管。可以使用常规的掺杂剂注入工艺和材料形成源极104和漏极106,并且源极104和漏极106例如可以包括诸如应力感应的源极/漏极结构、升起和/或凹陷的源极/漏极、不对称掺杂、反掺杂(counter-doped)或晶体结构修改的源极/漏极、或根据LDD(低掺杂漏极)技术的源极/漏极延伸区域的注入掺杂等修改。也可以使用各种其它的技术来修改源极/漏极工作特性,在某些实施例中包括作为补偿掺杂剂的多相(heterogeneous)掺杂剂材料来修改电气特性。
栅极电极102可以由传统材料形成,优选包括但不限于金属、金属合金、金属氮化物、金属硅化物、以及其叠层和其组合物。在某些实施例中,栅极电极102也可以由多晶硅形成,例如包括高掺杂多晶硅和多晶硅锗合金。金属或金属合金可以包括含有铝、钛、钽的那些金属或金属合金、或其氮化物,该氮化物包括含有钛的化合物,诸如氮化钛等。栅极电极102的形成可以包括硅化物法、化学气相沉积法和物理气相沉积法,诸如但不限于蒸镀法和溅射法。通常,栅极电极102的总厚度为从约1至约500纳米。
栅极电介质108可以包括常规电介质材料,诸如氧化物、氮化物和氧氮化物等。或者,栅极电介质108通常可以包括较高介电常数的电介质材料,包括但不限于氧化铪、铪硅酸盐、氧化锆、氧化镧、氧化钛、钡锶钛酸盐和铅锆钛酸盐、金属类电介质材料和其它具有电介质性质的材料。优选的含有铪的氧化物包括HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx等。取决于组合物和可用沉积处理设备,栅极电介质108可以由以下方法形成,诸如热或等离子氧化、氮化法、化学气相沉积法(包括原子层沉积法)和物理气相沉积法等。在某些实施例中,可以使用多层或复合层、叠层和电介质材料的组合混合物。例如,栅极电介质层可以由厚度约0.3与1nm之间的SiO2基绝缘体以及厚度约0.5与4nm之间的氧化铪基绝缘体形成。通常,栅极电介质层的总厚度从约0.5至约5纳米。
沟道区域110形成在栅极电介质108下方和高度掺杂的屏蔽区域112上方。沟道区域110还接触源极104和漏极106,并且在源极104和漏极106之间延伸。优选地,沟道区域包括邻近栅极电介质108或其附近的基本上未掺杂的硅,其掺杂剂浓度小于5×1017个掺杂剂原子/cm3。沟道厚度的范围通常可以从5至50纳米。在某些实施例中,沟道区域110由屏蔽区域上外延生长的纯的或基本上纯的硅形成。
如所公开的,阈值电压设定区域111定位在屏蔽区域112上方,并且通常形成为薄掺杂层。适当改变掺杂剂浓度、厚度以及与栅极电介质层和屏蔽区域的分离使得可以可控地略微调节工作FET100的阈值电压。在某些实施例中,阈值电压设定区域111掺杂为具有约1×1018个掺杂剂原子/cm3与约1×1019个掺杂剂原子/cm3之间的浓度。阈值电压设定区域111可以由若干不同工艺形成,包括:1)原位外延掺杂,2)外延生长薄硅层后严格可控的掺杂剂注入,3)外延生长薄硅层后原子从屏蔽区域112的掺杂剂扩散,或4)这些工艺的任何组合(例如,外延生长硅后进行掺杂剂注入和从屏蔽层112的掺杂剂扩散这两者)。
高度掺杂的屏蔽区域112的位置通常设定了工作FET100的耗尽区的深度。有利的是,屏蔽区域112(和相关耗尽深度)设定在从与栅极长度(Lg/1)可比较的深度至栅极长度的大的分数(Lg/5)的深度范围内的深度处。在优选实施例中,代表性范围在Lg/3至Lg/1.5之间。具有Lg/2或更大的器件对于极低的功率操作而言是优选的,而在高电压下工作的数字或模拟器件通常可以形成有在Lg/5与Lg/2之间的屏蔽区域。例如,可以形成具有32纳米的栅极长度的晶体管,以使得屏蔽区域在低于约16纳米(Lg/2)的栅极电介质的深度处具有峰值掺杂剂密度,并且阈值电压设定区域在8纳米(Lg/4)的深度处处于峰值掺杂剂密度。
在某些实施例中,屏蔽区域112掺杂为具有约5×1018个掺杂剂原子/cm3与约1×1020个掺杂剂原子/cm3之间的浓度,明显大于未掺杂沟道的掺杂剂浓度,且至少略微大于可选的阈值电压设定区域111的掺杂剂浓度。如将理解的,可以修改确切的掺杂剂浓度和屏蔽区域深度,以改进FET100的期望工作特性,或考虑可用的晶体管制造工艺和工艺条件。
为了帮助控制泄漏,穿通抑制区域113形成在屏蔽区域112的下方。通常,穿通抑制区域113通过直接注入到轻掺杂阱中而形成,但它还可以通过从屏蔽区域向外扩散、原位生长、或其它已知工艺形成。类似于阈值电压设定区域111,穿通抑制区域113的掺杂剂浓度小于屏蔽区域122,通常设定在约1×1018个掺杂剂原子/cm3与约1×1019个掺杂剂原子/cm3之间。此外,穿通抑制区域113的掺杂剂浓度设定为高于阱衬底的整体掺杂剂浓度。如将理解的,可以修改确切的掺杂剂浓度和深度,以改进FET100的期望工作特性,或考虑可用的晶体管制造工艺和工艺条件。
由于可以容易地适应良好研发且长期使用的平面CMOS工艺技术,所以与SOI或finFET晶体管相比,形成这样的FET100相对较为简单。
总体而言,与常规纳米级器件相比,制造上述结构的结构和方法可以使得FET晶体管同时具有低工作电压和低阈值电压。此外,DDC晶体管可以配置为使得阈值电压可以借助于电压体(voltagebody)偏置发生器而被静态地设定。在某些实施例中,甚至可以动态地控制阈值电压,这使得可以大幅减小晶体管的泄漏电流(通过设定电压偏置以向上调节VT,从而低泄漏、低速工作),或大幅增大晶体管的泄漏电流(通过向下调节VT,从而高泄漏、高速工作)。最终,提供了制造上述结构的这些结构和方法,以设计具有可以在电路处于工作的同时动态调节的FET器件的集成电路。因此,可以用名义上相同的结构设计集成电路中的晶体管,并可以对其进行控制、调制或编程,使其在响应于不同偏置电压的不同工作电压下工作,或者在响应于不同偏置电压和工作电压的不同工作模式下工作。此外,可以为了电路内的不同应用而在制造后对这些进行配置。
如将理解的,按照物理和功能区域或层,描述了注入的或者存在于半导体的衬底或晶体层中的、用于修改半导体的物理和电气特性的原子的浓度。本领域技术人员可以将这些理解为具有特定浓度平均值的材料的三维体积(mass)。或者,它们可以被理解为具有不同的或空间上变化的浓度的子区域或子层。它们也可以存在为小的掺杂剂原子团、基本上类似的掺杂剂原子的区域等,或其它物理实施例。对基于这些性质的区域的描述并不旨在限制形状、确切位置或取向。它们也并不旨在将这些区域或层限制于所采用的任何特定类型或数量的工艺步骤、任何特定类型或数量的层(例如,组合的或整体的)、半导体沉积、蚀刻技术或生长技术。这些工艺可以包括外延形成的区域或原子层沉积、掺杂注入方法工艺、或特定的纵向或横向掺杂剂分布,其包括线性的、单调增大的、倒退的(retrograde)、或其它合适的空间变化的掺杂剂浓度。为了确保维持期望的掺杂剂浓度,预期了各种掺杂剂抗迁移技术,包括低温处理、碳掺杂、原位掺杂剂沉积,和提前闪蒸(advancedflash)或其它退火技术。所得到的掺杂剂分布可以具有不同掺杂剂浓度的一个或多个区域或层,并且无论工艺如何,通过包括红外光谱、卢瑟福背散射(RBS)、二次离子质谱法(SIMS)或使用不同定性或定量掺杂剂浓度确定方法工艺的其它掺杂剂分析工具的技术,浓度的变化和区域或层如何限定可以是或可以不是可检测的。
为了更好地理解一个可能的晶体管结构,图2示出了在源极与漏极之间的中线处获得的且从栅极电介质朝向阱向下延伸的深耗尽晶体管的掺杂剂分布202。以每立方厘米掺杂剂原子的数量为单位测量浓度,向下的深度测量为栅极长度Lg的比值。测量为比值而非以纳米为单位的绝对深度能够更好的在不同节点(例如,45nm、32nm、22nm、15nm)处制造的晶体管之间跨越比较,其中结点通常按照最小栅极长度来限定。
如图2中所示,邻近于栅极电介质层的沟道210的区域基本上没有掺杂剂,直到差不多Lg/4的深度浓度小于5×1017个掺杂剂原子/cm3。阈值电压设定区域211的掺杂剂浓度增大到约3×1018个掺杂剂原子/cm3,并且浓度增大另一数量级到约3×1019个掺杂剂原子/cm3,以形成设定工作晶体管中的耗尽区的底部的屏蔽区域212。在约Lg/1的深度处具有约1×1019个掺杂剂原子/cm3的掺杂剂浓度的穿通抑制区域213是屏蔽区域与轻掺杂阱214之间的中间值。在没有穿通抑制区域的情况下,例如构造为具有30nm栅极长度和1.0伏工作电压的晶体管预期具有明显更大的泄漏。当注入所公开的穿通抑制213时,减小了穿通泄漏,使晶体管功率效率更高,而且能够更好地容忍晶体管结构中的工艺变化而没有穿通失效。
关于下表1可以更好地看出,表1表示了针对穿通剂量和阈值电压范围的预期性能改善:
表1
Ioff(nA/μm) Idsat(mA/μm) Vt(V)
目标穿通层 2 0.89 0.31
没有穿通层 70 1 0.199
较高剂量穿通 0.9 0.54 0.488
非常深的穿通 15 1 0.237
预期了可替代的掺杂剂分布。如图3中所示,示出了包括针对低掺杂沟道的略微增大的深度的替代掺杂剂分布。与图3的实施例相反,阈值电压设定区域211是主要由从屏蔽区域212向外扩散到外延沉积的硅层中形成的浅凹口(notch)。屏蔽区域212自身设定为具有大于3×1019个掺杂剂原子/cm3的掺杂剂浓度。穿通抑制区域213具有约8×1018个掺杂剂原子/cm3的掺杂剂浓度,这是由从屏蔽区域212的向外扩散和单独的低能量注入的组合提供的。
如图4中所示,示出了包括针对低掺杂沟道的大大增大的深度的替代掺杂剂分布。与图2和3的实施例相反,不存在明显的切口、平面或层来帮助阈值电压设定。屏蔽区域212设定为大于3×1019个掺杂剂原子/cm3,穿通抑制区域213具有类似高但窄限定的(narrowlydefined)约8×1018个掺杂剂原子/cm3的掺杂剂浓度,这是由单独的低能量注入提供的。
图5中示出了掺杂剂分布的另一种变化,其示出了针对包括非常低掺杂沟道210的晶体管结构的晶体管掺杂剂分布205。阈值电压设定区域211通过原位或生长在屏蔽区域上的薄外延层的良好控制的注入掺杂而精确地形成。屏蔽区域212设定为约1×1019个掺杂剂原子/cm3,穿通抑制区域213也具有约8×1018个掺杂剂原子/cm3的窄限定的掺杂剂浓度,这是由单独的低能量注入提供的。阱注入214的浓度逐渐减小到约5×1017个掺杂剂原子/cm3
如图6中所示,掺杂剂分布206包括邻近于栅极电介质层的低掺杂沟道210和窄限定的阈值电压设置区域211。屏蔽区域212增大到设定为约1×1019个掺杂剂原子/cm3的窄峰,穿通抑制区域213也具有约5×1018个掺杂剂原子/cm3的宽峰掺杂剂浓度,这是由单独的低能量注入提供的。阱注入214的浓度较高,以改善晶体管偏置系数,且具有约8×1017个掺杂剂原子/cm3的浓度。
与图6的窄屏蔽区域峰掺杂剂浓度相反,图7的掺杂剂分布207具有宽峰212。除了窄未掺杂的沟道210以外,晶体管结构还包括良好限定的部分倒退的阈值设定211和明显分离的穿通抑制峰213。阱214的掺杂剂浓度相对较低,小于约5×1017个掺杂剂原子/cm3
图8是示出一个示例性工艺的示意性工艺流程图300,用于形成具有适合于不同类型的FET结构(包括模拟和数字晶体管这两者)的穿通抑制区域和屏蔽区域的晶体管。这里示出的工艺在其描述中旨在是一般性的和广泛的,以便不模糊本发明的概念,以下阐述更详细的实施例和示例。这些连同其它工艺步骤允许处理和制造包括DDC结构器件以及旧有器件的集成电路,允许覆盖整个范围的具有改进性能和较低功率的模拟和数字器件的设计。
在步骤302中,工艺开始于阱形成,其可以是根据不同实施例和示例的许多不同工艺中的一个。如303中所示,取决于期望的应用和结果,阱形成可以在STI(浅沟槽隔离)形成304之前或之后。硼(B)、铟(I)或其它P型材料可以用于P型注入,砷(As)或磷(P)和其它N型材料可以用于N型注入。对于PMOS阱注入,可以在从10至80keV的范围内注入P+注入,并且在NMOS阱注入时,可以在从0.5至5keV的范围内且在1×1013至8×1013/cm2的浓度范围内注入硼注入B+。可以在10至60keV的范围内且以1×1014至5×1014/cm2的浓度执行锗注入Ge+。为了减小掺杂剂迁移,可以在0.5至5keV的范围内且以1×1013至8×1013/cm2的浓度执行碳注入C+。阱注入可以包括穿通抑制区域、掺杂剂密度高于穿通抑制区域的屏蔽区域以及阈值电压设定区域的顺序注入和/或外延生长和注入(先前所讨论的这些通常由掺杂剂向屏蔽区域上生长的外延层中的注入或扩散形成)。
在某些实施例中,如302A中所示,阱形成302可以包括Ge/B(N)、As(P)的束线注入,随后是外延(EPI)预清洗工艺,最后是非选择性均厚(blanket)EPI沉积。或者,如302B中所示,阱可以使用B(N)、As(P)的等离子注入,随后是EPI预清洗,最后是非选择性(均厚)EPI沉积而形成。或者,如302C中所示,阱形成可以包括B(N)、As(P)的固体源扩散,随后是EPI预清洗,最后是非选择性(均厚)EPI沉积。或者,如302D中所示,阱形成可以包括B(N)、As(P)的固体源扩散,随后是EPI预清洗,最后是非选择性(均厚)EPI沉积。作为又一种选择,阱形成可以简单地包括阱注入,随后是B(N)、P(P)的原位掺杂选择性EPI。本文所描述的实施例允许具有不同阱结构且根据不同参数的、配置在共同衬底上的多个器件中的任一个。
同样可以在阱形成302之前或之后发生的浅沟槽隔离(STI)形成304可以包括在低于900℃的温度下的低温沟槽牺牲氧化物(TSOX)衬垫。栅极堆叠306可以按照多种不同的方法、由不同的材料形成或构建,并且具有不同的功函数。一个选择是多晶(poly)/SiON栅极堆叠306A。另一选择是先栅极(gate-first)工艺306B,其包括SiON/金属/多晶和/或SiON/多晶,随后是高K/金属栅极。另一选择,后栅极(gate-last)工艺306C包括高K/金属栅极堆叠,其中栅极堆叠可以由“先高K后金属栅极”的流程或“后高K后金属栅极”的流程形成。再一选择,306D是包括可调谐范围的功函数的金属栅极,其取决于器件构造,N(NMOS)/P(PMOS)/N(PMOS)/P(NMOS)/中间带隙(Mid-gap)或两者之间的任何地方。在一个示例中,N具有4.05V±200mV的功函数(WF),P具有5.01V±200mV的WF。
接着,在步骤308中,可以注入源极/漏极尖端,或可选地可以取决于应用而不注入。尖端的尺寸可以根据需要而变化,并且将部分地取决于是否使用栅极间隔部(SPCR)。在一个选择中,在308A中可以没有尖端注入。接着,在可选步骤310和312中,PMOS或NMOSEPI层可以形成在源极和漏极区域中,作为用于创建应变沟道的性能增强部。对于后栅极的栅极堆叠选择而言,在步骤314中,形成后栅极模块。这仅可以针对后栅极工艺314A。
可以预期支持多种晶体管类型的管芯(包括具有和不具有穿通抑制的管芯、具有不同阈值电压的管芯、以及具有和不具有静态或动态偏置的管芯)。片上系统(SOC)、先进的微处理器、射频、存储器和其它具有一个或多个数字和模拟晶体管配置的管芯可以并入到使用本文所描述的方法的器件中。根据本文所讨论的方法和工艺,可以使用体CMOS在硅上生产出具有DDC和/或具有或不具有穿通抑制的晶体管器件和结构的多种组合的系统。在不同实施例中,管芯可以分割成动态偏置结构、静态偏置结构或无偏置结构单独地或以某种组合存在的一个或多个区域。在动态偏置部分中,例如,可动态调节的器件可以与高和低VT器件和可能的DDC逻辑器件一起存在。
虽然已经描述了特定示例性实施例并且在附图中示出了这些实施例,但是应当理解这些实施例仅仅是例示性的,而并非限制广泛的发明,还应当理解由于本领域技术人员可以做出各种其它修改,所以本发明并不限于所示和所述的特定结构和配置。因此,本说明书和附图应被视为说明性的而非限制性的意义。

Claims (20)

1.一种管芯,包括:
衬底,所述衬底为单个半导体材料的单晶;
多个场效应晶体管结构,由所述衬底支撑,每个场效应晶体管结构具有栅极、源极和漏极;
其中至少一个所述晶体管结构具有在所述栅极下方且在所述源极与漏极之间延伸的多个不同的掺杂区域,注入所述多个掺杂区域来为至少一个所述晶体管结构限定p型或n型材料的掺杂剂分布,所述掺杂剂分布在距离所述栅极的第一深度处具有峰掺杂剂浓度并且在距离所述栅极的第二深度处具有第一中间掺杂剂浓度,所述第一中间掺杂剂浓度低于所述峰掺杂剂浓度;
其中所述多个晶体管结构中的每个包括通常由无掺杂的均厚外延生长形成的沟道区域,所述沟道区域直接位于在单半导体材料的单晶中形成的阈值电压控制区域之上,所述阈值电压控制区域与所述第一中间掺杂剂浓度相关。
2.根据权利要求1所述的管芯,其中所述掺杂剂分布包括在距离所述栅极第三深度处的第二中间掺杂剂浓度,所述第二中间掺杂剂浓度高于所述第一中间掺杂剂浓度。
3.根据权利要求1所述的管芯,其中当电压被施加至所述栅极时,在所述第一深处的所述峰掺杂剂浓度为至少一个所述晶体管结构设置耗尽深度。
4.根据权利要求1所述的管芯,还包括:
偏置结构,被耦合至至少一个所述晶体管结构的源极,所述偏置结构能被操作以修改至少一个所述晶体管结构的工作阈值电压。
5.根据权利要求4所述的管芯,还包括:
固定电压源,被耦合至所述偏置结构以静态地设置至少一个所述晶体管结构的阈值电压。
6.根据权利要求4所述的管芯,还包括:
可变电压源,被耦合至所述偏置结构以动态地调整至少一个所述晶体管结构的阈值电压。
7.根据权利要求4所述的管芯,其中所述多个晶体管结构被分为不同的偏置部分,第一偏置部分不提供阈值电压调整,第二偏置部分能被操作以提供静态阈值电压调整,并且第三偏置部分能被操作以提供动态阈值电压调整。
8.根据权利要求7所述的管芯,其中所述不同的偏置部分具有带有调整或不带任何调整的不同的阈值电压。
9.根据权利要求1所述的管芯,其中所述第一深度在所述栅极以下比所述第二深度更深。
10.根据权利要求1所述的管芯,其中所述第一深度的范围在所述栅极的长度的一半到五分之一之间。
11.根据权利要求1所述的管芯,其中所述多个掺杂区域形成在所述衬底中。
12.根据权利要求1所述的管芯,其中所述多个掺杂区域形成在所述衬底上。
13.根据权利要求1所述的管芯,还包括:
源极和漏极延伸部,延伸至至少一个所述晶体管结构的所述沟道中。
14.根据权利要求1所述的管芯,其中所述多个掺杂区域与所述源极和所述漏极接触。
15.根据权利要求1所述的管芯,其中所述掺杂剂分布在所述第一深度与所述第二深度之间的第一点处具有第一掺杂剂浓度,所述第一掺杂剂浓度小于或等于所述第一中间掺杂剂浓度,以在所述掺杂剂分布中建立第一凹口。
16.根据权利要求2所述的管芯,其中所述掺杂剂分布在所述第一深度与所述第三深度之间的第二点处具有第二掺杂剂浓度,所述第二掺杂剂浓度小于或等于所述第二中间掺杂剂浓度,以在所述掺杂剂分布中建立第二凹口。
17.根据权利要求2所述的管芯,其中所述第二中间掺杂剂浓度与至少一个所述晶体管结构的穿通区域的抑制相关。
18.根据权利要求2所述的管芯,其中所述第三深度在所述栅极以下比所述第一深度更深。
19.一种管芯,包括:
衬底;
多个场效应晶体管结构,由所述衬底支撑,每个场效应晶体管结构具有栅极、源极和漏极;
其中至少一个所述晶体管结构具有在所述栅极下方且在所述源极与漏极之间延伸的多个不同的掺杂区域,注入所述多个掺杂区域来为至少一个所述晶体管结构限定p型或n型材料的掺杂剂分布,所述掺杂剂分布在距离所述栅极的第一深度处具有峰掺杂剂浓度并且在距离所述栅极的第二深度处具有第一中间掺杂剂浓度,所述第一中间掺杂剂浓度建立第一凸峰;
其中所述多个晶体管结构中的每个包括通常由无掺杂的均厚外延生长形成的沟道。
20.根据权利要求19所述的管芯,其中所述掺杂剂分布包括在距离所述栅极第三深度处的第二中间掺杂剂浓度,所述第二中间掺杂剂浓度建立第二凸峰。
CN201510494596.XA 2010-06-22 2011-06-21 具有穿通抑制的先进晶体管 Active CN105070716B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US35749210P 2010-06-22 2010-06-22
US61/357,492 2010-06-22
US12/895,813 2010-09-30
US12/895,813 US8421162B2 (en) 2009-09-30 2010-09-30 Advanced transistors with punch through suppression
CN201180035830.2A CN103038721B (zh) 2010-06-22 2011-06-21 具有穿通抑制的先进晶体管

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180035830.2A Division CN103038721B (zh) 2010-06-22 2011-06-21 具有穿通抑制的先进晶体管

Publications (2)

Publication Number Publication Date
CN105070716A true CN105070716A (zh) 2015-11-18
CN105070716B CN105070716B (zh) 2018-12-18

Family

ID=45443199

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510494596.XA Active CN105070716B (zh) 2010-06-22 2011-06-21 具有穿通抑制的先进晶体管
CN201180035830.2A Active CN103038721B (zh) 2010-06-22 2011-06-21 具有穿通抑制的先进晶体管

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201180035830.2A Active CN103038721B (zh) 2010-06-22 2011-06-21 具有穿通抑制的先进晶体管

Country Status (6)

Country Link
US (5) US8421162B2 (zh)
JP (2) JP2013533624A (zh)
KR (2) KR101817376B1 (zh)
CN (2) CN105070716B (zh)
TW (1) TWI543369B (zh)
WO (1) WO2011163169A1 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5306193B2 (ja) * 2006-06-29 2013-10-02 クリー インコーポレイテッド p型チャネルを含む炭化シリコンスイッチングデバイスおよびその形成方法
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5643555B2 (ja) 2010-07-07 2014-12-17 キヤノン株式会社 固体撮像装置及び撮像システム
JP5751766B2 (ja) 2010-07-07 2015-07-22 キヤノン株式会社 固体撮像装置および撮像システム
JP5697371B2 (ja) * 2010-07-07 2015-04-08 キヤノン株式会社 固体撮像装置および撮像システム
JP5645513B2 (ja) 2010-07-07 2014-12-24 キヤノン株式会社 固体撮像装置及び撮像システム
JP5885401B2 (ja) 2010-07-07 2016-03-15 キヤノン株式会社 固体撮像装置および撮像システム
JP5656484B2 (ja) 2010-07-07 2015-01-21 キヤノン株式会社 固体撮像装置および撮像システム
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748986B1 (en) * 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
TWI571936B (zh) * 2011-10-26 2017-02-21 聯華電子股份有限公司 具有鰭狀結構之場效電晶體的結構及其製作方法
KR101894221B1 (ko) 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8673731B2 (en) * 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US8932918B2 (en) 2012-08-29 2015-01-13 International Business Machines Corporation FinFET with self-aligned punchthrough stopper
US8637955B1 (en) * 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9082853B2 (en) 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
JP6100535B2 (ja) * 2013-01-18 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9917168B2 (en) * 2013-06-27 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal oxide semiconductor field effect transistor having variable thickness gate dielectric
US9299702B2 (en) * 2013-09-24 2016-03-29 Samar Saha Transistor structure and method with an epitaxial layer over multiple halo implants
US9263522B2 (en) 2013-12-09 2016-02-16 Qualcomm Incorporated Transistor with a diffusion barrier
US9276113B2 (en) 2014-03-10 2016-03-01 International Business Corporation Structure and method to make strained FinFET with improved junction capacitance and low leakage
US9559191B2 (en) 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
US10559469B2 (en) * 2014-04-22 2020-02-11 Texas Instruments Incorporated Dual pocket approach in PFETs with embedded SI-GE source/drain
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US9319013B2 (en) * 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9899514B2 (en) 2015-05-21 2018-02-20 Globalfoundries Singapore Pte. Ltd. Extended drain metal-oxide-semiconductor transistor
US20180076281A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Deep channel isolated drain metal-oxide-semiconductor transistors
US20180076280A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Shallow drain metal-oxide-semiconductor transistors
TWI621273B (zh) * 2017-04-27 2018-04-11 立錡科技股份有限公司 具有可調整臨界電壓之高壓空乏型mos元件及其製造方法
US10559463B2 (en) 2017-11-30 2020-02-11 International Business Machines Corporation Multi-state device based on ion trapping
KR102639769B1 (ko) * 2018-11-22 2024-02-26 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
US11652143B2 (en) * 2019-03-28 2023-05-16 Intel Corporation III-N transistors integrated with thin-film transistors having graded dopant concentrations and/or composite gate dielectrics

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4518926A (en) * 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
US5594264A (en) * 1994-12-16 1997-01-14 Mitsubishi Denki Kabushiki Kaisha LDD semiconductor device with peak impurity concentrations
US6221724B1 (en) * 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US20020033511A1 (en) * 2000-09-15 2002-03-21 Babcock Jeffrey A. Advanced CMOS using super steep retrograde wells
US20030047763A1 (en) * 1999-02-24 2003-03-13 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
CN102194816A (zh) * 2010-01-20 2011-09-21 三星电子株式会社 用于向共源极线施加独立的偏置电压的半导体装置

Family Cites Families (514)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4021835A (en) 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
EP0024905B1 (en) 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
US4315781A (en) 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
JPS5848936A (ja) 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
JPS59193066A (ja) 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd Mos型半導体装置
JPS59193066U (ja) 1983-06-08 1984-12-21 三菱電機株式会社 エレベ−タの防犯テレビカメラ
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPS62128175A (ja) 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
JPH0770606B2 (ja) 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
ATE58030T1 (de) 1986-06-10 1990-11-15 Siemens Ag Verfahren zum herstellen von hochintegrierten komplementaeren mosfeldeffekttransistorschaltungen.
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (de) 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JPS63305566A (ja) * 1987-06-05 1988-12-13 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
US5298435A (en) 1990-04-18 1994-03-29 National Semiconductor Corporation Application of electronic properties of germanium to inhibit n-type or p-type diffusion in silicon
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
JPH04179160A (ja) 1990-11-09 1992-06-25 Hitachi Ltd 半導体装置
JPH04186774A (ja) 1990-11-21 1992-07-03 Hitachi Ltd 半導体装置
JP2899122B2 (ja) 1991-03-18 1999-06-02 キヤノン株式会社 絶縁ゲートトランジスタ及び半導体集積回路
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JP3146045B2 (ja) 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
JPH0697432A (ja) 1992-09-10 1994-04-08 Hitachi Ltd 半導体装置およびその製造方法
US5374569A (en) * 1992-09-21 1994-12-20 Siliconix Incorporated Method for forming a BiCDMOS
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
JP3200231B2 (ja) * 1992-12-14 2001-08-20 株式会社東芝 半導体装置の製造方法
US5426279A (en) 1993-06-21 1995-06-20 Dasgupta; Sankar Heating rate regulator
US5298457A (en) 1993-07-01 1994-03-29 G. I. Corporation Method of making semiconductor devices using epitaxial techniques to form Si/Si-Ge interfaces and inverting the material
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
WO1995022093A1 (en) 1994-02-14 1995-08-17 Philips Electronics N.V. A reference circuit having a controlled temperature dependence
JPH07312423A (ja) 1994-05-17 1995-11-28 Hitachi Ltd Mis型半導体装置
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
JP2701762B2 (ja) 1994-11-28 1998-01-21 日本電気株式会社 半導体装置及びその製造方法
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
JP2780670B2 (ja) 1995-04-14 1998-07-30 日本電気株式会社 エピタキシャルチャネルmosトランジスタの製造方法
JPH08293557A (ja) 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
JPH098296A (ja) 1995-06-23 1997-01-10 Hitachi Ltd 半導体装置
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
JPH09121049A (ja) 1995-10-25 1997-05-06 Sony Corp 半導体装置
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
JPH11500873A (ja) 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JP3420879B2 (ja) * 1996-03-06 2003-06-30 沖電気工業株式会社 pMOSの製造方法、及びCMOSの製造方法
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
JPH10189766A (ja) 1996-10-29 1998-07-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびに半導体ウエハおよびその製造方法
JPH10135348A (ja) 1996-11-05 1998-05-22 Fujitsu Ltd 電界効果型半導体装置
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JP4521619B2 (ja) 1996-11-21 2010-08-11 ルネサスエレクトロニクス株式会社 低電力プロセッサ
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
DE19706789C2 (de) * 1997-02-20 1999-10-21 Siemens Ag CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
JP4253052B2 (ja) 1997-04-08 2009-04-08 株式会社東芝 半導体装置
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218895B1 (en) 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6194259B1 (en) 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US6723621B1 (en) 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US5879998A (en) * 1997-07-09 1999-03-09 Advanced Micro Devices, Inc. Adaptively controlled, self-aligned, short channel device and method for manufacturing same
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
JP3009102B2 (ja) * 1997-11-12 2000-02-14 日本電気株式会社 半導体装置、その製造方法、及び差動増幅装置
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
JP4278202B2 (ja) 1998-03-27 2009-06-10 株式会社ルネサステクノロジ 半導体装置の設計方法、半導体装置及び記録媒体
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) * 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6380019B1 (en) 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6184112B1 (en) 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
JP2000299462A (ja) 1999-04-15 2000-10-24 Toshiba Corp 半導体装置及びその製造方法
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6501131B1 (en) * 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6503801B1 (en) 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
DE19940362A1 (de) 1999-08-25 2001-04-12 Infineon Technologies Ag MOS-Transistor und Verfahren zu dessen Herstellung
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
WO2002001641A1 (fr) 2000-06-27 2002-01-03 Matsushita Electric Industrial Co., Ltd. Dispositif semi-conducteur
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
JP2001068674A (ja) 2000-08-10 2001-03-16 Canon Inc 絶縁ゲートトランジスタ及び半導体集積回路
JP2002057331A (ja) * 2000-08-11 2002-02-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
JP2002198529A (ja) 2000-10-18 2002-07-12 Hitachi Ltd 半導体装置およびその製造方法
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
JP3950294B2 (ja) 2000-11-16 2007-07-25 シャープ株式会社 半導体装置
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
WO2002061842A1 (fr) 2001-01-31 2002-08-08 Matsushita Electric Industrial Co., Ltd. Film cristallin a semi-conducteurs
JP2002237575A (ja) 2001-02-08 2002-08-23 Sharp Corp 半導体装置及びその製造方法
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6693333B1 (en) 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6483375B1 (en) 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6358806B1 (en) 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
JP2003031803A (ja) 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2003086706A (ja) 2001-09-13 2003-03-20 Sharp Corp 半導体装置及びその製造方法、スタティック型ランダムアクセスメモリ装置並びに携帯電子機器
WO2003009385A1 (fr) 2001-07-19 2003-01-30 Sharp Kabushiki Kaisha Dispositif a semi-conducteur, dispositif de stockage a semi-conducteur et procedes de production associes
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
JP2003086794A (ja) * 2001-09-11 2003-03-20 Sharp Corp 半導体装置及びその製造方法、並びに携帯電子機器
WO2003028110A1 (fr) 2001-09-14 2003-04-03 Matsushita Electric Industrial Co., Ltd. Semi-conducteur
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6933518B2 (en) 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
KR100794094B1 (ko) * 2001-12-28 2008-01-10 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
DE10214066B4 (de) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
EP1488461A1 (en) 2002-03-28 2004-12-22 Advanced Micro Devices, Inc. Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US6893947B2 (en) 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US7112856B2 (en) 2002-07-12 2006-09-26 Samsung Electronics Co., Ltd. Semiconductor device having a merged region and method of fabrication
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
JP2004214578A (ja) 2003-01-09 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置
JP4491605B2 (ja) 2003-02-19 2010-06-30 株式会社ルネサステクノロジ 半導体集積回路装置
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
SE0300924D0 (sv) 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
KR20050119662A (ko) 2003-03-28 2005-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. N-도핑된 규소 층의 에피택시얼 증착 방법
CN100514650C (zh) 2003-04-10 2009-07-15 富士通微电子株式会社 半导体装置及其制造方法
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
WO2004112145A1 (ja) 2003-06-10 2004-12-23 Fujitsu Limited パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US20060273299A1 (en) * 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
WO2005010946A2 (en) 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7521323B2 (en) 2003-09-03 2009-04-21 Nxp B.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
WO2005062354A1 (en) 2003-12-18 2005-07-07 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
DE10360874B4 (de) 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
JP4903055B2 (ja) 2003-12-30 2012-03-21 フェアチャイルド・セミコンダクター・コーポレーション パワー半導体デバイスおよびその製造方法
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (ko) 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (ja) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7002214B1 (en) 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US8106481B2 (en) 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
WO2006137866A2 (en) 2004-09-17 2006-12-28 Bedabrata Pain Back- illuminated cmos or ccd imaging device structure
JP4540438B2 (ja) * 2004-09-27 2010-09-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7170120B2 (en) 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7271079B2 (en) 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP2007013025A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 電界効果型トランジスタおよびその製造方法
US7735452B2 (en) 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
WO2007023979A1 (ja) 2005-08-22 2007-03-01 Nec Corporation Mosfetおよび半導体装置の製造方法
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
EP1958245B1 (en) 2005-12-09 2013-10-16 Semequip, Inc. Method for the manufacture of semiconductor devices by the implantation of carbon clusters
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US20090321849A1 (en) 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
WO2008029918A1 (fr) 2006-09-07 2008-03-13 Sumco Corporation Substrat à semi-conducteurs pour dispositif de formation d'image à semi-conducteurs, dispositif de formation d'image à semi-conducteurs et procédé pour les fabriquer
US20080067589A1 (en) 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7764137B2 (en) * 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
US7683442B1 (en) 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) * 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US7604399B2 (en) 2007-05-31 2009-10-20 Siemens Energy, Inc. Temperature monitor for bus structure flex connector
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
JP5367703B2 (ja) 2007-06-28 2013-12-11 サガンテック イスラエル リミテッド 設計規則及びユーザ制約に基づく半導体レイアウト修正方法
US7651920B2 (en) 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
JP5528667B2 (ja) 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
JP2009170472A (ja) 2008-01-10 2009-07-30 Sharp Corp トランジスタ、半導体装置、半導体装置の製造方法
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
DE102008007029B4 (de) 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
WO2009102684A2 (en) 2008-02-14 2009-08-20 Maxpower Semiconductor Inc. Semiconductor device structures and related processes
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP5173582B2 (ja) 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
CN201194816Y (zh) 2008-05-28 2009-02-18 李建政 多功能美容针
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US8153482B2 (en) * 2008-09-22 2012-04-10 Sharp Laboratories Of America, Inc. Well-structure anti-punch-through microwire device
CN102165561A (zh) 2008-09-25 2011-08-24 应用材料股份有限公司 使用十八硼烷自我非晶体化注入物的无缺陷接点形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
CN101661889B (zh) * 2009-08-15 2011-09-07 北京大学深圳研究生院 一种部分耗尽的绝缘层上硅mos晶体管的制作方法
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
US20110079861A1 (en) 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
EP2309544B1 (en) 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062788A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8436422B2 (en) * 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
TWI522548B (zh) 2012-09-13 2016-02-21 Famosa Corp The electronic control damping structure of fitness equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4518926A (en) * 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
US5594264A (en) * 1994-12-16 1997-01-14 Mitsubishi Denki Kabushiki Kaisha LDD semiconductor device with peak impurity concentrations
US6221724B1 (en) * 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US20030047763A1 (en) * 1999-02-24 2003-03-13 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020033511A1 (en) * 2000-09-15 2002-03-21 Babcock Jeffrey A. Advanced CMOS using super steep retrograde wells
CN102194816A (zh) * 2010-01-20 2011-09-21 三星电子株式会社 用于向共源极线施加独立的偏置电压的半导体装置

Also Published As

Publication number Publication date
US20160181370A1 (en) 2016-06-23
KR20180005739A (ko) 2018-01-16
US8421162B2 (en) 2013-04-16
TW201205811A (en) 2012-02-01
US20170040419A1 (en) 2017-02-09
US20140167156A1 (en) 2014-06-19
US9508800B2 (en) 2016-11-29
TWI543369B (zh) 2016-07-21
KR101919737B1 (ko) 2018-11-16
KR20130088134A (ko) 2013-08-07
CN103038721B (zh) 2015-08-19
KR101817376B1 (ko) 2018-01-11
US20110121404A1 (en) 2011-05-26
CN103038721A (zh) 2013-04-10
US20130181298A1 (en) 2013-07-18
JP6371822B2 (ja) 2018-08-08
JP2017046016A (ja) 2017-03-02
CN105070716B (zh) 2018-12-18
WO2011163169A1 (en) 2011-12-29
JP2013533624A (ja) 2013-08-22
US10325986B2 (en) 2019-06-18
US9263523B2 (en) 2016-02-16

Similar Documents

Publication Publication Date Title
CN103038721B (zh) 具有穿通抑制的先进晶体管
CN103053025B (zh) 具有阈值电压设定掺杂剂结构的先进晶体管
KR101891356B1 (ko) 저전력 반도체 트랜지스터 구조 및 그 제조 방법
US20170040449A1 (en) Reduced Local Threshold Voltage Variation MOSFET Using Multiple Layers of Epi for Improved Device Operation
CN103311247A (zh) 半导体器件及其制造方法
CN101728274A (zh) 通过共注入碳和氮降低多晶硅耗尽
US8877619B1 (en) Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US10014387B2 (en) Semiconductor structure with multiple transistors having various threshold voltages
US20130032877A1 (en) N-channel transistor comprising a high-k metal gate electrode structure and a reduced series resistance by epitaxially formed semiconductor material in the drain and source areas
US20160211346A1 (en) Epitaxial Channel Transistors and Die With Diffusion Doped Channels
KR101178016B1 (ko) 구조화된 저농도 도펀트 채널들을 갖는 진보한 트랜지스터
US20230395597A1 (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant