JP4903055B2 - パワー半導体デバイスおよびその製造方法 - Google Patents

パワー半導体デバイスおよびその製造方法 Download PDF

Info

Publication number
JP4903055B2
JP4903055B2 JP2006547577A JP2006547577A JP4903055B2 JP 4903055 B2 JP4903055 B2 JP 4903055B2 JP 2006547577 A JP2006547577 A JP 2006547577A JP 2006547577 A JP2006547577 A JP 2006547577A JP 4903055 B2 JP4903055 B2 JP 4903055B2
Authority
JP
Japan
Prior art keywords
trench
gate
region
charge control
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006547577A
Other languages
English (en)
Other versions
JP2007529115A (ja
Inventor
アショク シャラ
アラン エルバンハウイー
クリストファー ビー. ココン
スティーブン ピー. サップ
ペーター エイチ. ウィルソン
ババック エス. サニ
Original Assignee
フェアチャイルド・セミコンダクター・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by フェアチャイルド・セミコンダクター・コーポレーション filed Critical フェアチャイルド・セミコンダクター・コーポレーション
Publication of JP2007529115A publication Critical patent/JP2007529115A/ja
Application granted granted Critical
Publication of JP4903055B2 publication Critical patent/JP4903055B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/063Reduced surface field [RESURF] pn-junction structures
    • H01L29/0634Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7804Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a pn-junction diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7806Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a Schottky barrier diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7815Vertical DMOS transistors, i.e. VDMOS transistors with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • H01L2924/13034Silicon Controlled Rectifier [SCR]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Description

本発明は、半導体デバイスに関し、特にトランジスタおよびダイオードのような改善されたパワー半導体デバイスに対するさまざまな実施例および当該デバイスを内蔵するパッケージおよび回路を含むその製造方法に関する。
パワーエレクトロニクスアプリケーションにおける重要な要素は、固体スイッチである。自動車アプリケーションにおける点火制御から電池式の民生電子デバイスまで、工業アプリケーションにおいて変換器に電力を供給するために、特定のアプリケーションの要求を最適に満たすパワースイッチに対する必要性がある。例えばパワー金属酸化物半導体電解効果トランジスタ(パワーMOSFET),絶縁ゲートバイポーラトランジスタ(IGBT)およびさまざまなタイプのサイリスタを含む固体スイッチは、この要求を満たすように発達し続けている。パワーMOSFETの場合には、例えば、側面のチャンネルを有する二重拡散構造(DMOS)(例えばBlanchardなどによる米国特許第4,682,405号)、トレンチゲート構造(例えばMoなどによる米国特許第6,429,481号)およびトランジスタのドリフト領域における電荷調整(charge balancing)に対するさまざまな技術(例えば、Templeによる米国特許第4,941,026号,Chenによる米国特許第5,216,275号およびNeilsonによる米国特許第6,081,009号)が、多くの他の技術の間で開発されてきており、異なる、多くの場合に競合する要求性能を扱うこととなる。
パワースイッチに対する定義的な動作特性の幾つかは、そのオン抵抗、降伏電圧およびスイッチング速度である。特定のアプリケーションの要求に応じて、異なる重点がこれらの性能基準のそれぞれにおかれている。例えば、約300〜400ボルトより大きい電力応用に関しては、IGBTは、パワーMOSFETと比較して本質的に低いオン抵抗を示すが、そのスイッチング速度は、そのより遅いターンオフ特性によってより遅くなる。従って、低いオン抵抗を必要とする、低いスイッチング周波数を有する400ボルトより大きいアプリケーションに関しては、IGBTは好適なスイッチである一方、ポワーMOSFETは相対的に高い周波数のアプリケーションに対して選択されるデバイスであることが多い。任意のアプリケーションの周波数要求が、使用されるスイッチのタイプを決定する場合には、電圧要求が特定のスイッチの構造を決定することとなる。例えば、パワーMOSFETの場合には、ドレイン−ソース間のオン抵抗RDSonと降伏電圧との間の比例関係のために、低いRDSonを維持しつつトランジスタの電圧性能を改善することは課題をもたらす。トランジスタのドリフト領域における、さまざまな電荷調整構造が、異なる程度の成功をもってこの課題に対処するために開発されてきている。
デバイスの性能パラメータは、製造工程およびダイのパッケージ化によっても影響される。さまざまな、改善された加工技術およびパッケージ技術を開発することによって、これらの課題の幾つかに対処するための試みがなされている。
それが超ポータブルである民生の電子デバイスまたは通信システムにおけるルータおよびハブであろうとなかろうと、パワースイッチ用の色々なアプリケーションが電子産業の発展とともに成長し続けている。従って、パワースイッチは、依然として、高い発展可能性を有する半導体デバイスである。
本発明は、色々なパワーエレクトロニクスアプリケーションのためのパワーデバイスに加えてそれらの製造方法,パッケージ化および当該パワーデバイスを組み込んだ回路に対するさまざまな実施例を提供する。概して、本発明の1つのアスペクトは、多くの電荷調整技術と寄生容量を低減する他の技術とを組み合わせて、改善された電圧性能,速いスイッチング速度および低いオン抵抗を有するパワーデバイスに対するさまざまな実施例を見出している。本発明の別のアスペクトは、低電圧,中間の電圧および高電圧の電圧デバイスに対する改善された終端構造を提供する。パワーデバイスに対する改善された製造方法は、本発明の他のアスペクトによって提供されている。例えば、特にトレンチの形成,トレンチ内側への誘電体層の形成,メサ構造の形成および基板厚みを低減する工程のような特定の加工段階に対する改善が、本発明のさまざまな実施例によって与えられている。本発明の別のアスペクトによると、電荷調整されたパワーデバイスは、同じチップ上に例えばダイオードのような温度および電流の検出部を組み込んでいる。本発明の他のアスペクトは、パワーデバイスに対する等価直列抵抗(ESR)またはゲート抵抗を改善し、パワーデバイスと同じチップ上にさらなる回路を組み入れ、電荷調整されたパワーデバイスのパッケージ化に対して改善を与える。
本発明のこれらおよび他のアスペクトは、添付図面に関連して、以下により詳細に説明される。
発明の詳細な説明
<関連特許>
本出願は、以下の仮出願された米国特許出願の利益を主張するものである。
Ashokなどによる「パワー半導体デバイスおよびその製造方法」と表題が付けられた米国特許出願第60/533,790号(出願日:2003年12月30日)(代理人整理番号18865−133/17732−67260)
本出願は、以下の同一出願人による米国特許出願の部分継続である。
Koconなどによる「低減されたミラー容量およびスイッチング損失に対する改善されたMOSゲート法」と表題が付けられた米国特許第10/640,742号(出願日:2003年8月14日)(代理人整理番号90065.000241/17732−66550)。
Herrickによる「自己整合の特徴を有するトレンチ型MOSFETの構造およびその製造方法」と表題が付けられた米国特許第10/442,670号(出願日:2003年5月20日)(代理人整理番号18865−131/17732−66850)。
本出願は、以下の同一出願人による米国特許出願に関連している。
Moなどによる「電解効果トランジスタおよびその製造方法」と表題が付けられた米国特許第10/155,554号(出願日:2002年5月24日)(代理人整理番号18865−17−2/17732−7226.001)。
Sappによる「デュアルトレンチパワーMOSFET」と表題が付けられた米国特許出願第10,209,110号(出願日:2002年7月30日)(代理人整理番号18865−98/17732−55270)。
Koconによる「改善された小さい前方損失(forward loss)および高い素子能力を有する半導体構造」と表題が付けられた米国特許出願第09/981,583号(出願日:2001年10月17日)(代理人整理番号18865−90/17732−51620)。
Marchantによる「側面減少構造を有する電解効果トランジスタ」と表題が付けられた米国特許出願第09/774,780号(出願日:2001年1月30日)(代理人整理番号18865−69/17732−26400)。
Sappなどによる「低出力キャパシタンスを有する垂直電荷制御半導体デバイス」と表題が付けられた米国特許出願第10/200,056号(出願日:2002年7月18日)(代理人整理番号18865−97/17732−26400)。
Koconなどによる「ドリフト領域の高いブロッキング低電圧降下半導体構造」と表題が付けられた米国特許出願第10/288,982号(出願日:2002年11月5日)(代理人整理番号18865−117/17732−66550)。
連続ストライプセルを維持しつつプラナーまたはトレンチストライプのパワーデバイスへの電流方向を絶縁する方法」と表題が付けられた米国特許出願第10/315,719号(出願日:2002年12月10日)(代理人整理番号90065.051802/17732−56400)。
Elbanhawyによる「DC−DC変換器における損失を低減する方法および回路」と表題が付けられた米国特許出願第10/222,481号(出願日:2002年8月16日)(代理人整理番号18865−91−1/17732−514300)。
Joshiによる「半導体デバイスのモールドしないパッケージ」と表題が付けられた米国特許出願第10/235,249号(出願日:2002年9月4日)(代理人整理番号18865−71−1/17732−26390−3)。
Joshiなどによる「リードされたモールドパッケージにおけるフリップチップおよびその製造方法」と表題が付けられた米国特許出願第10/607,633号(出願日:2003年6月27日)(代理人整理番号18865−42−1/17732−13420)。「電荷バランス構造を有する蓄積デバイスおよびその形成方法」と表題が付けられた米国特許出願第60/588,845号(出願日:2004年7月15日)(代理人整理番号18865−164/17732−67010)。
上記の全ての特許出願は、その全体を本願に引用して援用する。
パワースイッチは、パワーMOSFET,IGBT,さまざまなタイプのサイリスタ等のうちのいずれかによって実施され得る。本明細書に示されている新しい技術の多くは、例示を目的としてパワーMOSFETに照らして説明されている。しかし、本明細書に説明されている本発明のさまざまな実施例は、MOSFETに限定されるものではなく、ダイオードと同様に、例えばIGBT、他のタイプのバイポーラスイッチおよびさまざまなタイプのサイリスタを含む、多くの他のタイプのパワースイッチ技術に適用することができるということが理解されるべきである。さらに、説明のために、本発明のさまざまな実施例は、特定のp型領域およびn型領域を含むように示されている。本明細書における教示は、さまざまな領域の伝導性が反転しているデバイスにも同様に適用可能である、ということが当業者によって理解される。
図1を参照すると、例示的なn型トレンチパワーMOSFET100の一部の断面図が示されている。本明細書で説明されている他の全ての図についても同様であるが、相対寸法および図に示されているさまざまな要素および構成部品のサイズは、実際の寸法を正確に反映しているものではなく且つ例示のみを目的としている。トレンチMOSFET100は、トレンチ102の内側に形成されているゲート電極を含む。このトレンチ102は、p型の井戸すなわち本体領域104を通って基板の上面から伸長しており、n型ドリフトすなわちエピタキシャル領域106で終端している。トレンチ102は、薄い誘電体層108で囲まれており、例えばドープされたポリシリコンのような導電材料110で実質的に満たされている。N型ソース領域112は、トレンチ102に隣接した本体領域104の内部に形成されている。MOSFET100に対するドレイン端子は、高濃度にドープされたn+基板領域に接続している基板の裏面に形成される。図1に示される構造は、例えばシリコンからなる共通基板に関して何回も繰り返され、トランジスタのアレイを形成する。当該アレイは、技術的に周知であるさまざまな細胞構造またはストライプ構造において構成され得る。トランジスタがオンにされると、導電チャンネルが、ゲートトレンチ102の壁に沿ってソース領域112とドリフト領域106との間に垂直に形成される。
その垂直なゲート構造によって、MOSFET100は、プレーナゲートデバイスと比較して高い記録密度を可能にする。この高い記録密度は、相対的に低いオン抵抗をもたらすこととなる。トランジスタの降伏電圧の性能を改善するために、高濃度p+の本体領域118がp型の井戸104の内部に形成され、高濃度p+の本体領域118とp型の井戸104との間の界面に階段接合が形成されるようになる。トレンチの深さおよび井戸の深さに対して高濃度p+の本体領域の深さを制御することによって、電圧が加えられるときに発生する電界は、トレンチから離れる。このことは、トランジスタの性能に関連するアバランシェ電流を増大させる。この改善された構造およびトランジスタ、特に階段接合を形成する工程についてのバリエーションは、Moなどによる自己の米国特許第6,429,481号により詳細に説明されており、その内容全体は本願に引用して援用される。
垂直トレンチMOSFET100は、良好なオン抵抗および改善された耐久性を示すが、相対的に高い入力容量を有する。トレンチMOSFET100に対する入力容量は、ゲートとソースとの間の容量Cgsおよびゲートとドレインとの間の容量Cgdである2つの成分を有する。ゲートとソースとの間の容量Cgsは、ゲートの導電材料110とトレンチの上面に近いソース領域112との間の重複部分から生じる。ゲートと本体における反転チャンネルとの間に形成された容量もCgsの一因となる。その理由は、通常のパワースイッチングアプリケーションにおいて、トランジスタの本体およびソース電極は、ともに短絡させられるからである。ゲートとドレインとの間の容量Cgdは、各トレンチの底部でのゲート導電材料110とドレインに接続するドリフト領域106との間の重複部分から生じる。ゲートとドレインとの間の容量Cgdまたはミラー容量はトランジスタVDSの遷移時間を制限する。従って、より大きいCgsおよびCgdは、かなりのスイッチング損失をもたらす。これらのスイッチング損失は、パワーマネジメントアプリケーションがより高いスイッチング周波数に向かうときにますます重要になってくる。
ゲートとソースとの間の容量Cgsを低減する1つの方法は、トランジスタのチャンネル長を短くすることである。チャンネル長が短くすれば、直接的にゲートとチャンネルとの間の構成成分であるCgsは減少する。短いチャンネル長は、RDSONにも直接比例し且つ小さいゲートトレンチで同じデバイス電流容量を得ることを可能にする。このことは、ゲートとソースとの間の重複部分およびゲートとドレインとの間の重複部分の量を低減することによってCgsおよびCgdの両方を減少させることとなる。しかし、短いチャンネル長はデバイスを弱くさせ、逆バイアスされた本体−ドレイン接合が本体領域に深く押し込まれてソース領域に近付くことになる結果として空乏層が形成されるときに突き抜けることとなる。ドリフト領域がより多くの空乏層を維持するようにドリフト領域のドープ濃度を減らすことは、トランジスタのオン抵抗RDSONを増加させるという望ましくない効果をもたらす。
チャンネル長を短くすることを可能にし且つ上述の欠点への対処においても効果的であるトランジスタ構造に対する改良点は、ゲートトレンチから横方向に離間した付加的なシールドトレンチを使用することである。図2Aを参照すると、デュアルトレンチMOSFET200の例示的な実施例が示されている。デュアルトレンチという用語は、同様のトレンチの総数とは対照的に、2つの異なるタイプのトレンチを有するトランジスタを言及するものである。図1のMOSFETに共通している構造的特徴に加えて、デュアルトレンチMOSFET200は、隣接するゲートトレンチ202の間に入れられるシールドとレンチ220を含む。図2Aに示される例示的な実施例において、シールドトレンチ220は、表面からP+領域218,本体領域204を通り、ゲートトレンチ202の深さをかなり下回ってドリフト領域中に伸長する。トレンチ220は、誘電体材料222で囲まれており、例えばドープされたポリシリコンのような導電材料224で実質的に満たされている。金属層216は、トレンチ220の内部の導電材料224をn+ソース領域212と高濃度p+本体領域218とに電気的に接続する。従って、この実施例において、トレンチ220は、ソースシールドトレンチとして言及される。このタイプのデュアルトレンチMOSFET,製造方法および当該MOSFETに対する回路アプリケーションの例は、Steven Sappによる「デュアルトレンチパワーMOSFET」と表題が付けられた同一出願による米国特許出願第10/209,110号においてより詳細に説明されており、その内容全体は、本願に引用して援用される。
より深いソースシールドトレンチ220の効果は、逆バイアスがかけられた本体−ドレイン接合の結果として形成された空乏層を、ドリフト領域206中に深く押すことである。従って、より幅広い空乏領域が、電界を増大させることなく生じ得る。このことは、降伏電圧を下げることなく、ドリフト領域がより高ドープにされることを可能にする。高ドープドリフト領域は、トランジスタのオン抵抗を低減する。さらに、本体−ドレイン接合部近辺の電界を低減することによって、チャンネル長が実質的に短くなることが可能になり、さらにトランジスタのオン抵抗を低減し且つゲートとソースとの間の容量Cgsを実質的に低減する。また、図1のMOSFETと比較すると、デュアルトレンチMOSFETは、かなり少ないゲートトレンチで同じトランジスタ電流容量を得ることを可能にする。このことは、ゲートとソースとの間の重複容量およびゲートとドレインとの間の重複容量を著しく低減する。図2Aに示されている例示的な実施例において、ゲートトレンチ導電層210は、トレンチの内部に埋め込まれ、図1に示されているMOSFET100におけるトレンチ102の上に存在している層間絶縁膜のドームの必要性を取り除くこととなるということに留意すべきである。また、本明細書で教示されているソースシールドトレンチの使用は、トレンチゲートMOSFETに対して限定されるものではなく、同様の利点は、ソースシールドトレンチがプレーナMOSFET(ゲートは、基板の上面に水平に形成されている)において使用されるときにも得られる。ソースシールドトレンチを有するプレーナゲートMOSFETの例示的な実施例は、図2Bに示されている。
さらに入力容量を低減するために、ゲートとドレインとの間の容量Cgdを低減することに焦点を当てた、さらなる構造上の改良がなされ得る。上記のように、ゲートとドレインとの間の容量Cgdは、ゲートとトレンチの下部にあるドリフト領域との間の重複に起因する。この容量を低減する1つの方法は、トレンチの下部にあるゲート誘電体層の厚さを厚くする。図2Aを再び参照すると、ゲートトレンチ202は、トレンチの下部に厚い誘電体層226を有するように示されている。このトレンチの下部では、ゲートトレンチの側壁に沿った誘電体層と比較すると、ゲートトレンチ202はドリフト領域206(トランジスタのドレイン端)と重複部分がある。このことは、トランジスタの順伝導を悪化させることなく、ゲートとドレインとの間の容量Cgdを低減する。ゲートトレンチの下部に厚い誘電体層を作ることは、多くの異なる方法でなされ得る。厚い誘電体層を形成する1つの例示的な工程は、Hurstなどによる自己の米国特許第6,437,386号に説明されており、その内容全体は本願に引用して援用される。トレンチの下部に厚い誘電体層を形成する他の工程は、図56、59に関連してさらに以下に説明される。ゲートとドレインとの間の容量を最小限にするための別の方法は、トレンチ内部の中心に配置されたダイ2の誘電体コアを含むことである。当該第2の誘電体コアは、トレンチの底の誘電体ライナーから上方へ伸長している。1つの実施例において、第2の誘電体コアは、トレンチ導電材料210の上の誘電体層に接触するまで伸長し得る。この実施例およびその変形例は、Shenoyによる自己の米国特許第6,573,560号により詳細に説明されている。
ゲートとドレインとの間の容量Cgdを低減する別の技術は、1または2以上のバイアスがかけられた電極を使用してゲートをシールドすることを必要とする。この実施例によると、ゲートトレンチの内側に且つゲート電極を形成する導電材料の下に1または2以上の電極が形成されて、ドリフト領域からゲートをシールドすることによって、ゲートとドレインとの間の重複容量が実質的に低減する。図3Aを参照すると、シールドされたゲートトレンチMOSFET300Aの例示的な実施例の一部が示されている。MOSFET300Aにおけるトレンチ302は、ゲート電極310およびこの実施例においては、ゲート電極310の下に2つの付加的な電極311a、311bを含む。電極311aおよび311bは、ゲート電極310がドリフト領域306と実質的に重複することからシールドし、ゲートとドレインとの間の重複容量を取り除くこととなる。シールド電極311aおよび311bは、最適な電位で独立してバイアスがかけられる。1つの実施例において、シールド電極311aまたは311bのうちの1つは、ソース端と同じ電位でバイアスがかけられ得る。デュアルトレンチ構造と同様に、シールド電極にバイアスをかけることによって、本体−ドレイン接合部で形成される空乏領域を幅広くすることとなる。この空乏層はさらにCgdを低減する。シールド電極311の数は、スイッチングアプリケーションおよび特にはアプリケーションの電圧要求によって変化するということが理解されるべきである。同様に、任意のトレンチにおけるシールド電極のサイズは変化し得る。例えば、シールド電極311aはシールド電極311bよりも大きくあり得る。1つの実施例においては、最も小さいシールド電極はトレンチの底部に最接近しており、残りのシールド電極は、それらがゲート電極に近付くにつれてサイズが大きくなる。トレンチの内側の、独立してバイアスがかけられる電極は、垂直方向の電荷制御のためにも使用されることができ、低い順電圧および高い阻止能力(blocking capability)を改善する。高電圧デバイスに関連して以下にさらに説明される、トランジスタ構造のこのアスペクトは、Koconによる「改善された低い順電圧損失および高い阻止能力を有する半導体構造」と表題が付けられた自己の米国特許出願第09/981,583号にもより詳細に説明されている。当該特許出願の内容全体は本願に引用して援用される。
図3Bは、図2Aのデュアルトレンチ構造を図3Aのシールドされたゲート構造と組み合わせたシールドゲートトレンチMOSFET300Bに対する別の実施例を示している。図3Bに示された例示的な実施例において、ゲートトレンチ301は、MOSFET300Aのトレンチ302と同様に、シールドポリ311の上にゲートポリ310を含む。しかし、MOSFET300Bは、垂直方向電荷制御のために、ゲートトレンチ302より深い位置にあり得る非ゲートトレンチを含む。電荷制御トレンチ301は、図2Aにおけるように、トレンチの最上部でソース金属に接続する導電材料(例えばポリシリコン)の単層を有し得るが、図3Bに示される実施例は、独立してバイアスがかけられる、多重に積み重ねられたポリ電極313を使用する。トレンチにおいて積み重ねられる電極313の数は、図3Bに示されたような電極313のサイズと同じように、アプリケーションの要求によって変化し得る。電極は、独立的にバイアスがかけられるかなたは電気的につなげられていても良い。デバイス中の電荷制御トレンチの数もアプリケーションによる。
パワーMOSFETのスイッチング速度を改善するさらに他の技術は、デュアルゲート構造を用いることによってゲートとドレインとの間の容量Cgdを低減する。この実施例によると、トレンチの内部のゲート構造は、スイッチング信号を受け取る、従来のゲート機能を行う第1の部分と、ゲートの第1の部分をドリフト(ドレイン)領域からシールドし且つ独立にバイアスがかけられ得る第2の部分と、の2つの部分に分かれている。このことは、MOSFETのゲートとドレインとの間の容量を格段に低減する。図4Aは、デュアルゲートトレンチMOSFET400Aの例示的な実施例の簡略部分図である。図4Aに示されているように、MOSFET400Aのゲートは、2つの部分G1およびG2を有している。図3AのMOSFET300Aにおけるシールド電極(311aおよび311b)とは違って、MOSFET400AにおいてG2を形成する導電材料は、チャンネルを有する重複領域401を有し、その結果、ゲート端としての機能を果たす。しかし、この第2のゲート端G2は、第1のゲート端G1から独立してバイアスがかけられ、スイッチングトランジスタを駆動する同一信号を受け取らない。1つの実施例においては、その代わりに、G2はMOSFETの閾値電圧に一定電位でバイアスがかけられ、重複領域401におけるチャンネルを反転する。このことは、第2のゲートG2から第1のゲートG1に移り変わるときに、連続的なチャンネルが形成されるということを確実にする。また、G2での電位はソース電位よりも高く、ドリフト領域から離れ第2のゲートG2への電荷移動は、さらにCgdの低減に寄与するのでCgdは低減される。別の実施例において、第2のゲートG2は、スイッチング現象(switching event)の直前に閾値電圧より上の電位にバイアスがかけられる。他の実施例において、G2での電位は、可変となされることができ且つゲートとドレインとの間の容量Cgdのヘリ部を最小限にするように最適に調整される。デュアルゲート構造は、IGBTなどを含む、他のタイプのトレンチゲートパワーデバイスと同様に、プレーナゲート構造を有するMOSFETにおいて用いられ得る。デュアルゲートトレンチMOSゲートデバイスおよびかかるデバイスの製造方法についての変形は、Koconなどによる「低減したミラー容量およびスイッチング損失に対する、改善されたMOSゲート方法」と表題が付けられた自己の米国特許出願第10/640,742号により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。
改善されたパワーMOSFETに対する別の実施例は、図4Bに示されている。ここで、例示的なMOSFET400Bは、プレーナデュアルゲート構造を垂直電荷制御のためのトレンチ電極と組み合わせている。第1および第2のゲート端G1およびG2は、図4Aのトレンチデュアルゲート構造と同様の態様で機能するが、深いトレンチ420はドリフト領域における電極を与え、電荷を広げ且つデバイスの降伏電圧を増加させる。示された実施例において、シールドまたは第2のゲートG2は、第1のゲートG1の上部を覆い、P型の井戸404およびドリフト領域406に及んでいる。別の実施例において、第1のゲートG1はシールド/第2のゲートG2に及んでいる。
従って、垂直方向電荷制御のためのトレンチ電極およびゲートシールドのような、説明されたさまざまな技術は、横型MOSFET,縦型MOSFET,IGBTおよびダイオード等を含むパワーデバイスを得るために組み合わせられ得る。当該パワーデバイスの動作特性は、任意のアプリケーションに対して最適化される。例えば、図4Aに示されたトレンチデュアルゲート構造は、図3Bまたは図4Bに示されたタイプの垂直方向電荷制御のトレンチ構造と有利に組み合わせられ得る。かかるデバイスは、(図4Bにおけるトレンチ420に見られるような)導電材料の単層で満たされているかまたは(図3Bにおけるトレンチ301に見られるような)多重に積み重ねられた導電性電極で満たされている、深い電荷制御トレンチと同様に、図4Aに示されたようなデュアルゲート構造を有するアクティブトレンチを含む。ドレイン端は、基板においてソース端と同一面上に配置されている(すなわち、電流が横方向に流れる)横型デバイスに関して、電荷制御電極は、垂直方向のトレンチにおいて積み重ねられる代わりに、フィールドプレートを形成して横方向に配される。電荷制御電極の位置付けは、一般にドリフト領域における電流フローの方向に平行である。
1つの実施例において、デュアルゲートおよびシールドゲートの技術は、同じトレンチの内部で組み合わされ、スイッチング速度および阻止電圧の増大を与えることとなる。図4CはMOSFET4Cを示している。図4Cにおいて、トレンチ402Cは、第1のゲートG1,第2のゲートG2および示されるように1つのトレンチにおいて積み重ねられたシールド層411を含む。トレンチ402Cは、深く作られることができ且つアプリケーションが要求するだけの多くのシールド層411を含み得る。電荷調整およびシールド電極に対して同じトレンチを使用することによって高密度になる。その理由は、2つのトレンチの必要性が取り除かれ、それを1つに結合するからである。また、より良好な電流の広がりを可能にし、デバイスのオン抵抗をも改善する。
従って、説明されたデバイスは、シールドされたゲート,デュアルゲートおよび他の技術の組み合わせを使用し、寄生容量を低減する。しかし、ヘリでの効果によって、これらの技術はゲートとドレインとの間の容量Cgdを完全に最小化しない。図4Dを参照すると、深い本体設計(deep body design)を有するMOSFET400Dの例示的な実施例の部分断面図が示されている。この実施例によると、本体構造は、ゲートトレンチ402の間に形成されたメサの中心を通ってエッチングされるトレンチ418によって形成され、ゲートトレンチと同じ深さまたはゲートトレンチより深く伸長している。ソース金属層は、金属−拡散境界(図示せず)に薄い高融点金属を含み得る。この実施例において、本体構造は、本体のトレンチ418を実質的に取り囲むp+の本体インプラント419をさらに含む。p+インプラント層419は、さらなるシールドを可能にし、デバイス内部、特にはゲート電極に近接した部分の電位分布を変えることとなる。図4Eに示される別の実施例において、本体トレンチ418は、例えば選択エピタキシャル成長(SEG)法を使用してエピタキシャル材料で満たされている。或いは、本体トレンチ418Eは、ドープされたシリコンで満たされる。これら2つの実施例のいずれかにおいて、p+シールド接合部419を埋め込む代わりに、後の温度処理が充満した本体からシリコン中にドーパントを拡散し、p+シールド接合419を形成する。トレンチが作られた本体構造に対する変形または構成の多くは、Huangによる同一出願人の米国特許第6,437,399号および第6,110,799号により詳細に説明されている。当該特許の内容全体は、本願に引用して援用される。
図4Dおよび図4Eに示された実施例において、ゲートトレンチ402と本体トレンチ418との間の距離Lは、2つのトレンチの相対深さと同様に、ゲートとドレインとの間のヘリの容量を最小化するように制御される。SEGまたはポリ充填された本体トレンチを使用する実施例において、層419の外縁とゲートトレンチの壁との間の間隔は、本体トレンチ418の内部のポリまたはSEGのドーピング濃度を変化させることによって調整され得る。図4Fおよび図4Gは、ゲート電極の近くのデバイス内部で、ポテンシャル線の分布に関してトレンチが形成された深い本体(trenched deep body)の効果を示す図である。説明のために、図4Fおよび図4Gはシールドされたゲート構造を有するMOSFETを使用する。図4Fは、トレンチが形成された深い本体418を有する、逆バイアスがかけられたシールドゲートMOSFET400Fに対するポテンシャル線を示している。図4Gは、浅い本体構造を有する、逆バイアスがかけられたシールドゲートMOSFET400Gに対するポテンシャル線を示している。各デバイスにおける等高線は、逆バイアスがかけられたとき(すなわちブロッキングオフ状態)のデバイス内部のポテンシャル分布を示している。白線は、井戸の接合を示し、ゲート電極の隣に位置するチャンネルの下部を定義する。図から見られるように、チャンネルに与えられ且つ図4Fのトレンチが形成された深い本体MOSFET400Fに対するゲート電極を取り囲む低電位および低電界が存在する。このように電位が減少することによって、デバイスに対する全てのゲート電荷を低減する、低減されたチャンネル長が可能にする。例えば、ゲートトレンチ402の深さは、例えば0.5μm以下にされることができ且つ約0.5μm以下である間隔Lを有する本体トレンチ418より浅く作られ得る。1つの例示的な実施例において、間隔Lは0.3μm未満である。この実施例の別の利点は、ゲート−ドレインの電荷Qgdおよびミラー容量Cgdが減少することである。これらのパラメータ値が小さくなるほど、デバイスはより高速にオンオフされることができる。この改善は、ゲート電極に隣接して存在する電位を減少させることを通して実現される。この改善された構造は、オンオフされるかなり小さい電位を有し、ゲートにおける誘発された容量性電流は、かなり小さい。このことは、ゲートがより高速にオンオフすることを可能にする。
図4Dおよび図4Eに関連して説明されたような、トレンチが形成された深い本体構造は、シールドゲートまたはデュアルゲートの構造のような他の電荷調整技術と組み合わせられることができ、デバイスのスイッチング速度,オン抵抗および阻止能力をさらに改善することとなる。
上記のパワーデバイスおよびそのバリエーションによって与えられる改善は、相対的に低電圧パワーエレクトロニックアプリケーションに対する頑強なスイッチング素子を与える。本明細書で使用されている低電圧は、例えば約30V〜40V以下の電圧範囲を言及するものであるが、この範囲は、特定のアプリケーションによって変化し得る。この範囲を超える素子電圧を要求するアプリケーションは、パワートランジスタに対する一種の構造改良を必要とする。通常は、パワートランジスタのドリフト領域におけるドーピング濃度は、デバイスが阻止状態の間に、より高い電圧を維持するように低減される。しかし、より低くドープされたドリフト領域は、トランジスタのオン抵抗RDSOnの増加をもたらす。高い抵抗率は、スイッチのパワー損失を直接的に増加させる。このパワー損失は、半導体製造における最近の進歩がパワーデバイスの記録密度をさらに増加させるにつれて、より重要になってくる。
高い阻止電圧を維持しつつ、デバイスのオン抵抗およびパワー損失を改善するための試みがなされている。これらの試みの多くは、半導体デバイスにおいて大きく平坦な電界を形成するための、さまざまな垂直方向電荷制御の技術を使用する。このタイプの多くのデバイス構造は、Marchantによる「横方向空乏構造を有する電界効果トランジスタ」と表題が付けられた自己の米国特許第6,713,813号に開示された横方向空乏デバイスおよびKoconによる自己の米国特許第6,376,878号に開示されたデバイスを含んで提案されている。これら両方の特許の内容全体は、本願に引用して援用される。
図5Aは、プレーナゲート構造を有する、例示的なパワーMOSFET500Aの一部の部分断面図である。MOSFET500Aは、図2BのプレーナMOSFET200Bと同様の構造を有しているように見えるが、2つの重要な点において異なっている。導電材料でトレンチ520を満たす代わりに、これらのトレンチは、二酸化ケイ素のような誘電体で満たされる。このデバイスは、トレンチ520の外側の側壁に隣接して間隔をあけて配された、不連続な浮遊p型領域524を含む。図2AのデュアルトレンチMOSFETに関連して説明されたように、ソーストレンチ202における導電材料(例えばポリシリコン)は、空乏領域をドリフト領域中に深く押し込むことによって、セル降伏電圧を改善するのに役立つ。従って、電界を低減する他の手段が使用されないならば、これらのトレンチから導電材料を取り除くことによって、降伏電圧の低減がもたらされる。浮遊p型領域524は、電界を低減する働きをする。
図5Aに示されたMOSFET500Aを参照すると、ドレイン電圧が大きくなるときに電界が大きくなるので、浮遊p領域524は、空間電荷領域におけるそれらの位置によって定められる対応電位を得る。これらp領域524の浮遊電位は、電界がドリフト領域中に深く広がる原因となり、トレンチ520の間のメサ領域の深さにわたってより均一な電界をもたらす。結果として、トランジスタの降伏電圧が大きくなる。トレンチにおける導電材料を絶縁材料で置き換えることについての利点は、より大きな空間電荷領域が、シリコンであり得るドリフト領域よりはむしろ絶縁体にわたって現れるということである。絶縁体の誘電率は、例えばシリコンの誘電率よりも低く且つトレンチにおける空乏領域の面積は小さくなるので、デバイスの出力容量は大きく低減される。このことは、トランジスタのスイッチング特性をさらに高める。誘電体で満たされたトレンチ520の深さは、電圧要求に依存している。トレンチが深くなるほど、阻止電圧は高くなる。垂直方向電荷制御技術の別の利点は、容量をかなり増やすことなく、トランジスタセルが熱的分離のために横方向に移動されることを可能にするということである。別の実施例においては、浮遊p領域の代わりに、p型層を、誘電体が満たされたトレンチの外側の側壁に配置し、同様な垂直方向電荷調整を行う。この実施例の簡略化された部分断面図が図5Bに示されており、ここでは、トレンチ520の外側の側壁はp型層またはライナー(liner)526で覆われている。図5Bに示される例示的な実施例においては、ゲートもトレンチが形成され、さらにデバイスの相互コンダクタンスを改善する。この技術の変形を利用する、改善されたパワーデバイスに対する他の実施例は、Sappなどによる「低出力容量を有する垂直方向電荷制御半導体デバイス」と表題が付けられた同一出願人による米国特許出願第10/200,056号(代理人整理番号18865−0097/17732−55280)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。
上述のように、図5BのトレンチMOSFET500Bは、低減した出力容量および改善された降伏電圧を示す。しかし、アクティブトレンチ(ゲートトレンチ502)は、誘電体が満たされた電荷制御トレンチ520間に配置されているので、MOSFET500Bのチャンネル幅は、従来のトレンチMOSFET構造と同じ位には太くない。このことは、より高いオン抵抗RDSonをもたらし得る。図5Cを参照すると、第2の電荷制御トレンチを取り除く、垂直方向電荷制御を有するトレンチMOSFET500Cに対する別の実施例が示されている。MOSFET500Cにおけるトレンチ502Cは、ゲートポリ510およびドリフト領域506中に深く伸びた、誘電体で満たされた下位部を含む。1つの実施例において、トレンチ502Cは、ドリフト領域506の約半分の深さより下の深さまで伸長する。p型ライナー526Cは、示されているように、各トレンチの下位部に沿った外側の側壁を取り囲んでいる。この単一トレンチ構造は、第2の電荷制御トレンチをなくし、さらなるチャンネル幅とより低いRDSonを可能にする。その外壁上のp型ライナー526Cによって取り囲まれた、より深いトレンチ502Cの下位部は、出力容量およびゲートとドレインとの間の容量を低減するように電界の主要部をサポートする。別の実施例において、p型ライナー526Cは、トレンチ502Cの側面および底部に沿った、複数の不連続領域に作られる。他の実施例は、単一トレンチ電荷制御構造を前述のシールドゲートまたはデュアルゲートの技術と組み合わせることによって可能となり、デバイスの寄生容量をさらに低減する。
図6を参照すると、高電圧アプリケーションに適したパワーMOSFET600の簡略断面図が示されている。当該高電圧アプリケーションは、高速スイッチングをも要求する。MOSFET600は、降伏電圧を改善するための垂直方向電荷制御をスイッチング速度を改善するシールドゲート構造と組み合わせている。図6に示されているように、シールド電極611は、ゲートトレンチ602の内部の、ゲート導電材料610とトレンチの下部との間に配置されている。電極611は、トランジスタのゲートとドレインとの間の容量を著しく低減する、下にあるドレイン領域(ドリフト領域606)からトランジスタのゲートをシールドする。その結果、その最大スイッチング周波数を増大させることとなる。ドープされたライナー626を有する、誘電体が満たされたトレンチ620は、垂直方向に大きく平坦な電界を形成するのに役立ち、デバイスの降伏電圧を改善することとなる。作動中に、p型ライナー626を有する、誘電体で満たされたトレンチ620とシールドゲート構造との組み合わせは、寄生容量を低減し且つゲート電極の端部における電界集中を分散させるドリフト領域を無い状態にするのに役立つ。このタイプのデバイスは、RF増幅器または高周波数スイッチングアプリケーションにおいて使用され得る。
図7は、高電圧,高周波数のアプリケーションに適した、別のパワーMOSFETに対する別の実施例を示している。図7に示された簡略例において、MOSFET700は、降伏電圧を改善するための垂直方向電荷制御をスイッチング速度を改善するデュアルゲート構造と組み合わせている。図6に示されたデバイスと同様に、垂直方向電荷制御は、pドープされたライナー726を有する、誘電体で満たされたトレンチ720の使用によって実施される。寄生容量の低減は、第1のゲート電極G1が、第2のゲート電極G2によってドレイン(nドリフト領域706)からシールドされるデュアルゲート構造の使用によって実現される。第2のゲート電極G2は、連続的にバイアスがかけられるかまたは領域701におけるチャンネルを反転するためにスイッチング現象に先立ってバイアスがかけられても良く、デバイスが作動されるときに連続的なチャンネルを通した電流の連続フローを確実にする。
他の実施例において、シールドされた垂直方向電荷制御MOSFETは、ドープされた側壁の誘電体で満たされたトレンチを使用し、集積したショットキーダイオードを提供する。図8は、本実施例によるシールドゲートMOSFET800の1つの例を示している。この例において、トレンチ802の下位部における電極811は、ゲート電極810をドリフト領域806からシールドし、ゲートとドレインとの間の寄生容量を低減する。外側の側壁にpドープされたライナーを有する、誘電体で満たされたトレンチ820は、垂直方向電荷制御を与える。ショットキーダイオード828は、幅Wのメサを形成する2つのトレンチ820Aおよび820Bの間に形成される。このショットキーダイオードの構造は、トレンチMOSFETセルアレイの至る所に散在し、MOSFETスイッチの動作特性を高める。順電圧降下は、ショットキー構造828の低バリア高さを利用することによって低減される。さらに、このダイオードは、縦型パワーMOSFETの通常のPN接合と比較して、本質的な逆再生速度の利点を有する。誘電体で満たされたトレンチ820の側壁を、例えばボロンでドーピングすることによって、燐の偏析による側壁の漏れ経路が除去される。トレンチ工程の特徴は、ショットキーダイオード828の動作を最適化するために使用され得る。1つの実施例において、例えば、幅Wは、ショットキー構造828のドリフト領域における空乏が、隣接するPN接合によって影響され且つ制御され、ショットキーダイオード828の逆電圧性能を増大させるように調整される。モノリシックに集積したトレンチMOSFETおよびショットキーダイオードの例は、Sappによる同一出願人による米国特許第6,351,018号において見出されることができる。当該特許の内容全体は、本願に引用して援用される。
誘電体が満たされたトレンチ間に形成されたショットキーダイオードは、プレーナゲート構造を有するMOSFETおよびトレンチの下部にシールド電極を有さないトレンチゲートMOSFET(厚い誘電体を含むかまたは含まない)等を含む、さまざまな異なるタイプのMOSFETで集積され得る。集積したショットキーダイオードを有するデュアルゲートトレンチMOSFETに対する例示的な実施例は、図9Aに示されている。MOSFET900Aは、ゲートトレンチ902を含み、第1のゲートG1は第2のゲートG2の上に形成され、寄生容量を低減し且つスイッチング周波数を増大させる。MOSFET900Aは、垂直方向電荷制御のために、その外側の側壁に沿って形成されたpドープされたライナー926を有する、誘電体で満たされたトレンチ920をも含み、デバイスの降伏電圧を高める。前述の多くの実施例のライナー(例えば、図6,7,8および9A)を形成する1つの方法は、プラズマドープ工程を使用する。ショットキーダイオード928Aは、示されているように2つの隣接する、誘電体で満たされたトレンチの間に形成される。他のバリエーションにおいては、モノリシックに集積したショットキーダイオードおよびトレンチMOSFETは、誘電体で満たされたトレンチを有さずに形成される。図9Bは、この実施例による例示的なデバイス900Bの断面図である。MOSFET900Bは、アクティブトレンチ902Bを含み、各々のアクティブトレンチは、ゲート電極910の下に埋め込まれた電極911を有する。ショットキーダイオード928Bは、示されているように、2つのトレンチ902Lおよび902Rの間に形成される。バイアスをかけられた電極911の電荷調整効果は、逆降伏電圧を損なうことなく、ドリフト領域のドープ濃度を大きくすることを可能にする。ドリフト領域におけるより高いドープ濃度は、この構造に対する順電圧降下を低減する。前述した、埋め込み電極を有するトレンチMOSFETなどの場合、埋め込み電極の数と同様に各トレンチの深さは変化し得る。図9Cに示される1つの変形において、トレンチ902Cは、示されるように、ソース電極に接続したショットキーセル928Cにおけるゲート電極910Sおよび1つの埋め込み電極911を有する。ショットキーダイオードのゲートは、MOSFETゲート端に選択的に接続することができる。図9D,9Eおよび9Fは、MOSFETのアクティブセルアレイ内に散在するショットキーダイオードに対する、例示的なレイアウトバリエーションを示す。図9Dおよび図9Eは、単一メサショットキーおよびダブルメサショットキーのレイアウトをそれぞれ示すが、図9Fは、ショットキー領域がMOSFETのトレンチと垂直であるレイアウトを示している。MOSFET領域に対する複数のショットキーを含む、集積したショットキーダイオードのこれらおよび他のバリエーションは、本明細書で説明されているトランジスタ構造のいずれとも組み合わせられ得る。
他の実施例において、パワーデバイスの電圧阻止能力は、誘電体で縁取られたトレンチ内部に埋め込まれ且つデバイスドリフト領域における電流フローに平行に配置された、1または2以上のダイオード構造を連続的に使用することによって高められる。図10は、この実施例による例示的なトレンチMOSFET1000の簡略断面図を与える。ダイオードのトレンチ1020は、ゲートトレンチ1002の両側に配置され、ドリフト領域1006中に伸長している。ダイオードトレンチ1020は、トレンチ内部に1または2以上のPN接合を形成する、反対の導電性を有する領域1023および1025から構成される1または2以上のダイオード構造を含む。1つの実施例において、トレンチ1020は、ドリフト領域と反対の極性を有する1つの領域を有し、単一のPN接合がドリフト領域との界面に形成されるようになる。P型およびn型にドープされたポリシリコンまたはシリコンが、領域1023および1025を形成するためにそれぞれ使用され得る。単価ケイ素,ガリウムヒ素およびシリコンゲルマニウム等のような他のタイプの材料も、領域1023および1025を形成するために使用され得る。トレンチ内部の側壁に沿って伸長した、薄い誘電体層1021は、トレンチにおけるダイオードをドリフト領域から絶縁する。示されるように、トレンチ1020の下部に沿った誘電体層が存在しないので、下部領域1027は下にある基板と電気的接続をすることが可能となる。1つの実施例において、ゲート酸化物1008の設計および製造に影響を与える同様の考慮が誘電体層1021を設計し且つ形成することに適用される。例えば、誘電体層1021の厚さは、電圧のような要素によって定められ、ダイオードトレンチにおける電界がドリフト領域において誘発されるように(すなわち、誘電体層を通して結合する範囲)維持され且つ伸長することが必要とされる。
作動中、MOSFET1000がその阻止状態にバイアスがかけられているときに、ダイオードトレンチ1020の内部のPN接合は、各ダイオード接合で生じるピーク電界で逆バイアスがかけられる。誘電体層1021を通して、ダイオードトレンチにおける電界は、ドリフト領域1006における対応する電界を有する。誘発された電界は、アップスイングスパイクおよびドリフト領域における電界曲線の一般的な増大という形でドリフト領域において明示される。電界におけるこの増大は、より高い降伏電圧をもたらす電界曲線の下でのより大きい面積をもたらす。この実施例におけるバリエーションは、Koconなどによる「ドリフト領域の高阻止低順電圧降下半導体構造」と表題が付けられた、同一出願人による米国特許出願第10/288,982号(代理人整理番号18865−117/17732−66560)においてより詳細に説明されている。当該特許出願のないよう全体は、本願に引用して援用される。
電荷調整のためにトレンチが形成されたダイオードを、シールドゲートまたはデュアルゲートの構造のような寄生容量を低減する技術と組み合わせたパワーデバイスに対する他の実施例が可能である。図11は、かかる実施例の1つによるMOSFET1100の1つの実施例を示している。MOSFET1100は、アクティブトレンチ1102の内側のゲート電極1110の下にシールド電極1111を使用し、例えば図3AにおけるMOSFET300Aに関連して前述されたトランジスタに対する、ゲートとドレインとの間の容量Cgdを低減する。異なる数のPN接合が、MOSFET1000と比較すると、MOSFET1100において使用される。図12は、デュアルゲートの技術をトレンチダイオード構造と組み合わせたMOSFET1200の断面図である。MOSFET1200におけるアクティブトレンチ1202は、第1のゲートG1および第2のゲートG2を含み、図4Bに関連して説明されたデュアルゲートMOSFETにおけるアクティブトレンチと同じ態様で動作する。ダイオードトレンチ1220は、電荷調整を与え、デュアルゲートアクティブトレンチ構造がデバイスのスイッチング速度を改善しつつ、デバイスの阻止電圧を増大させる。
さらに他の実施例は、図13に示されるようなプレーナゲートMOSFET1300において、トレンチが形成されたダイオードの電荷調整技術を集積ショットキーダイオードと組み合わせる。ショットキーダイオード1328を、図8および図9の実施例に関連して説明されたMOSFETと組み合わせることによって、同様の利点が得られ得る。この実施例において、プレーナゲート構造は説明のために示されており、集積ショットキーダイオードおよびトレンチダイオードの構造の組み合わせは、トレンチゲート,デュアルゲートおよびシールドゲートを含む他のタイプのゲート構造のいずれかを有するMOSFETにおいて使用され得る。結果として得られる実施例のいずれかは、トレンチが形成される本体の技術と組み合わせることもでき、図4Dおよび図4EのMOSFET400Dまたは400Eに関連して説明されるように、フリンジ寄生容量(fringing parasitic capacitance)をさらに最小化することとなる。他のバリエーションおよび同等物が可能である。例えば、ダイオードトレンチの内側の異極性伝導領域の数は、ダイオードトレンチの深さと同様に変化し得る。異極性伝導領域の極性は、MOSFETの極性と同様に反転し得る。また、PN領域のいずれか(923、925または1023、1025など)は、例えば、3次元に沿ったそれぞれの領域を、電気的接触がそれらになされ得るシリコン表面まで伸長することによって、必要に応じて独立してバイアスがかけられ得る。さらに、複数のダイオードトレンチが、デバイスのサイズおよびアプリケーションの電圧要求によって要求されるときに使用され得る。ダイオードトレンチの間隔および配置は、さまざまなストライプまたは多孔性の設計で実施され得る。
別の実施例においては、より低い順電圧損失およびより高い阻止能力のためのさまざまな電荷調整技術を利用する、蓄積モードのトランジスタの類が、与えられている。通常の蓄積モードトランジスタにおいては、ブロッキング接合はなく、デバイスは、ゲート端に隣接したチャンネル領域を少しばかり反転することによってオフにされる。トランジスタが、ゲートバイアスを印加することによってオンにされると、反転層よりむしろ蓄積層がチャンネル領域に形成される。反転チャンネルの形成がないので、チャンネル抵抗は最小化される。さらに、損失を最小化する蓄積モードトランジスタにおいて、PN本体のダイオードは存在しない。当該損失は、同期整流器のような、特定の回路アプリケーションにおいて起こる。従来の蓄積モードデバイスの欠点は、デバイスがブロッキングモードにあるときに、ドリフト領域が逆バイアス電圧を支持するように僅かにドープされなければならない、ということである。僅かにドープされたドリフト領域は、オン抵抗がより高くなるということである。本明細書で説明されている実施例は、蓄積モードデバイスにおけるさまざまな電荷調整技術を利用することによって、この限界を打開している。
図14を参照すると、電流フローに平行に配置された交互の伝導領域を有する、例示的な蓄積モードトランジスタ1400の簡略化された実施例が示されている。この実施例において、トランジスタ1400は、トレンチ1402の内側に形成されたゲート端を有するnチャンネルトランジスタ,トレンチ間に形成されたn型チャンネル領域1412,異極性である円柱状のn型部1403およびp型部1405を有するドリフト領域1406およびn型ドレイン領域1414である。エンハンスメントモードトランジスタと違って、蓄積モードトランジスタ1400は、チャンネルが形成される内側にブロッキング(この実施例においてはp型)井戸または本体領域を含まない。その代わりに、蓄積層が領域1412に形成されるときに、導電チャンネルが形成される。トランジスタ1400は、通常、領域1412のドープ濃度およびゲート電極のドープタイプによってオンまたはオフする。n型領域1412が完全に使い果たされ且つ僅かに反転されるとオンされる。異極性領域1403および1405におけるドープ濃度は、電荷拡散を最大化するように調整される。当該電荷拡散は、トランジスタがより高い電圧をサポートすることを可能にする。電流フローに平行な円柱状の異極性領域を使用することによって、領域1412および1406の間に形成された接合部から離れると電界分布が直線的に減少することなく、電界分布が平坦になる。この構造の電荷拡散効果は、トランジスタのオン抵抗を低減する、高ドープされたドリフト領域の使用を可能にする。さまざまな領域のドープ濃度は変化し得る。例えば、n型領域1412および1403は、同じまたは異なるドープ濃度を有し得る。改良されたp型トランジスタが、図4に示されたデバイスのさまざまな領域の極性を反転することによって得られ得る、ということを当業者は認識する。ドリフト領域の内部の円柱状の異極性領域の他のバリエーションは、以下にさらに説明される超高電圧デバイスに関連してより詳細に説明される。
図15は、電荷拡散の目的のためのトレンチ電極を有する、別の蓄積モードデバイス1500の略図である。1512,1506および1514の全ての領域は、同じ導電型であり、この実施例においてはn型である。オフデバイスに関しては、ゲートのポリシリコンはp型で作製される。領域1512のドープ濃度は、バイアスがかけられない状態下でほぼ空の状態にされたブロッキング接合を形成するように調整される。各トレンチ1502の内部には、1または2以上の埋め込み電極1511がゲート電極1510の下に形成される。当該埋め込み電極の全ては、誘電体1508で取り囲まれている。図3AのエンハンスメントモードMOSFET300Aに関連して説明されているように、埋め込み電極1511は、フィールドプレートとして機能し、必要に応じてそれらの電荷拡散機能を最適化する電位にバイアスがかけられ得る。電荷拡散は、独立的に埋め込み電極1511にバイアスをかけることによって制御され得るので、最大の電界は大きく増大され得る。MOSFET300Aにおいて使用された埋め込み電極と同様に、構造の異なるバリエーションが可能である。例えば、トレンチ1502の深さおよび埋め込み電極1511のサイズおよび数は、アプリケーションによって変化し得る。図3BにおけるMOSFET300Bのトレンチ構造に対して示されたものと同様の態様で、電荷拡散電極は、トランジスタのゲート電極を収容するアクティブトレンチから分離したトレンチの内部に埋め込まれ得る。かかる実施例は、図16に示されている。図16に示された実施例において、n型領域1612は、状況に応じて付加され得る、より高濃度にドープされたn+ソース領域1603を含む。高濃度にドープされたソース領域1603は、示されているようにn型領域1612の上端部に沿って伸長するかまたはn型領域1612の上端に沿ったトレンチ壁に隣接した2つの領域として形成され得る(この図においては図示されていない)。幾つかの実施例において、トランジスタが適切に切られることを確実にするために、n+領域1603を含むことによって、n型領域1606のドープ濃度を低くすることが必要となる。この任意の高濃度にドープされたソース領域は、本明細書に説明された蓄積トランジスタのいずれにも同じ態様で使用され得る。
改善された蓄積モードトランジスタに対する別の実施例は、異極性の外部ライナーを有する、誘電体で満たされたトレンチを使用する。図17は、本実施例による蓄積トランジスタ1700の簡略断面図である。誘電体で満たされたトレンチ1720は、シリコン井戸の表面からドリフト領域1706中へと下方へ伸長している。トレンチ1720は、例えば二酸化ケイ素のような誘電体で満たされている。この例示的な実施例において、トランジスタ1700は、トレンチが形成されたゲート構造を有するnチャンネルトランジスタである。p型領域1726は、示されているように、誘電体が満たされたトレンチ1720の外壁を覆う。図5A,図5Bおよび図5Cのそれぞれに関連して説明されたエンハンスメントモードトランジスタ500A,500Bおよび500Cと同様に、トレンチ1720はトランジスタの出力容量を低減するが、p型ライナー1726は、ドリフト領域において電荷調整を与え、トランジスタの阻止能力を増大させる。図18に示される別の実施例においては、正反対にドープされたライナー1826Nおよび1826Pが、誘電体が満たされたトレンチ1820の反対側に近接して形成される。つまり、誘電体が満たされたトレンチ1820は、一方の外側壁に沿って伸長するp型ライナー1826Pと、同じトレンチのもう一方の外側壁に沿って伸長するn型ライナー1826Nと、を有する。対応するエンハンスメントモードトランジスタに関連して説明されるように、誘電体で満たされたトレンチを有する蓄積トランジスタのこの組み合わせについての他のバリエーションが可能である。これらは、例えば、図5Aに示されるデバイスのようなプレーナ(トレンチとは対照的に)ゲート構造およびp型ライナーの代わりに浮遊p型領域を有する蓄積トランジスタ,図5Bに示されるデバイスのような、外側壁のみを覆い、トレンチ1726の底部を覆わないp型ライナーを有する蓄積トランジスタおよびとりわけ図5Cに示されるデバイスのような、トレンチの下部を覆うp型ライナーを有する単一トレンチ構造を有する蓄積トランジスタを含む。
別の実施例において、蓄積モードトランジスタは、電荷調整の目的のためのトレンチの内部に連続して形成された1または2以上のダイオードを使用する。この実施例による例示的な蓄積モードトランジスタ1900の簡略断面図は、図19に示されている。ダイオードトレンチ1920は、ゲートトレンチ1902の両側に配置され、ドリフト領域に伸長している。ダイオードトレンチ1920は、トレンチ内部に1または2以上のPN接合を形成する、正反対の導電型領域1923および1925から構成される1または2以上のダイオード構造を有する。p型およびn型にドープされたポリシリコンまたはシリコンが、領域1923および1925を形成するために使用される。トレンチ内側壁に沿って伸長する、薄い誘電体層は、トレンチにおけるダイオードをドリフト領域から絶縁する。示されているように、トレンチ1920の底部に沿った誘電体層が存在しないので、底部領域1927が下にある基板と電気接触をすることが可能になる。図10,図11,図12,図13およびそれらのバリエーションに示される、対応するエンハンスメントモードトランジスタに関連して説明されるように、トレンチダイオードを有する蓄積トランジスタのこの組み合わせについての他のバリエーションが可能である。
上述の蓄積モードトランジスタのいずれも、最上部(ソース)領域において、高濃度にドープされた異極性領域を使用し得る。図20は、例示的な蓄積モードトランジスタ2000の簡略化された3次元図であり、他のバリエーションと組み合わせてこの機能を示す。この実施例において、蓄積モードトランジスタ2000における電荷調整ダイオードは、ゲートと同じトレンチの内部に形成される。トレンチ2002は、ゲート電極2010を含み、その下にはn型2023およびp型2025のシリコンまたはポリシリコンの層がPN接合を形成している。薄い誘電体層2008は、ダイオード構造をドリフト領域と同様にゲート端2002から分離する。高濃度にドープされたp+領域2118は、示されているように、ソース領域2012においてトレンチ間に形成されたメサの長さに沿った間隔に形成される。高濃度にドープされたp+領域2118は、n−領域2012の面積を減少させ、デバイスのリークを低減する。p+領域2118は、アバランシェにおける正孔電流フローを改善するp+接触を可能にし、デバイスの信頼性も改善する。例示的な縦型MOSゲート蓄積トランジスタのバリエーションは、この類のデバイスのさまざまな機能および利点を示すために検討されている。当業者は、これらが横型MOSゲートトランジスタ,ダイオード,バイポーラトランジスタなどを含むほかのタイプのデバイスにおいて行われる、ということを認識する。電荷拡散電極は、ゲートと同じトレンチの内部または別のトレンチの内部のどちらにも形成され得る。上述のさまざまな例示的な蓄積モードトランジスタは、ドリフト領域で終わっているが、ドレインに接続した、より高濃度にドープされた基板で終わることもできる。さまざまなトランジスタは、六角形または四角形のトランジスタセルを含むストライプまたは細胞構造において形成され得る。他の実施例とともに説明される他のバリエーションおよび組み合わせが可能であり、その多くは、既に参照された米国特許出願第60/506,194号および米国特許出願第60/588,845においてさらに説明されている。これら両方の特許出願の内容全体は、本明細書に引用して援用される。
高電圧アプリケーションに対して設計された、他の類のパワースイッチングデバイスは、基板と井戸との間のエピタキシャル領域において、pドープおよびnドープされたシリコンが交互になった垂直部を使用する。図21を参照すると、このタイプの構造を使用するMOSFET2100の1つの例が示されている。MOSFET2100において、電圧維持またはブロッキング領域として言及されることもある領域2102は、互い違いになったn型部分2104およびp型部分2106を含む。この構造の効果は、電圧がデバイスに印加されると、空乏領域が2104および2106の部分の各側面に水平に広がるということである。ブロッキング層2102の垂直方向の全厚さは、水平電界がアバランシェ降伏を引き起こすのに十分高くなる前に涸渇する。その理由は、各垂直部分2104および2106における電荷の正味数量が、降伏電界を引き起こすために必要とされるよりも少ないからである。その領域が水平方向に完全に涸渇された後、電界は約20〜30V/μmのアバランシェ電界に達するまで垂直方向に形成し続ける。このことは、デバイスの電圧阻止能力をかなり高め、デバイスの電圧範囲を400V以上に広げることとなる。このタイプのスーパー接合(super junction)デバイスについての異なるバリエーションは、Nielsonによる自己の米国特許第6,081,009号および米国特許第6,066,878号により詳細に説明されている。これら米国特許出願の内容全体は、本願に引用して援用される。
スーパー接合MOSFET2100のバリエーションは、n型ブロッキング領域において浮遊p型アイランドを使用する。ピラーアプローチ(pillar approach)とは対照的に、浮遊p型アイランドの使用は、RDSonを低減する電荷調整層の厚さを減じることを可能にする。1つの実施例において、p型アイランドは均一に間隔をあける代わりに、臨界電界近辺に電界を維持するように間隔をあけられている。図22は、この実施例によるデバイスの1つの例を示すMOSFET2200の簡略断面図である。この例において、より深い位置にある浮遊領域2226は、その上にある浮遊領域からさらに遠くに間隔をあけられている。つまり、距離L3は距離L2よりも大きく、距離L2は距離L1よりも大きい。この態様で浮遊接合間の距離を操作することによって、少数キャリアはより粒状で導入される。これらのキャリアのソースが粒状になればなるほどRDSonは低くなり、より高い降伏電圧が引き起こされ得る。多くのバリエーションが可能である、ということが当業者によって理解される。例えば、垂直方向における浮遊領域2226の数は、示されているように4つに限定されるものではなく、最適数は変化し得る。また、各浮遊領域2226におけるドープ濃度は変化し得る。例えば、1つの実施例においては、各浮遊領域2226におけるドープ濃度は、当該領域が基板2114に近付くにつれて徐々に減少する。
さらに、低電圧および中間の電圧のデバイスに関連して説明されるような、シールドゲートおよびデュアルゲートの構造を含む、スイッチング速度を高めるために寄生容量を低減する技術の多くは、図21および図22に説明された高電圧デバイスおよびそのバリエーションと組み合わせることができる。図23は、スーパー接合構造のバリエーションをデュアルゲート構造と組み合わせた高電圧MOSFET2300の簡略断面図である。MOSFET2300は、例えば、図4Bに示されたデュアルゲートトランジスタと同様に、ゲート端G1おおよびG2から構成されるプレーナデュアルゲート構造を有している。胃極性(この例においてはp型)領域2326は、p−井戸2308の下のn型ドリフト領域2306に垂直方向に配置されている。p型領域2326のサイズおよび間隔は、この例において変化し、その結果、p−井戸2308により近接して配置された領域2326は互いに接触しているが、さらに下方に配置された領域2326は浮遊しており、示されるようにサイズにおいても小さい。図24は、スーパー接合技術をシールドゲート構造と組み合わせた高電圧MOSFETに対するさらに別の実施例を示している。MOSFET2400は、ゲート電極2410を有するトレンチゲートデバイスである。例えば、図3AにおけるMOSFET300Aと同様に、このゲート電極2410は、ドリフト領域2406からシールド電極2411でシールドされている。MOSFET2400は、ドリフト領域2406において、電流フローに平行に配置された異極性浮遊領域242も含む。
終端構造
上記のさまざまなタイプの個別デバイスは、チップ端の空乏領域の円柱状または球状の形状によって制限された降伏電圧を有する。この円柱状または球状の降伏電圧は、通常、デバイスのアクティブエリア(active area)における平行面降伏電圧BVppよりもかなり低いので、デバイスの端部は、アクティブエリアの降伏電圧に近い、デバイスに対する降伏電圧を実現するように終端処理される必要がある。異なる技術は、端部の末端上に均一に電界および電圧を拡散するように開発されており、BVppに近い降伏電圧を実現している。これらは、フィールドプレート,フィールドリング,ジャンクションターミネーションエクステンション(JTE)およびこれらの技術の異なる組み合わせを含む。既に参照された、Moなどによる自己の米国特許第6,429,481号は、アクティブセルアレイを取り囲み、その上を覆うフィールド酸化膜層(overlying field oxide layer)を有する深い接合(井戸よりも深い)を有する電界終端構造の1つの例を説明している。nチャンネルトランジスタの場合において、例えば、終端構造は、n型ドリフト領域とともにPN接合を形成する深いp+領域を含む。
別の実施例において、セルアレイの外周を取り囲む、1または2以上のリング形状のトレンチは、電界を小さくし且つアバランシェ降伏を増大させる機能を果たす。図25Aは、トレンチトランジスタに対する一般的なトレンチのレイアウトを示している。アクティブトレンチ2502は、リング形状の終端トレンチ2503によって取り囲まれている。この構造において、メサ端部に点線で描かれた円によって示される領域2506は、他の領域よりも早く涸渇状態になり、逆バイアスの状態下で降伏電圧を低減するこの領域における増大された電界をもたらす。従って、このタイプのレイアウトは、低電圧デバイス(例えば<30V)に限られる。図25B〜図25Fは、図25Aに示された高電界領域を減少させるための、異なるトレンチのレイアウトを有する終端構造に対する多くの実施例を示している。図に見られるように、これらの実施例において、幾つかのまたは全てのトレンチは、終端トレンチから分離している。アクティブトレンチの端部と終端トレンチとの間ギャップWGは、図25Aに示された構造において認められる電界過密効果を低減するように機能する。1つの例示的な実施例において、WGはトレンチ間のメサの幅のほぼ半分になされる。高電圧デバイスに対しては、図25Fに示されるような複数の終端トレンチが使用され、デバイスの降伏電圧をさらに増大させることとなる。Challaによる「半導体デバイスに対するトレンチ構造」と表題が付けられた、自己の米国特許第6,683,363号は、これらの実施例の幾つかについてのバリエーションをより詳細に説明している。当該米国特許の内容全体は、本願に引用して援用される。
図26A〜図26Cは、電荷調整されたトレンチMOSFETに対する、さまざまなトレンチ終端構造を示している。示された例示的な実施例において、MOSFET2600Aは、アクティブトレンチ2602の内部のゲートポリ2610の下に埋め込まれたシールドポリ電極2611を有するシールドゲート構造を使用する。図26Aに示された実施例において、終端トレンチ2603Aは、相対的に厚い誘電体層(酸化物)2605Aで覆われており、ポリ2607Aのような導電材料で満たされている。酸化層2605Aの厚さ,終端トレンチ2603Aの深さおよび終端トレンチと隣接するアクティブトレンチとの間の間隔(すなわち最後のメサの幅)は、デバイスの逆のブロッキング電圧によって定められる。図26Aにしめされた実施例において、トレンチは表面で幅広く(T−トレンチ構造)、金属フィールドプレート2609Aは、終端領域一面に使用されている。別の実施例において(図示せず)、フィールドプレートは、表面上にかつ終端領域一面に(図26Aにおける終端トレンチの左に)、終端トレンチ2603Aの内部にポリ2607Aを伸長することによって、ポリシリコンから形成され得る。多くのバリエーションが可能である。例えば、シリコンに対する金属接触の下のp+領域(図示せず)が、より良好なオーミック接触のために付加され得る。終端トレンチ2603Aに隣接する最後のメサにおけるp−井戸領域2604及びそのそれぞれの接触は、状況に応じて除去され得る。また、浮遊p型領域は、終端トレンチ2603Aの左(すなわちアクティブエリアの外側)に付け加えられ得る。
他のバリエーションにおいて、終端トレンチ2603をポリで満たす代わりに、ポリ電極は酸化物で満たされたトレンチの内部のトレンチの下位部に埋め込まれる。この実施例は、図26Bに示されており、終端トレンチ2603Bのほぼ半分は酸化物2605Bで満たされており、下半分は酸化物の内部に埋め込まれたポリ電極2607Bを有している。トレンチ2603Bの深さおよび埋め込まれたポリ2607Bの高さは、デバイス加工に基づいて変化する。図26Cに示されるさらに他の実施例において、終端トレンチ2603Cは誘電体で満たされており、その中に導電材料は埋め込まれていない。図26A,図26Bおよび図26Cに示された3つ全ての実施例に関して、終端トレンチを最後のアクティブトレンチから分離している最後のメサの幅は、2つのアクティブトレンチ間に形成された標準的なメサの幅とは異なっており、終端領域において最良の電荷調整を実現するように調製され得る。図26Aに示された構造に関連した上述の全てのバリエーションは、図26Bおよび図26Cに示された構造に適用され得る。さらに、終端構造はシールドゲートデバイスに対して本明細書で説明されているが、同様の構造は、上述のさまざまなトレンチをベースにした全てのデバイスに対して実施され得る、ということが当業者によって認識される。
低電圧デバイスに関しては、トレンチ終端リングに対する角部の設計は重要ではないかもしれない。しかし、高電圧デバイスに関しては、終端リングの角部の丸みが、より大きい曲率半径を有することが望ましい。デバイスの電圧要求が高くなればなるほど、終端トレンチの角部での曲率半径は大きくなり得る。また、終端リングの数は、デバイス電圧が大きくなるにつれて多くなり得る。図27は、相対的に大きい曲率半径を有する2つの終端トレンチ2703−1および2703−2を含む例示的なデバイスを示している。トレンチ間の間隔は、デバイスの電圧要求に基づいて調整され得る。この実施例において、終端トレンチ2703−1と2703−2との間の距離S1は、第1の終端トレンチ2703−1とアクティブトレンチとの間の距離のほぼ2倍である。
図28A,図28B,図28Cおよび図28Dは、シリコンピラー電荷調整構造を有するさまざまな終端領域に対する例示的な断面図を示している。図28Aに示された実施例において、フィールドプレート2809Aは、p型ピラー2803Aの全てのリングに接触している。このことは、フィールドプレートによる横方向の空乏のため、より幅広いメサ領域を可能にする。降伏電圧は、通常、フィールド酸化膜の厚さ,リング数および終端ピラー2803Aの深さおよび間隔に依存している。このタイプの終端構造に対する多くの異なるバリエーションが可能である。例えば、図28Bは別の実施例を示しており、ここでは大きなフィールドプレート2809B−1が最後のピラーを除いた全てのピラー2803Bを覆っている。当該最後のピラーは、別のフィールドプレート2809B−2に接続している。大きなフィールドプレート2809B−1を接地することによって、p型ピラー間のメサ領域は素早く枯渇し且つ水平方向の電圧降下は顕著でなくなり、図28Aに示された実施例よりも低い降伏電圧をもたらすこととなる。図28Cに示される別の実施例においては、終端構造は中央のピラー上にフィールドプレートを有していない。中央のピラー上にフィールドプレートがないので、それらは十分に涸渇させるためにより狭いメサ領域を有する。1つの実施例においては、外側のリングに向かって徐々にメサを減少することによって最適な性能がもたらされる。図28Dに示された実施例は、幅広い井戸領域2808Dを設けることによって且つ示されるようにフィールド酸化膜の間の間隔を大きくすることによってp型ピラーへの接触が容易になる。
前述のタイプのさまざまなスーパー接合技術を使用する超高電圧デバイスの場合には、降伏電圧は従来のBVppよりかなり高い。スーパー接合デバイスに関しては、電荷調整またはスーパー接合構造(例えば異極性ピラーまたは浮遊領域,埋め込み電極など)は、終端領域においても使用される。デバイスの端部での上面におけるフィールドプレートのような電荷調整構造と組み合わせた標準的な端部終端構造も使用され得る。幾つかの実施例において、上面における標準的な端部構造は、終端接合における急速に減少する電荷を使用することによって除去され得る。例えば、終端領域におけるp型ピラーは、それらがネットn型調整電荷を引き起こすアクティブエリアから遠くなるほど、減少する電荷により形成され得る。
1つの実施例において、終端領域におけるp型ピラー間の間隔は、ピラーがアクティブ領域から遠く離れるにつれて変化する。この実施例によるデバイス2900Aの例示的な実施例の簡略断面図が図29Aに示されている。デバイス2900Aのアクティブエリアにおいて、例えば複数接続したp型の球体から構成される、反対の導電性を有するピラー2926Aは、n型ドリフト領域2904Aにおいてp型−井戸2908Aの下に形成される。終端領域の下のデバイスの端部に、示されているように、p型終端ピラーTP1〜TPnが形成される。アクティブエリアにおける均一な間隔を有する代わりに、終端ピラーT1〜TPnの間の中心間距離は、ピラーがアクティブ領域との界面から遠く離れるにつれて大きくなる。つまり、TP2とTP3との間の距離D1は、TP3とTP4との間の距離D2より短い。距離D2は、TP4とTP5との間の距離D3より短い。
このタイプのスーパー接合終端構造の幾つかのバリエーションが可能である。例えば、電圧維持層2904Aの内側に距離を変化させてp型終端ピラーTP1〜TPnを形成する代わりに、中心間距離は均一であるが、各終端ピラーの幅を変化させることができる。図29Bは、この実施例による終端構造の簡略例を示している。この例において、終端ピラーTP1は、終端ピラーTP2の幅W2より大きい幅TP1を有している。W2は、終端ピラーTP3の幅W3より大きく作製されている。終端領域における異極性電荷調整領域間の間隔に関しては、デバイス2900Bにおいてトレンチピラー間の中心間距離が同じであったとしても、デバイス2900Bにおいて得られる構造は、デバイス2900Aと同様である。図29Cにおける簡略断面図に示される別の例示的な実施例において、アクティブ領域における各異極性ピラー2926Cの幅は、上面から基板へと減少しているが、終端ピラーTP1およびTP2の幅は実質的に同じである。このことによって、小さい面積を利用しつつ所望の降伏電圧を実現することができる。上述のさまざまな終端構造は、所望の態様で組み合わせられることができる、ということが当業者によって認識される。これは、例えば、デバイス2900Cにおける終端ピラーの中心間距離および/または全幅は、図29Aおよび図29Bに示された実施例に関連して説明されているように変化し得るということを含む。
加工技術
従って、複数の埋め込み電極またはダイオードを有するトレンチ構造を含む、多くの異なるデバイスが説明されている。これらのトレンチ電極にバイアスをかけるために、これらのデバイスは、電気接触が各埋め込み層に作製されることを許容する。埋め込み電極を有するトレンチ構造を形成する多くの方法およびトレンチの内側で埋め込みポリ層に接触を作製する多くの方法が、本明細書で説明されている。1つの実施例において、トレンチポリ層に対する接触はチップの端部で構成される。図30Aは、2つのポリ層3010および3020を有するトレンチデバイス3000に対する端部接触の1つの例を示している。図30Aは、トレンチの縦軸に沿った断面図を示している。トレンチがチップの端部近辺で終結しているこの実施例によると、ポリ層3010および3020は、接触を目的として基板表面まできている。誘電体層3030および3040における開口3012および3022は、ポリ層に対する金属接触を可能にする。図30Bおよび図30Fは、図30Aの端部接触構造を形成することを含む、さまざまな加工工程を示している。図30Bにおいて、誘電体(例えば二酸化ケイ素)層3001は、エピタキシャル層3006の最上面でパターン化され、基板の露出面は、トレンチ3002を形成するためにエッチングされる。その後、第1の酸化層3003が基板の上面にわたって形成され、図30Cに示されるようなトレンチを含むこととなる。その後、導電材料(例えばポリシリコン)3010の第1の層が図30Dに示されるように酸化層3003の表面上に形成される。図30Eを参照すると、ポリ層3010はトレンチの内部からエッチングされ、別の酸化層3030がポリ3010一面に形成される。図30Fに示されるような第2の酸化物−ポリ−酸化物サンドイッチを形成するために、同様の工程が実施される。ここで、最上面の酸化層3040は、金属接触層のための開口3012および3022を、ポリ層3010および3020のそれぞれに対して作製するためにエッチングされて示されている。最後の工程は、さらなるポリ層に対して繰り返され得る。ポリ層は、必要に応じて上にある金属層によってつなげられ得る。
他の実施例において、任意のトレンチにおける複数のポリ層に対する接触は、チップの端部に沿う代わりに、デバイスのアクティブエリアにおいて作製される。図31Aは、複数の埋め込みポリ層に対するアクティブエリア接触構造の1つの例を示している。この例において、トレンチの縦軸に沿った断面図は、ゲート端と、2つのシールド層を与えるポリ層3111aおよび3111bと、を与えるポリ層3110を示している。3つの分離ライン3112,3122および3132は、シールドポリ層への接触形成部として示されているが、それらは全てつながっており且つデバイスのソース端に接続されているかまたは他の接触する組み合わせが、特定のアプリケーションによって要求されるように使用され得る。この構造の利点は、図30Aに示される多層の端部接触構造と比べて、接触のプレーナ性質であることである。
図31B〜図31Mは、2つのポリ層を有するトレンチに対するアクティブエリアシールド接触構造を形成する工程フローの1つの例を示している。図31Bにおけるトレンチ3102のエッチング後に、図31Cにおけるシールド酸化物3108の形成が続けられる。その後、シールドポリシリコン3111が蒸着され、図31Dに示されるようにトレンチ内部に埋め込まれる。シールドポリ3111は、基板表面でのシールド接触が必要とされる場所を除いて、図31Eにおいてさらに埋め込まれる。図31Eにおいて、マスク3109は中央のトレンチ内部のポリがさらにエッチングされることから保護する。1つの実施例において、このマスクは異なるトレンチにわたって異なる位置に適用され、中央のトレンチに対して、例えばシールドポリが3次元において(図示せず)トレンチの他の部分で埋め込まれるようになる。他の実施例において、アクティブエリアにおける1または2以上の選ばれたトレンチの内部のシールドポリ3111は、トレンチの全長にわたってマスクされる。その後、シールド酸化物3108は、図31Fに示されるようにエッチングされる。その後、図31Gに示されるようにマスク3109が除去された後、ゲート酸化物3108aの薄層が、基板上面にわたって形成される。次に、ゲートポリの蒸着およびくぼみ(recess)(図31H),p井戸埋め込みおよびドライブおよびn+ソース埋め込み(図31J)が続けられる。図31K,図31Lおよび図31Mは、BPSG蒸着,接触エッチングおよび高濃度p+本体の埋め込みの工程をそれぞれ示しており、次に金属化が行われる。図31Nは、アクティブエリアシールド接触構造に対する別の実施例の断面図を示しており、シールドポリ3111は、シールド酸化物の上面に相対的に幅広いプラットフォームを形成する。このことは、シールドポリと接触させることを容易にするが、製造工程をさらに複雑にし得る構造を導入する。
アクティブエリアシールド接触を有する例示的なトレンチデバイスの、簡略化された包括的なレイアウト図が図32Aに示されている。マスクで定義されたシールドポリのくぼみは、シールドトレンチ3213の周辺と同様に、アクティブ領域における位置3211Cにシールドポリを窪ませることを防ぐ。この技術の改良は、シールドポリのくぼみマスクに対して犬の骨のような形状を使用する。当該マスクは、シールドポリに対する接触のために、各トレンチ3202を含む交差に幅広い領域を与える。このことは、マスクされた領域におけるシールドポリがくぼみを形成されることを可能にするが、メサの最初の表面に、構造を除去する。別の実施例に対する包括的なレイアウト図は図32Bに示されており、アクティブエリアトレンチは、周辺トレンチに接続されている。この実施例において、シールドポリのくぼみマスクは、ソース金属へのアクティブエリアシールドトレンチ接触に対して、選択されたトレンチ(示された例においては中央のトレンチ)の長さにわたってシールドポリのくぼみを形成することを妨げる。図32Cおよび図32Dは、中断したトレンチ構造を有するトレンチデバイスにおける周辺トレンチに接触を作製する、2つの異なる実施例を示した簡略レイアウト図である。これらの図において、アクティブトレンチ3202および周辺トレンチ3213は、説明のために単線で示されている。図32Cにおいて、周辺ゲートポリランナー3210からの伸張またはフィンガーは、周辺シールドポリフィンガーに対して交互にされ、周辺接触が周辺トレンチから離して間隔をあけられている。ソースおよびシールド接触面積3215は、示されるように、位置3211Cにおけるアクティブ領域においてシールドポリにも接触している。図32Dに示される実施例は、アクティブトレンチと周辺トレンチとの間のオフセットを除去し、トレンチのピッチ要求から生じる可能な限定を回避することとなる。この実施例において、アクティブトレンチ3202および周辺トレンチ3213からの水平伸張部は位置合わせされ、ゲートポリランナー3210における窓3217は、接触が周辺のシールドポリに作製されることを可能にする。アクティブエリアの接触は、先の実施例におけるように、位置3211Cに作製される。
アクティブエリアにおけるトレンチシールドポリ層を接触させる別の実施例は、図33Aに示されている。この実施例においては、シールドポリにくぼみを作る代わりに、アクティブトレンチの要部にわたって垂直方向にシリコン基板まで伸張している。図33Aを参照すると、シールドポリ3311は、ゲートポリ3310を2つに分割し、トレンチ3302の高さに沿って垂直方向に伸張している。2つのゲートポリ部は、トレンチ内部の適切な位置で3次元において接続されているかまたはそれらはトレンチから抜け出ている。この実施例の1つの利点は、トレンチが形成されたポリ接触に貢献するシリコン空間を使用する代わりに、アクティブトレンチの内部にソースポリ接触を作製することによって節約される面積である。図33B〜図33Mは、図33Aに示されたタイプのアクティブエリアシールド接触構造を形成する工程フローの1つの例を示している。図33Bにおけるトレンチ3302のエッチングの後には、図33Cにおけるシールド酸化物3308の形成が続けられる。その後、シールドポリシリコン3311が、図33Dに示されるようにトレンチの内部に蒸着される。シールドポリ3311はエッチングされ、図33Eに示されるようにトレンチ内部に埋め込まれる。その後、シールド酸化物3308は、図33Fに示されるようにエッチングされ、トレンチの内部の側面上に2つの窪みを形成する、シールドポリシリコン3311の露出部ができる。その後、ゲート酸化物3308aの薄層は、図33Gに示されるように、基板の上面,トレンチの側壁およびトレンチ内部の窪みにわたって形成される。次に、ゲートポリの蒸着およびくぼみ(図33H),p−井戸埋め込みおよびドライブ(図33I)およびn+ソース埋め込み(図33J)が続けられる。図33K,図33Lおよび図33Mは、BPSG蒸着,接触エッチングおよび高濃度p+本体埋め込みの工程をそれぞれ示しており、金属化が続けられる。この工程フローにおけるバリエーションが可能である。例えば、幾つかの工程を並べ換えることによって、ゲートポリ3310を形成する工程は、シールドポリ3311を形成する工程より前に行なわれ得る。
上述の工程フローにおけるステップの多くを行うための特定の工程方法,パラメータおよびそのバリエーションは周知である。任意のアプリケーションに対して、特定の工程方法,化学的性質および材料タイプが、デバイスの製造可能性および性能を高めるために微調整され得る。改善は出発材料、すなわちエピタキシャル(エピ)ドリフト領域がその上面に形成されている基板からなされ得る。ほとんどのパワーアプリケーションにおいて、トランジスタのオン抵抗RDSonが減少することが望ましい。パワーアプリケーションの理想的なオン抵抗は、降伏電圧下のデバイスにおける最大電界として定義される臨界電界(critical field)の強関数(strong function)である。適度な移動度が維持されるという条件で、デバイスがシリコンより高い臨界電界を有する場合には、トランジスタの特定のオン抵抗は、大いに低減され得る。前述の構造および工程を含む、パワーデバイスの特性の多くは、シリコン基板との関連で説明されているが、シリコン以外の基板材料を使用した他の実施例が可能である。1つの実施例によると、本明細書で説明されているパワーデバイスは、例えば炭化ケイ素(SiC),窒化ガリウム(GaN),ガリウムヒ素(GaAs),リン化インジウム(InP)およびダイアモンド等を含むバンドギャップの広い材料から作製された基板で製造されている。これらバンドギャップの広い材料は、シリコンに対する臨界電界よりも高い臨界電界を示し、トランジスタのオン抵抗を大幅に低減することを可能にする。
トランジスタのオン抵抗に対する別の主要因は、ドリフト領域の厚さおよびドープ濃度である。ドリフト領域は、通常、エピタキシャル成長したシリコンによって形成される。RDSonを低減するために、このエピされたドリフト領域の厚さを最小限にすることが望ましい。エピ層の厚さは、出発基板のタイプによって部分的に影響される。例えば、赤リンをドープした基板は、個別半導体デバイスに対する出発基板材料として一般的に使用される。しかし、リン原子は、シリコン中に素早く拡散するという特性を有している。従って、基板の上面に形成されるエピ領域の厚さは、下にある高濃度ドープされた基板からのリン原子の上方拡散に対応するように定められる。
エピ層の厚さを最小化するために、図34に示される一つの実施例によると、例えばヒ素のような相対的に拡散率の小さいドーパントを有するエピスペーサまたはバッファ(またはバリア)層3415が、リン基板3414上に形成される。リンドープされた基板とヒ素ドープされたバッファ層との組み合わせは、その後のエピドリフト領域3406の形成の土台を与える。層3415におけるヒ素ドーパント濃度は、デバイスの降伏電圧要求によって定められ、ヒ素のエピ層3415の厚さは、特定の熱量によって定められる。その後、通常のエピ層3406がヒ素のエピの上面に蒸着され、その厚さは、デバイス要求によって定められる。ヒ素のかなり低い拡散率は、ドリフト領域の全体的な厚が低減されることを可能にし、トランジスタのオン抵抗が低減することとなる。
別の実施例において、高濃度ドープされた基板からエピ層へのドーパント種の上方拡散に対処するために、当該2つの層の間に拡散層が使用される。図35に示される1つの例示的な実施例によると、例えば炭化ケイ素Six1-xからなるバリア層は、ボロンまたはリンを含む基板3514上にエピタキシャル蒸着される。その後、エピ層3506がバリア層3515の上に蒸着される。厚さおよび炭素組成は、製造技術の熱量によって変化し得る。炭素ドーパントは基板に最初に注入され、その後、熱処理によって炭素原子が活性化し、基板3514の表面にSix1-x化合物を形成することとなる。
エピの厚さを低減する性能を制限する、特定のトランジスタ技術の別のアスペクトは、あるときはアクティブ領域に使用され、あるときは終端領域に使用されるエピ層と深い本体との間に形成される接合である。この深い本体領域の形成は、通常、工程初期に埋め込みステップを有する。フィールド酸化膜およびゲート酸化物によって要求される、その後の大きな熱量によって、深い本体およびドリフト領域での接合は、大体において徐々に変化している。チップ端での初期破壊を回避するために、高いオン抵抗をもたらす、かなり厚いドリフト領域が必要とされる。必要とされるエピ厚みを最小限にするために、拡散バリア層は、深い本体−エピの接合にも使用され得る。図36に示される例示的な実施例によると、炭素ドーパントは深い本体の窓を通して埋め込まれ、深い本体の埋め込みが実行される。次に続く熱プロセスは炭素原子を活性化し、p−井戸エピ接合にSix1-x化合物の層3615を深い本体領域3630の境界に形成する。炭化ケイ素層3615は、ボロン拡散を妨げる拡散バリアとして機能する。結果として得られる深い本体の接合は、狭くなり、エピ層3606の厚さが低減されることを可能にする。拡散バリアの利益を享受し得る、典型的なトレンチトランジスタにおけるさらに別の接合は、井戸−ドリフト領域の接合である。かかるバリア層を使用する実施例の簡略例は、図37に示されている。図31Mの構造に対する例示的な工程フローにおいて、p−井戸は図31Hおよび図31Iに示される2つのステップの間で形成される。井戸のドーパント(この例示的なn−チャンネルの実施例に対してはp型)を埋め込む前に、最初に炭素が埋め込まれる。次に続く熱プロセスは炭素原子を活性化し、p−井戸とエピとの接合にSix1-xの層3715を形成する。層3715は、拡散バリアとして機能してボロンの拡散を妨げ、p−井戸3704の深さは維持され得る。このことは、リーチスルー(reach-through)に対する電位を増加することなく、トランジスタのチャンネル長を低減するのに役立つ。リーチスルーは、ドレイン−ソース電圧が増加するにつれて、前進する空乏境界の端部がソース接合に達するときに生じる。拡散バリアとしての機能を果たすことによって、層3715はリーチスルーをも妨げる。
上述のように、トランジスタのチャンネル長を短くすることが望ましい。その理由は、このことによりオン抵抗が低減される結果となるからである。別の実施例において、トランジスタのチャンネル長は、エピタキシャル成長したシリコンを使用した井戸領域を形成することによって最小化される。つまり、ドリフトエピ層中への埋め込み(拡散ステップが次に続けられる)を含む、井戸形成の従来方法の代わりに、井戸領域は、エピドリフト層の上面に形成される。エピ−井戸の形成から得られ得る、短いチャンネル長以外の利点がある。シールドゲートトレンチトランジスタにおいて、例えば、井戸の底部(トレンチとの接触部(ゲートからドレインへの重なり)の下にゲート電極が伸張する距離は、ゲート電荷Qgdを定めるのに重要である。ゲート電荷Qgdは、トランジスタのスイッチング速度に直接的に影響を与える。従って、この距離を的確に最小化し且つ制御することができるということが望ましい。しかし、例えば図31Iに示されるように、井戸がエピ中に埋め込まれて広がっている製造工程において、この距離は制御し難い。
井戸の角でゲート−ドレインの重なりをより良好に制御するために、自己整合井戸を有するトレンチデバイスを形成するさまざまな方法が提案されている。1つの実施例において、エピ−井戸の蒸着を含む工程フローは、本体の接合の下部をゲートの下部に自己整合することを可能にする。図38A〜図38Dを参照すると、埋め込み電極(またはシールドゲート)を有する、自己整合エピ−井戸トレンチデバイスの1つの例に対する簡略化された工程フローが示されている。トレンチ3802は、基板3814の上面に形成された第1のエピ層3806中にエッチングされる。n−チャンネルトランジスタに関しては、基板3814および第1のエピ層3806はn型材料である。
図38Aは、内部トレンチ3802を含むエピ層3806の上面に成長されたシールド誘電体3808Sの層を示す。その後、例えばポリシリコンのような導電材料3811は、トレンチ3802の内部に堆積され、図38Bに示されるようにエピメサより下にエッチバックされる。さらなる誘電体3809Sをシールドポリ3811を覆うように堆積させる。メサを明らかにするように誘電体をエッチバックした後、第2のエピ層3804は、図38Cに示されるように、第1のエピ層3806の上面に選択的に成長される。エピ層3804によって形成されたメサは、示されているように最初のトレンチ3802の上に上部トレンチ部を作成する。この第2のエピ層3804は、第1のエピ層3806に対して異極性(例えばp型)のドーパントを有している。第2のエピ層3804におけるドーパント濃度は、トランジスタの井戸領域に対して所望のレベルに設定されている。層3804を形成する選択エピ成長(SEG)のステップの後、ゲート誘電体3808Gの層は、上面に形成され且つトレンチの側壁に沿って形成される。その後、ゲートの導電材料(ポリ)は、トレンチ3802の残りの部分を満たすために堆積され、その後、図38Dに示されるように平坦化される。工程は、例えば図31J〜図31Mに示される工程フローのように続き。トランジスタ構造が完成する。
図38Dに示されるように、この工程は、井戸エピ3804で自己整合されるゲートポリ3810をもたらす。ゲートポリ3810の底部をエピの井戸3804より下に下げるために、図38Cに示されるような中間のポリ誘電体層3809Sの上面は、トレンチ3802の内部の所望の位置まで僅かにエッチングされ得る。従って、この工程は、ゲート電極の底部と井戸の角との間の距離の正確な制御を与える。SEG井戸の形成工程は、シールドゲートトレンチトランジスタに制限されることはなく、他の多くのトレンチゲートトランジスタの構造(そのうちの幾つかは、本明細書に説明されている)に使用され得る、ということが当業者によって認識される。SEGメサ構造を形成する他の方法は、Madsonなどによる同一出願人による米国特許第6,391,699号およびBrushなどによる米国特許第6,373,098号において説明されている。当該特許の内容全体は、本願に引用して援用される。
自己整合の目的のために、井戸の角を制御する別の方法は、SEG井戸形成に依存せず、その代わりに角をなした井戸の埋め込みを含む工程を使用する。図39A及び図39Bは、この実施例に対する例示的な工程を示している。例えば図31Hおよび図31Iに示されているように、トレンチがゲートポリで満たされた後に井戸を形成する代わりに、この実施例においては、トレンチ3902の内部の誘電体層3908においてシールドポリを埋め込んだ後に且つトレンチの残りの部分が満たされる前に、任意の部分容量で第1の井戸埋め込みが行われる。その後、第2であるが角をなした井戸埋め込みは、図39Bに示されるように、トレンチ3902の側壁を通して行われる。その後ドライブサイクルが完了し、トレンチの角におけるドリフト-エピ界面に、井戸に対する所望の外形を得ることとなる。埋め込み容量,ドライブサイクルの詳細およびエネルギーは、デバイスの構造要求によって変化する。この技術は、多くの異なるデバイスタイプにおいて使用され得る。別の実施例において、トレンチのピッチおよび角度埋め込みは、角度埋め込みが拡散されるときに、当該角度埋め込みは、隣接するセルから連続的な井戸を形成するためにその領域と同化し、第1の井戸埋め込みの必要性を取り除く。
トレンチデバイスを形成する自己整合エピ井戸工程に対する別の実施例は、図40A〜図40Eに関連して説明されている。上述のように、ゲートとドレインとの間の容量を低減するために、幾つかのトレンチゲートトランジスタは、内部の垂直方向の側壁に沿った誘電体層より、ゲートポリの下のトレンチの底部でより厚い。図40A〜図40Eに示される例示的な工程実施例によると、図40Aに示されるように、誘電体層4008Bが最初にエピドリフト層4006の上面に形成される。誘電体層4208Bは、トレンチの底部に対して所望の厚さで形成され、その後、図40Bに示されるように誘電体の柱を残してエッチングされる。当該誘電体の柱は、次に形成されるトレンチと同じ幅を有している。次に、図40Cにおいて、選択的エピ成長ステップが実施され、誘電体の柱4008Bの周囲に第2のエピドリフト領域を形成する。第2のドリフトエピ層4006−1は、第1のエピドリフト層と同じ導電型であり且つ同じ材料であり得る。或いは、第2のエピドリフト層4006−1に対して他のタイプの材料を使用することが可能である。1つの例示的な実施例において、第2のドリフトエピ層4006−1は、シリコンゲルマニウム(SixGe1-x)合金が行われるSEGのステップによって形成される。SiGe合金は、トレンチの底部近辺の蓄積領域でキャリア移動度を改善する。このことは、トランジスタのスイッチング速度を改善し、RDSonを低減する。例えばGaAsまたはGaNのような他の化合物の使用も可能である。
その後、図40Dおよび図40Eにそれぞれ示されるように、ブランケットエピ井戸層(blanket epi well layer)が上面に形成され、その後、トレンチ4002を形成するためにエッチングされる。次に、ゲート酸化物の形成およびゲートポリの堆積(図示せず)が続けられる。結果として得られる構造は、自己整合エピ井戸を有するトレンチゲートである。従来の加工技術は、残りの工程ステップを完了するために使用され得る。バリエーションが可能であるということが当業者によって認識される。例えば、ブランケットエピ井戸層4004を形成した後にトレンチ4002をエッチングする代わりに、エピ井戸4002は、第2のドリフトエピ層4006−1の上面にのみ選択成長され、それが成長するにつれてトレンチ4002が形成され得る。
上述のさまざまな加工技術は、井戸領域の形成に焦点を当てることによってデバイス性能を高め、チャンネル長およびRDSonを低減することとなる。工程フローの他のアスペクトを改善することによって、同様にデバイス性能を高めることができる。例えば、デバイス抵抗は、基板厚みを薄くすることによってさらに低減され得る。従って、ウエハの薄膜化工程は、基板の厚さを薄くするために一般的に行われている。ウエハの薄膜化は、通常、機械研磨およびテープの工程によって実施される。研磨およびテープの工程は、ウエハ上に機械力を与え、当該機械力は、ウエハ表面への損傷をもたらし製造問題を引き起こす。
以下に説明される実施例において、改善されたウエハ薄膜化工程は、極めて基板抵抗を低減する。図40R,図40S,図40Tおよび図40Uは、基盤の厚さを薄くする1つの方法を示している。ウエハ上への所望の回路の製造が終了した後、回路が製造されているウエハ表面は、一時的にキャリアに接着される。図40Rは、結合材4003によってキャリア4005に接着された完成基板4001を示している。その後、当該完成基板の裏側は、例えば研磨および化学エッチングなどの工程を使用して所望の厚さに研磨される。図40Sは、薄膜化されている完成基板4001を有する、図40Rと同じサンドイッチ構造を示している。ウエハ4001の裏側の研磨後、ウエハの裏側は、図40Tに示されるように、低抵抗(例えば金属)ウエハ4009に接着される。このことは、薄膜化された完成ウエハ4001に金属ウエハ4009を接着するために、温度および圧力の下で、例えばはんだ4007のめっきを使用する従来方法を使用してなされ得る。その後、キャリア4005は除去され、薄膜化された完成ウエハ4001の上面はさらなる加工の前に洗浄される。高伝導性の金属基板4009は、熱放散および抵抗減少を容易にし且つ薄膜化されたウエハに対する機械的強度を与える。
別の実施例は、化学工程を使用する最終的な薄膜化ステップを実施することによる、従来の機械プロセスの欠点なしで、薄いウエハを実現する。この実施例によると、アクティブデバイスは、厚いガラス上のシリコン(SOTG)基板のシリコン層に形成される。研磨ステージで、ウエハはSOTG基板の裏面でガラスを化学エッチングすることによって薄くされる。図41は、この実施例による例示的な工程フローを示している。シリコン基板から始めて、最初にステップ4110で、例えばHeまたはH2のようなドーパントがシリコン基板中に注入される。次にステップ4112で、シリコン基板はガラス基板に接着される。異なる接着工程が使用され得る。1つの例において、シリコンウエハおよびガラスウエハはサンドイッチ状にはさまれ、2つの基板を接着するために例えば400℃近辺に加熱される。ガラスは、例えばシリコン酸化物などであることができ、例えば約600μmの厚さを有し得る。次に、ステップ4114でシリコン基板の任意の切断およびSOTG基板の形成が続けられる。処理中および後の処理中のの応力から基盤を保護するために、接着工程は、基板の反対側にSOTG層を形成するように繰り返され得る(ステップ4116)。次に、エピ層が基板のシリコン面上に堆積される(ステップ4118)。このことは、前面に加えて裏面に行われ得る。裏面エピのドーピングレベルは、裏面のシリコンと同様であることが好ましいが、表側のエピは、デバイスによって必要とされるとおりにドーピングされる。その後、基板は、表側のシリコン層にアクティブデバイスを形成する製造工程におけるさまざまなステップにかけられる。
1つの実施例において、表側の処理ステップによって導入された応力への耐性において、基板強度をさらに高めるために、基板の裏面は、表側のチップフレームの反対の構造を近似するようにパターン化され得る。この方法において、ガラス基板は格子状にエッチングされ、薄い基板がウエハにおける応力に耐えるのに役立つ。研磨で、裏側のシリコン層が従来の研磨工程によって除去される(ステップ4120)。次に、ガラスの一部分(例えば半分)を除去する別の研磨ステップ4122が続けられる。その後、ガラスの残りの部分が、例えばフッ酸を使用した化学エッチング工程によって除去される。裏側のガラスのエッチングは、アクティブシリコン層を攻撃する危険なくしてまたはアクティブシリコン層に対する物理的ダメージの原因となることなくして実施され得る。このことによって、ウエハにテープを貼る必要性が取り除かれ、結果として、テープおよびリテープ(re-tape)の設備の必要性およびそれらの各工程に関連したプロセスリスクが取り除かれる。従って、この工程は基板厚みをさらに最小化することを可能にし、デバイスの性能を高めることとなる。おの改善されたウエハ薄膜化工程の多くのバリエーションが可能である、ということが理解されるべきである。例えば、最終的な基板に対する所望の厚さによって、薄膜化ステップは、研磨を含むかもしれないしまたは含まないかもしれないし、化学エッチングが十分であり得る。また、改善されたウエハ薄膜化工程は、個別デバイスの加工に限定されず、他のタイプのデバイスの加工に使用され得る。他のウエハ薄膜化工程は、Pritchettによる同一出願人による米国特許第6,500,764号に説明されており、当該米国特許の内容全体は、本願に引用して援用される。
パワートランジスタおよびそれらの性能にかなり影響を与え得る他のパワーデバイスについての他の多くの構造上のアスペクトおよび加工のアスペクトがある。トレンチの形状は1つの例である。トレンチの角周辺に集中しがちな、ダメージを与える恐れのある電界を低減するために、先が尖った角を避け、その代わりに丸い角を有するトレンチを形成することが望ましい。信頼性を改善するために、トレンチの側壁が滑らかな表面を有していることも望ましい。異なる化学エッチングは、例えばシリコンのエッチングレート,マスク層に対する選択性,エッチングプロファイル(側壁の角度),一番上の角の丸み,側壁の粗さおよびトレンチ底部の丸みなどのような幾つかの反応の間でトレードオフを与える。例えばSF6であるフッ素化物(fluorinated chemistry)は、高いシリコンのエッチングレート(1.5μm/min),丸みを帯びたトレンチ底部および一直線のプロファイルを与える。フッ素化化学の欠点は、粗い側壁およびトレンチ(凹部であり得る)の表面の制御困難性である。例えばCl2である塩素化物は、より滑らかな側壁およびエッチングプロファイルおよびトレンチ表面のより良好な制御を与える。塩素化物でのトレードオフは、低いシリコンのエッチングレート(1.0μm/min)およびトレンチ底部の丸みの少なさである。
付加的なガスは、エッチング中に側壁を表面安定化処理するのに役立つように各化学物質に加えられ得る。側壁の表面安定化処理は、横のエッチングを最小化するために使用され、所望のトレンチ深さにエッチングする。さらなる加工ステップがトレンチの側壁を滑らかにするために使用され、一番上の角およびトレンチ底部の丸み付けを実現する。トレンチの側壁の表面品質が重要である。その理由は、トレンチの側壁に成長され得る酸化層の質に影響を与える。使用される化学物質にかかわらず、通常、主要なエッチングステップの前に画期的なステップが使用される。当該画期的なステップの目的は、主要なエッチングステップの間にシリコンのエッチングをマスクし得る、シリコンの表面上の自然酸化物を除去することである。画期的なエッチングについての代表的な化学物質はCF4またはCl2を含む。
図42Aに示される、改善されたエッチング工程に対する1つの実施例は、塩素をベースにした、主要なシリコントレンチエッチングを使用し、フッ素をベースにしたエッチング工程が続けられる。この工程の1つの例は、Cl2/HBrの主要エッチングステップを使用し、次にSF6エッチングステップが続けられる。塩素処理ステップが主要なトレンチを所望の深さ部分までエッチングするために使用される。このことは、ある程度のテーパーおよび滑らかな側壁を有するトレンチプロファイルを定める。次の塩素処理ステップが、トレンチの残りの部分をエッチングするために使用され、トレンチ底部を丸め且つトレンチの側壁上のシリコンのダングリングボンドをさらに滑らかにする。フッ素化エッチング工程は、平滑化および丸み付けを制御するために、相対的に小さいフッ素流量,低圧および低電力で行われることが好ましい。2つの化学エッチング間のエッチング速度における差異によって、許容範囲にある全エッチング時間で、より信頼性があり且つ製造可能な工程を実現するように、2つのステップの時間はバランスを保たれ得るが、所望のトレンチプロファイル,側壁粗さおよびトレンチ底部の丸みは維持される。
図42Bに示される他の実施例において、シリコンエッチングに対する改良方法は、フッ素をベースにした主要なエッチングステップと次に続けられる塩素をベースにした第2のエッチングステップとを含む。この工程の1つの例は、SF6/O2の主要なエッチングと次に続けられるCl2のステップとを含む。フッ素のステップは、大部分の深さを占める主要なトレンチをエッチングするために使用される。このステップによって、まっすぐな側壁および丸められたトレンチ底部を有するトレンチが作られる。状況に応じて、酸素がこのステップに加えられて、側壁の安定化処理を与え、側方エッチングを低減することによってまっすぐな側壁を維持することを補佐する。引き続き行われる塩素のステップは、トレンチの先端部の角を丸め且つ側壁粗さを低減させる。フッ素のステップの速いシリコンエッチング速度は、エッチングシステムの処理能力を増加させることによって、工程の生産性を増大させる。
図42Cに示されるさらに別の実施例において、改良されたシリコンエッチング工程が、フッ素をベースにした化学反応にアルゴンを付加することによって得られる。この実施例による、主要なエッチングステップ用に使用される化学の例は、SF6/O2/Arである。エッチングステップにアルゴンを加えることによってイオン衝撃が増大し、その結果エッチングがより物理的になる。このことは、トレンチの先端部を制御するのに役立ち、トレンチの先端部が凹角になることをなくす。アルゴンの付加は、トレンチ底部の丸みを増大させ得る。付加的なエッチング工程は、側壁の平滑化のために必要とされ得る。
改良されたシリコンエッチング工程に対する別の実施例は、図42Dに示されるように、
フッ素をベースにした化学を酸素とともに使用するが、酸素は主要なエッチングステップの出発点からは取り除かれている。この工程の1つの例は、SF6を使用し、次にSF6/O2のステップが続けられる。エッチングの第1段階において、O2不足による側壁の安定化処理不足がある。このことは、トレンチの先端部で側方エッチングの量を増大させる結果をもたらす。その後、第2のエッチングステップSF6/O2が、トレンチ深さの残部のエッチングを続け、まっすぐなプロファイルおよび丸み付けられたトレンチ底部にする。このことは、時々T−トレンチとして言及される、先端部でより幅広いトレンチ構造をもたらす。T−トレンチ構造を使用するデバイスの例は、Robert Herrickによる「自動位置合わせ機能を有するトレンチMOSFETを形成する構造および方法」と表題が付けられた、同一出願人による米国特許出願第10/442,670号(代理人整理番号:18865−131/17732−66850)に詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。2つの主要なエッチングステップに対する時間は、T−トレンチの各部分(T部分の先端,底部,まっすぐな側壁部)に対する所望のエッチングを実現するように調整され得る。付加的な処理は、T−トレンチの先端角部を丸み付けし且つトレンチの側壁を滑らかにするために使用され得る。これらの付加的な処理方法は、例えば、(1)トレンチのエッチングレシピの終わりのフッ素をベースにしたステップまたは(2)分離したエッチングシステムにおける分離したフッ素をベースにしたエッチングまたは(3)犠牲酸化物(sacrificial oxide)またはその他の組み合わせである。化学機械平坦化(CMP)のステップが、トレンチプロファイルの先端凹角部分を除去するために使用され得る。H2アニールも、丸み付けしたり、好ましいスロープトレンチプロファイルを作ることを補佐するために使用され得る。
トレンチがより深い傾向にある、高電圧アプリケーションに対して、さらなる考慮がある。例えば、深いトレンチによって、シリコンエッチング速度は、製造可能な工程を実現するために重要である。このアプリケーションに対する化学エッチングは、通常フッ素化化学である。その理由は、塩酸化学エッチングは遅すぎるからである。また、滑らかな側壁を有する、一直線からテーパーがつけられたトレンチプロファイルが望ましい。トレンチの深さによって、エッチング工程は、マスク層に対する優れた選択性を有することも必要とされる。選択性に乏しい場合には、厚いマスク層が必要とされる。当該厚いマスク層は、機能の全アスペクト比を増大させる。側壁の安定化処理も極めて重要であり、微妙なバランスが実現されることを必要とする。側壁の過剰の安定化処理は、トレンチの底部が閉じるポイントまで狭くなる原因となり、側壁の過小な安定化処理は、側方エッチングが増大することをもたらす。
1つの実施例において、深いトレンチのエッチング工程は、これら全ての要求を最適にバランスを保つように与えられる。図42Eに示されるこの実施例によると、エッチング工程は、傾斜をつけたO2(ramped 2),傾斜をつけた電力(ramped power)および/または傾斜をつけた圧力(ramped pressure)とともにフッ素をベースにした化学エッチングを含む。1つの例示的な実施例は、エッチングの間中にエッチングプロファイルおよびシリコンエッチング速度を維持するような態様でSF6/O2エッチングステップを使用することである。O2に傾斜をつけることによって、側壁の表面安定化処理量は、エッチングの間中制御され、側方エッチングが増大するのを防ぎ(表面安定化処理が少なすぎる場合)またはトレンチの底部がくびれ切れることを防ぐ(表面安定化処理が多すぎる場合)。傾斜がつけられた酸素ガスの流れとともにフッ素をベースにしたエッチングを使用する例は、Grebsなどによる「増加する酸素フローを有する集積回路のトレンチエッチング」と表題が付けられた自己の米国特許第6,680,232号に詳細に説明されている。当該特許の内容全体は、本願に引用して援用される。電力および圧力に傾斜をつけることは、イオン流出密度を制御し且つシリコンのエッチング速度を維持することを補佐する。 トレンチがより深くエッチングされるにつれて、シリコンのエッチング速度がエッチング中にかなり遅くなる場合には、全エッチング時間は長くなる。このことは、エッチングをする工程に対して低いウエハ処理能力をもたらす。また、O2に傾斜をつけることは、マスキング材料に対する選択性を制御することに役立つ結果となる。例えば10μmより深いトレンチに対する、この実施例による例示的な工程は、10〜20ワット/分の電力レベルおよび2〜3mT/分の圧力レベルで、3〜5sccm/分のO2流量を有し得る。
深いトレンチのエッチング工程の別の実施例は、例えばNF3のようなフッ素をベースにしたより攻撃的な化学を使用する。NF3は、シリコンエッチングに対してNF6より反応性があるので、NF3を使用することによって、より速いシリコンエッチング速度が実現される。付加的なガスが、側壁の表面安定化処理およびプロファイル制御のために加えられる必要があり得る。
他の実施例においては、NF3エッチングステップに続いて、SF6/O2工程が続けられる。この実施例によると、NF3ステップは、シリコンの高エッチング速度でトレンチの深さの大部分をエッチングするために使用される。その後、SF6/O2のエッチングステップが、現存するトレンチ側壁の表面安定化処理をし且つトレンチ深さの残りの部分をエッチングするために使用される。図42Fに示される、この実施例のバリエーションにおいて、NF3およびSF6/O2のエッチングステップは交互の態様で行われる。このことは、立て続けのSF6/O2工程よりも、より大きなシリコンエッチング速度での工程を与える。このことは、速いエッチング速度のステップ(NF3)とプロファイル制御のための側壁表面安定化処理をもたらすステップ(SF6/O2)との間のバランスを保つ。ステップ間の当該バランスは、側壁粗さを制御する。シリコンのエッチング速度を維持し且つエッチングプロファイルを制御するのに役立つ十分な側壁表面安定化処理をもたらすために、エッチングのSF6/O2部分に対するO2,電力および圧力に傾斜をつける必要もあり得る。上述の実施例に関連して説明されるさまざまな工程ステップは、最適なトレンチのエッチング処理を実現するために異なる方法で組み合わせられ得る、ということが当業者によって認識されるであろう。これらのエッチング工程は、本願に説明されたいかなるパワーデバイスにおけるいかなるトレンチにも、集積回路の他のタイプに使用されるトレンチのほかのタイプにも使用され得る、ということが理解されるべきである。
トレンチのエッチング工程に先立って、トレンチのエッチングマスクがシリコンの表面上に形成され、トレンチ形成されるべき領域を露出するようにパターンがつけられる。図43Aに示されるように、通常のデバイスにおいては、シリコン基板をエッチングする前に、トレンチのエッチングは、窒化物の層4305およびパッド酸化物の別の薄層4303を最初にエッチングする。トレンチにおける酸化層の形成中にトレンチが形成された後、パッド酸化物4303は、下にある窒化物層を持ち上げながらトレンチの端にも成長し得る。このことは、パッド酸化物が窒化物層4305の下のトレンチ端部近辺に局所的に成長するにつれて、一般的に”鳥の嘴”構造4307として言及されるものをもたらす。鳥の嘴構造を有するパッド酸化物の下のトレンチ端部の隣に次に形成されるソース領域は、トレンチの近くでより浅くなる。このことは極めて望ましくない。鳥の嘴効果を取り除くために、図43Bに示される1つの実施例において、例えばポリシリコン4309のような非酸化材料の層が、窒化物層4305とパッド酸化物4303との間にはさまれる。ポリ層4309は、次のトレンチ酸化物形成の間にパッド酸化物4303がさらに酸化されることを防ぐ。図44Aに示される他の実施例において、トレンチの開口を定める、窒化物層4405およびパッド酸化物4403を通じたエッチングの後に、例えば窒化物のような非酸化材料4405−1が表面構造上に形成される。その後、保護層4405−1は、図44Bに示されるような窒化物−パッド酸化物の構造の垂直な端面に沿ったスペーサを残して、水平な表面から除去される。窒化物のスペーサは、鳥の嘴効果を低減する次のステップの間に、パッド酸化物4403をさらなる酸化から保護する。別の実施例において、鳥の嘴の形成の程度を減じるために、図43Bおよび図44Bに示される両方の実施例は、組み合わせられ得る。つまり、ポリシリコン層は、図44Aおよび図44Bに関連して説明された工程から生じたスペーサに加えて、パッド酸化物と下にある窒化物との間に挟まれ得る。例えば、シリコントレンチをエッチングする間に、窒化物選択性に役立つように窒化物の表面上に他の層(例えば酸化物)を付加することを含む他のバリエーションが可能である。
シールドゲート構造を有するさまざまなトランジスタに関連して上述されたように、誘電体層は、シールド電極をゲート電極から絶縁する。時々ポリ間誘電体(inter-poly dielectric)すなわちIPDとして言及される電極間誘電体層は、シールド電極とゲート電極との間に存在し得る電位差に耐えることができるように、頑強で信頼性のある態様で形成されなければならない。図31E,図31Fおよび図31Gを参照すると、関連した工程ステップに対する簡略化フローが示されている。トレンチの内部でシールドポリ3111のエッチバックの後、シールド誘電体層3108はシールドポリ3111と同じレベルまでエッチバックされる(図31F)。その後、図31Gに示されたように、ゲート誘電体層3108aがシリコンの上面上に形成される。IPD層を形成するのはこのステップである。シールド誘電体のリセスエッチング(recess etch)の人工産物は、シールド誘電体の上面上への浅い溝の形成であり、シールド電極のどちら側にも残される。これは図45Aに示される。平坦でないトポグラフィーを有する、結果として得られた構造は、特に次の充填ステップで正角性の問題(conformality problem)を引き起こし得る。かかる問題をなくすために、IPDを形成するためのさまざまな改良方法が示されている。
1つの実施例によると、シールド誘電体のリセスエッチング後に、多結晶シリコン(ポリ)のライナー4508Pが、例えば低圧化学気相成長法(LPCVD)の工程を使用して、図45Bに示されるように堆積される。或いは、ポリライナー4508Pは、シールドポリおよびシールド誘電体にわたってのみ形成され、ポリに対する選択成長またはポリの平衡スパッタを使用することによってトレンチ側壁を実質的にポリがない状態にする。ポリライナー4508Pは、その後酸化されて二酸化ケイ素に変わる。このことは、従来の熱酸化工程によって行われる。トレンチ側壁にポリが形成されていない実施例において、この酸化工程はゲート誘電体層4508Gをも形成する。トレンチの側壁から酸化したポリ層をエッチングした後、ゲート誘電体4508Gの薄層が形成され、図45Cに示されるように、残りのトレンチの空洞はゲート電極4510で満たされる。この工程の利点は、ポリが等角法で堆積するということである。このことは、ボイドおよび他の欠陥を最小化し、ポリがひとたびシールド誘電体およびシールド電極の上に堆積されると、より平坦な表面が形成される。結果として、より頑強で信頼性のある、改善されたIPD層が得られる。酸化の前に、トレンチ側壁および隣接するシリコン表面積をポリシリコンで囲む(ライニング)することによって、次の酸化ステップはメサの消費量が少なくなり且つトレンチの幅が不必要に広がることを最小化される。
別の実施例において、図46A,図46Bおよび図46Cに示される簡略断面図において、シールドポリのリセスエッチングから生じた、トレンチ内部の空洞は、誘電体充てん材料4608Fで満たされる。当該誘電体充てん材料4608Fは、シールド誘電体4608Sのエッチング速度と同様のエッチング速度を有する、このステップは、高密度プラズマ(HDP)酸化物沈着,気相成長法(CVD)またはスピンオンガラス(SOG)工程のいずれかを使用して実施され得る。次に平坦化ステップが続けられ、トレンチの最上部で平面が得られることとなる。その後、誘電体充てん材料4608Fおよびシールド誘電体材料4608Sは、必要な厚さを有する絶縁材料の層が図46Bに示されるようにシールド電極4611の一面に残るように均一にエッチバックされる。その後、トレンチ側壁はゲート誘電体でライニングされ、その後、図46Cに示されるように、残りのトレンチの空洞はゲート電極で満たされる。結果として、局所的な非均一性がない、極めて等角なIPD層が得られる。
高品質IPDを形成する別の方法に対する例示的な実施例は、図47Aおよび図47Bの簡略断面図に示されている。トレンチの内部にシールド誘電体層4708Sを形成し、空洞をシールドポリ4711で満たした後、シールドポリのエッチバックステップが行われ、トレンチ内部にシールドポリが埋め込まれることとなる。この実施例において、シールドポリのリセスエッチングは、トレンチにより多くのポリを残し、埋め込まれたシールドポリの上面が最終的な目標深さよりも高くなるようになる。シールドポリの上面の余分なポリの厚さは、IPDの目標厚さとほぼ同じになるように設計される。その後、シールド電極のこの上部は、その酸化率をさらに高めるように物理的改変または化学変換させられる。電極を化学変換または物理的改変させるための方法は、例えばフッ素またはアルゴンのイオンのような不純物をポリシリコン中にイオン注入することによって行われ、シールド電極の酸化率をそれぞれ高めることとなる。注入は、0度で行われることが好ましい。すなわち、トレンチ側壁を物理的改変または化学変換させないように、図47Aに示されるようにシールド電極に直角に行われることが好ましい。次に、シールド誘電体4708Sは、トレンチ側壁から誘電体を除去するためにエッチングされる。このシールド誘電体リセスエッチングは、(図45Aに示されたものと同様に)シールド電極4711に隣接する、残りのシールド誘電体にわずかな凹部をもたらす。次に、従来の酸化ステップが続けられ、その結果、シールドポリ4711の変化された上部は、トレンチの側壁よりも速い速度で酸化する。このことは、トレンチシリコン表面の側壁に沿った部分より、シールド電極にわたった部分に実質的により厚い絶縁体4708Tの形成をもたらす。シールド電極にわたった厚い絶縁体4708Tは、OPDを形成する。変化したポリは、シールド誘電体リセスエッチングの結果としてシールド誘電体の上面に形成された幾つかの凹部を補償すると同時に、横方向に酸化する。その後、従来ステップが実行されてトレンチにゲート電極を形成し、図47Bに示される構造が得られることとなる。1つの実施例において、シールド電極は、IPD対ゲート酸化物の厚さ比が2対1から5対1の範囲になるように変化させられる。例として、4対1の割合が選択される場合には、シールド電極にわたって形成されたIPDの約2000Aに対して、約500Aのゲート酸化物がトレンチ側壁に沿って形成される。
別の実施例において、物理的改変または化学変換のステップは、シールド誘電体リセスエッチングの後に実行される。つまり、シールド酸化物4708Sは、トレンチ側壁から酸化物を除去するためにエッチングされる。このことは、シリコンおよびシールド電極の上部を、上述の物理的改変または化学的変換の方法に曝す。曝されたトレンチ側壁で、変更ステップは水平面、すなわちシリコンメサおよびシールド電極のみに制限される。例えばドーパントのイオン注入のような変化方法は、トレンチ側壁を物理的改変または化学的変換させないように0度(シールド電極に垂直)で行われる。その後、従来ステップが実行され、トレンチ中にゲート電極が形成される結果、シールド電極にわたった厚い誘電体をもたらす。
改善されたIPD層を形成するさらに他の実施例は、図48に示されている。この実施例によると、例えば酸化物から作られている厚い絶縁層4808Tは、埋め込まれたシールド酸化物4808Sおよびシールド電極4811にわたって形成されている。厚い絶縁膜4808Tは、高密度プラズマ(HDP)法またはプラズマ化学気相成長法(PECVD)のような指向性蒸着法を使用して選択的に形成される(すなわち下から上への充てん)。指向性蒸着法は、図48に示されるように、垂直面に沿った(すなわちトレンチ側壁にわたった)部分よりも、水平面に沿って(すなわちシールド電極およびシールド酸化物にわたって)実質的に厚い絶縁体の形成をもたらす。その後、側壁から酸化物を除去するためにエッチングステップが行われるが、シールドポリシリコンにわたって十分な酸化物を残しておく。その後、トレンチ中にゲート電極を形成するために従来ステップが実行される。等角のIPDを得ること以外の、この実施例の利点は、IPDが酸化工程よりむしろ蒸着工程を通して形成されるので、メサの破壊やトレンチの拡がりが妨げられるということである。この方法の他の利点は、トレンチの上端角部で得られる丸みである。
別の実施例において、シールド誘電体およびシールドポリが配置された後、スクリーン酸化物4908Pの薄層がトレンチの内部に成長される。その後、窒化ケイ素の層4903が、図49Aに示されるようにスクリーン酸化物4908Pを覆うように蒸着される。その後、窒化ケイ素層4903は、トレンチの底部面(すなわちシールドポリ上)からは除去されるがトレンチ側壁からは除去されないように等方エッチングされる。結果として得られる構造は、図49Bに示されている。その後、ウエハは酸化環境に曝され、図49Cに示されるように、厚い酸化物4908Tがシールドポリシリコン表面を形成することとなる。窒化物層4903は酸化に耐性があるので、著しい酸化はトレンチ側壁に沿って起こらない。その後、窒化物層4903は、例えば熱リン酸を使用してウエットエッチングによって除去される。図49Dに示されるように、従来の工程がゲート酸化物およびゲート電極を形成するために続けられる。
幾つかの実施例において、IPD層の形成はエッチング工程を含む。例えば、IPDフィルムがトポグラフィーにわたって蒸着されている実施例に対して、所望の最終的なIPDの厚さよりもかなり厚いフィルム層が最初に蒸着され得る。このことは、平面のフィルム層を得るためになされ、トレンチ中の出発層の凹みを最小限にする。その後、厚いフィルムトレンチ(トレンチを完全に満たし且つシリコン表面にわたって伸長している)は、その厚さをIPD層の目標の厚さまで減じるためにエッチングされる。1つの実施例によると、IPDのエッチング工程は、少なくとも2つのエッチングステップにおいて行われる。第1ステップは。フィルムをシリコン表面へ平坦化することを目的としている。第2ステップは、IPD層をトレンチ内の所望の深さに配置しようとすることである。この第2のステップにおいて、シリコンに対するIPDフィルムのエッチング選択性が重要である。リセスエッチングステップの間に、IPD層のようなシリコンのトレンチ側壁と同様にシリコンメサが露出されトレンチ中に埋め込まれる。メサにおけるシリコンの損失は、実際のトレンチ深さに影響し、T−トレンチが必要とされる場合にはTの深さも影響される。
図50Aに示される1つの例示的な実施例において、異方性プラズマエッチングのステップ5002が、シリコン表面に至るまでIPDフィルムを平坦化するために使用される。プラズマエッチングに対する例示的なエッチング速度は、5000A/分であり得る。次に等方性ウエットエッチング5004が続けられ、トレンチ中にIPDを設けることとなる。ウエットエッチングは、曝されたときにシリコン側壁を攻撃しないように且つ特定のリセス深さを得るために再現可能なエッチングを与えるように、シリコンに対して選択的な制御された溶液を使用して行われることが好ましい。ウエットエッチングに対する例示的な化学は、25℃で約1100A/分のエッチング速度を実現する、6:1の緩衝酸化物エッチング(BOE)であり得る。Rodney Ridleyによる同一出願人による米国特許第6,465,325号は、この工程に適した例示的なプラズマおよびウエットエッチングレシピに対する詳細を与え、その内容全体は本願に引用して援用される。最初のプラズマエッチングのステップは、ウエットエッチングよりもトレンチにわたったIPD層の凹みを少なくすることをもたらす。リセスエッチング用の第2のウエットエッチングの工程は、プラズマエッチングでもたらされるよりもシリコンに対する選択性に優れ且つシリコンに対するダメージが少ないという結果をもたらす。図50Bに示される別の実施例において、化学機械平坦化(CMP)工程は、シリコン表面までIPDフィルムを平坦化するために使用される。次に、IPDをトレンチ中に設けるためにウエットエッチングが続けられる。CMP工程は、トレンチにわたったIPD層の凹みを少なくすることをもたらす。リセスエッチングに対するウエットエッチングのステップは、シリコンに対する良好な選択性およびシリコンに対する少ないダメージをもたらす(CMPによって生じる)。これらの工程の他の組み合わせも可能である。
高品質絶縁層の形成は、トレンチおよびプレーナゲート誘電体,層間絶縁膜等を含むIPD以外の構造において望ましい。最も一般的に使用される誘電体は二酸化ケイ素である。高品質酸化物フィルムを定義する幾つかのパラメータがある。主要特性は、特に、均一な厚さ,良好な整合性(低い界面トラップ密度),高電界崩壊強度(high electric field breakdown strength)および低リークレベルである。これらの特性の多くに影響を与える要素の1つは、酸化物が成長する速度である。酸化物の成長速度を正確に制御することができることが望ましい。熱酸化の間に、ウエハ表面で荷電粒子との気相反応がある。1つの実施例において、酸化を制御する方法は、酸化の速度を増減するためにウエハに対する外部ポテンシャルのアプリケーションによって、荷電粒子,通常はシリコンおよび酸素に影響を与えることによって行われる。このことは、プラズマ(反応種とともに)がウエハ上に形成されないという点でプラズマ酸化とは異なる。また、この実施例によると、ガスは表面の方に加速されず、単に表面と反応しないにすぎない。例示的な実施例において、高温性能を有する反応性イオンエッチング(RIE)のチャンバーは、必要とされるエネルギーレベルを調整するために使用され得る。RIEチャンバーは、エッチング用には使用されないが、DCバイアスを加えるために使用され、酸化の速度を遅くしたり酸化を停止するために必要とされるエネルギーを制御する。図51は、この実施例による例示的な方法に対するフローチャートである。最初に、RIEチャンバーは試験環境において、ウエハにDCバイアスを印加するために使用される(5100)。表面反応を抑制するために必要とされる位置エネルギーを定めた後に(5200)、酸化が発生することを妨げるのに十分大きな外部バイアスが印加される(5200)。その後、例えばパルシングまたは他の方法のような外部バイアスを操作することによって、超高温での酸化速度でさえも制御され得る(5130)。この方法は、高温酸化の利点(良好な酸化物フロー,低応力,さまざまな結晶方向における分化成長など)を、急速且つ非均一な成長の欠点なしで可能にさせる。
図51に関連した上述のような方法は、結果として得られる酸化層の質を改善することができるが、酸化物の信頼性は、特にトレンチ−ゲートデバイスにおいて懸念を残している。主要な劣化メカニズムの1つは、トレンチの角での高電界でよるものであり、このことは、当該トレンチの角でゲート酸化物が局所的に薄くなることから生じる。このことは、ゲートの高リーク電流およびゲート酸化物の低降伏電圧の原因となる。この効果は、トレンチデバイスがオン抵抗を低減するためにさらに調整されるとき且つ低減したゲート電圧要求が薄いゲート酸化物をもたらすときにより厳しくなることが予想される。
1つの実施例において、ゲート酸化物の信頼性に関する懸念は、二酸化ケイ素より高い誘電率(高い−K誘電体)を有する誘電体を使用することによって緩和される。このことは、かなり厚い誘電体で、同様な閾値電圧および相互コンダクタンスを可能にする。この実施例によると、高い−K誘電体は、デバイスのオン抵抗またはドレインの降伏電圧の劣化なしでゲートのリークを低減し且つゲート誘電体の降伏電圧を増大させる。要求される熱安定性,トレンチ−ゲートデバイスおよび他のパワーデバイス中に集積されるために適切な界面準位密度を示す高い−K材料は、Al23,HfO2,AlxHfyz,TiO2およびZrO2などである。
上述のように、トレンチゲートパワーMOSFETのスイッチング速度を改善するために、トランジスタのゲート−ドレイン間の容量Cgdを最小化することが望ましい。トレンチの側壁と比較して、トレンチの底部により厚い誘電体層を使用することは、Cgdを低減するための上述の方法のうちの1つである。厚い底部酸化層を形成する1つの方法は、トレンチの側壁および底部に沿ってスクリーン酸化物の薄層を形成することを含む。その後、薄い酸化層は、例えば窒化物のような酸化阻害材料の層によって覆われる。その後、トレンチの水平底面から全ての窒化物が除去されるが、トレンチの側壁は窒化物層で覆われたままになるように窒化物層は等方エッチングされる。トレンチの底部から窒化物を除去した後、所望の厚さを有する酸化層がトレンチの底部に形成される。その後、トレンチ側壁から窒化物およびスクリーン酸化物を除去した後、薄いチャンネル酸化層が形成される。厚い底部酸化物を形成する方法およびそのバリエーションは、Hurstなどによる同一出願人による米国特許第6,437,386号にかなり詳細に説明されている。当該特許の内容算体は本願に引用して援用される。選択的酸化物蒸着を含む、トレンチの底部に厚い酸化物を形成する他の方法は、Murphyによる自己の米国特許第6,444,528号に説明されており、その内容全体は本願に引用して援用される。
1つの実施例において、トレンチの底部に厚い酸化物を形成する改良された方法は、準常圧CVD(SACVD)の工程である。この方法(図52に示されている例示的なフローチャート)によると、トレンチをエッチング後(5210)に、SACVDは極めて等角である酸化物フィルムを蒸着するために使用され(5220)、このことは、酸化物中にボイドなくしてトレンチを充てんする、例えば温熱性の正珪酸四エチル(TEOS)を使用して行われる。SACVDのステップは、100トールから700トールの範囲の準常圧で且つ約450℃から600℃の範囲にある例示的な温度で実行され得る。オゾン(cm3/min)に対するTEOS(mg/min)の比は、例えば2から3の範囲に設定され得るが、約2.4であることが好ましい。この工程を使用することによって、約2000Aから10,000Aの範囲にある厚さまたはそれ以上の厚さを有する酸化物フィルムが形成され得る。これらの数は説明目的のみのためであり、特定の工程要求および生産設備の場所の大気圧のような他の要素によって変動しうる。最適温度は、蒸着速度を結果として得られる酸化層の質とバランスをとることによって得られ得る。高温では、蒸着速度は減速され、このことはフィルム収縮を低減し得る。かかるフィルム収縮は、薄層に沿ったトレンチの中央の酸化物フィルムにおけるギャップ形成をもたらす。
酸化物フィルムが形成された後、当該酸化物フィルムはシリコン表面およびトレンチの内部からエッチバックされ、トレンチの底部に所望の厚さを有する、酸化物の相対的に平坦な層を残す(5240)。このエッチングは、例えば希フッ酸を使用して、ウエットエッチング工程またはウエットエッチング工程とドライエッチング工程の組み合わせによって行われうる。SACVDで形成された酸化物は多孔性である傾向があるので、蒸着後に環境湿度を吸収する。好ましい実施例において、この効果を改善するために、緻密化ステップ5250がエッチバック工程に続いて行われる。緻密化は、例えば1000℃で約20分間熱処理することによって行われ得る。
この方法に対する別の利点は、SACVD酸化物のエッチバックステップの間に、トレンチ端部をマスクオフ(mask off)する性能であり、酸化物で満たされた終端トレンチを残すこととなる。つまり、誘電体で満たされたトレンチを含む、上述の終端構造のさまざまな実施例に関して、同じSACVDのステップが終端トレンチを酸化物で満たすために使用され得る。また、エッチバックの間にフィールド終端領域をマスクすることによって、同じSACVD工程のステップは終端領域にフィールド酸化物の形成をもたらし、熱フィールド酸化物を形成する所要の工程を取り除くこととなる。さらに、この工程は、かなりエッチングされた場合に、終端の誘電体層および厚い底部酸化物の両方が完全に再加工されることを可能にする。その理由は、シリコンは熱酸化工程で消費されず、その代わりにSACVD蒸着中に両方の位置に与えられるからである。
他の実施例において、トレンチの底部に厚い酸化物を形成する別の方法は、指向性TEOSの工程を使用する。この実施例(図53に示される例示的なフローチャート)によると、TEOSの等角特性は、プラズマ化学気相成長法(PECVD)の指向性の性質と組み合わせられて、選択的に酸化物を蒸着することとなる(5310)。この組み合わせは、垂直面より水平面においてより大きな蒸着速度を可能にする。例えば、この工程を使用して蒸着された酸化物フィルムは、トレンチの底部で約2500Aの厚さを有し、トレンチ側壁で約800Aの平均厚みを有し得る。その後、全ての酸化物が側壁から除去されるまで酸化物は等方性エッチングされ、トレンチの底部に酸化物の層が残ることとなる。エッチング工程は、酸化物表面のドライエッチングのステップ5320を含み、次にウエット緩衝酸化物エッチング(BOE)のステップ5340が続けられる。本願で説明されている例示的な実施例に対しては、エッチング後に、トレンチの底部に例えば1250Aの厚さを有する酸化物の層が残り、側壁の酸化物は全て除去されている。
特定の実施例において、酸化物表面のドライエッチングは、構造の上面に集中して、加速して上面領域から酸化物をエッチングするが、トレンチの底部における酸化物をかなり減速した状態でエッチングする。本願でフォッグエッチング(fog etch)として言及するこのタイプのエッチングは、所望の選択性を与えるように、エッチング状態と化学エッチングとの慎重な調整を必要とする。1つの例において、このエッチングは例えばLAM4400のようなトップの電源を有するプラズマエッチャーを使用して、相対的に低電力および低圧で行われる。電力および圧力の例示的な値は、それぞれ200ワット〜500ワットの範囲および250〜500ミリトールの範囲のどこかであり得る。異なる化学エッチングが使用されることができる。1つの実施例において、例えば約5:1(例えば190sccmでのC26および40sccmでのCl)の最適比で混合された、例えばC2F6であるフッ素化合物と塩素との組み合わせは、所望の選択性を与える。酸化物の化学エッチングの一環として塩素を使用することは珍しいことである。その理由は、塩素は金属またはポリシリコンのエッチング用に一般的に用いられており、通常は酸化物のエッチングを妨げるからである。しかし、このタイプの選択エッチングの目的に対しては、この組み合わせはうまく機能する。その理由は、C2F6は上面近辺の酸化物を攻撃的にエッチングするが(当該上面において、高エネルギーはC26が塩素の影響に打ち勝つことを可能にする)、トレンチの底部に近くなると、塩素がエッチング速度を減速する。この最初のドライエッチングのステップ5320の次にはBOE浸漬5340に先立っておそらく清浄化エッチング530が続けられる。この実施例によると、最適な選択性は、プラズマエッチングマシンに依存して変動し得る圧力,エネルギーおよび化学エッチングを細かく調整することによって実現される、ということが理解されるべきである。
この実施例によるPECVD/エッチングの工程は、底部の酸化物が目標厚さを有するように、必要に応じて1回または2回以上繰り返され得る。この工程は、トレンチ間の水平メサ表面において厚い酸化物の形成をもたらす。ポリシリコンがトレンチに蒸着され、表面においてエッチバックされた後にこの酸化物はエッチングされることができ、トレンチ底部の酸化物は次のエッチングステップから保護されるようになる。
トレンチの底部に選択的に厚い酸化物を形成する他の方法が可能である。図54は、トレンチ側壁に酸化物が形成しないようにするために高密度プラズマ法(HDP)を使用する、1つの例示的な方法に対するフローチャートを示している(5410)。HDP法の特性は、蒸着しながらエッチングするということであり、指向性TEOS法と比較すると、トレンチ底部の酸化物に対してトレンチ側壁への酸化物の形成が少ないという結果になる。その後、ウエットエッチング(ステップ5420)が側壁から酸化物を除去するかまたはきれいにするために使用されるが、トレンチ底部には厚い酸化物を残す。この工程の利点は、トレンチの上端のプロファイルが、図55に示されるようにトレンチ(5500)から傾斜しており(5510)、ボイドフリーなポリ充てんを実現させている。上述のフォッグエッチング(ステップ5430)は、ポリを充てんする(ステップ5440)前に幾らかの酸化物を上端からエッチングするために使用されることができ、ポリエッチングの後に、上端からエッチングされる必要がある酸化物がより少なくなるようになる。HDP法の工程は、埋め込み電極を有するトレンチ(例えば、シールドゲート構造を有するトレンチMOSFET)における2つのポリ層の間に酸化物を蒸着するためにも使用されることができる。
図56に示されるさらに別の方法によると、選択的SACVD工程がトレンチ底部に厚い酸化物を形成するために使用される。この方法は、TEOS対オゾンの比がより低いときに選択的になるというSACVDの性能を利用している。酸化物は窒化ケイ素上において極めて遅い蒸着速度を有するが、シリコン上には容易に堆積する。オゾンに対するTEOSの割合が小さくなるにつれて、蒸着はより選択的になる。この方法によると、トレンチをエッチング後に(5610)、パッド酸化物がトレンチアレイのシリコン表面に成長される(5620)。その後、窒化物の薄層がパッド酸化物上に蒸着される(5630)。次に異方性エッチングが続けられ、水平面から窒化物を取り除き、トレンチ側壁に窒化物を残す(5640)。その後、選択的SACVD酸化物は、約405℃で例えば約0.6のTEOS対オゾンの比で、トレンチ底部を含む水平面に蒸着される(5650)。その後、必要に応じて、SACVD酸化物は熱処理(5660)によって緻密化される。その後、酸化物−窒化物−酸化物(ONO)のエッチングが、トレンチの側壁における窒化物および酸化物を取り除くために行われる(5670)。
すでに説明されたように、ゲートトレンチの底部にその側壁と比較して厚い酸化層を使用する1つの理由は、Qgdまたはゲート−ドレイン間の電荷を低減することである(スイッチング速度が改善される)。同じ理由は、トレンチの深さはドリフト領域中へのトレンチの重なりを最小現にするために、井戸接合の深さとほぼ同じである、ということを与える。1つの実施例において、トレンチの底部に厚い誘電体層を形成する方法は、トレンチの側面に厚い誘電体層を伸長する。このことは、底部酸化物の厚さをトレンチの深さおよび井戸接合の深さとは無関係にさせ、且つトレンチおよびトレンチ内部のポリが、かなりQgdを増加させることなく井戸接合より深くなることを可能にする。
この方法による、厚い底部誘電体層を形成する方法は、図57〜図59に示されている。図57Aは、トレンチの側壁のみを覆うようにエッチングされた後、パッド酸化物5710の薄層と窒化物層5720で覆われたトレンチの簡略化され且つ部分的な断面図を示している。このことは、図57Bに示されるように、パッド酸化物5710のエッチングがトレンチの底部およびチップの上面にあるシリコンを露出させることを可能にする。次に露出したシリコンの異方性エッチングが続けられ、図58Aに示されるような構造をもたらす(上面のシリコンおよびトレンチの底部にあるシリコンは、共に所望の深さまで除去される)。別の実施例において、シリコンエッチング中にトレンチの底部のみがエッチングされるように、上面のシリコンはマスクされ得る。次に、窒化物層5720で覆われていない位置に厚い酸化物5730を成長するように酸化ステップが行われ、図58Bに示される構造をもたらす。酸化物の厚さは、例えば約1200Aから2000Aであり得る。その後、窒化物層は除去され、パッド酸化物5710はエッチングされる。パッド酸化物のエッチングによって、厚い酸化物5730は多少薄くなる。残りの工程は、ゲートポリおよび井戸およびソースの接合を形成するために標準のフローを使用することができ、図59に示される例示的な構造をもたらす。
図59に示されるように、結果として得られるゲート酸化物は、トレンチの側壁に沿って領域5740における井戸接合より上に伸長する、底部の厚い層5730を含む。幾つかの実施例において、トレンチに沿った井戸領域におけるチャンネルドーピングは、ドレイン側5740の近くで低ドープで段階的になっており、この領域は、ソース近辺の領域と比較して通常は低閾値電圧を有している。従って、領域5740におけるチャンネル中に重なっているトレンチの側面に沿って厚い酸化物を伸長することによって、デバイスの閾値電圧は増加しない。つまり、この実施例は、井戸接合の深さおよび側壁の酸化物を最適化することが、デバイスのオン抵抗に対して不利に影響を与えることなくQgdを最小化することを可能にする。トレンチの底部に厚い酸化物を形成するこの方法は、他のいかなるトレンチゲートデバイスと同様に、電荷調整構造と組み合わせたシールドゲート,デュアルゲートを含む上述のさまざまなデバイスに適用され得る、ということが当業者に認識される。
トレンチの底部に厚い酸化物を形成する上述の工程およびIPDに対する上述の工程は、本願に説明されているいかなるトレンチゲートトランジスタを形成する工程において使用され得る、ということも当業者に認識される。これらの工程に対する他のバリエーションが可能である。例えば、図47Aおよび図47Bに関連して説明された工程の場合のように、シリコンの化学変換または物理的改変はその酸化速度を高めることができる。1つのかかる例示的な実施例によると、例えばフッ素および臭素のようなハロゲンイオン種は、トレンチ底部のシリコン中に0度で注入される。当該注入は、約15KeV以下の例示的なエネルギー,1E14(例えば1E15〜5E17)より大きい例示的な量および900℃〜1150℃の範囲にある例示的な温度で生じ得る。トレンチ底部のハロゲン注入領域において、酸化物はトレンチ側壁と比較して加速された速度で成長する。
上述の多くのトレンチデバイスは、電荷調整の目的のためにドープしているトレンチ側壁を有する。例えば、図5B,図5Cおよび図6〜図9Aに示された全ての実施例は、幾つかのタイプのトレンチ側壁ドープ構造を有している。側壁ドープ法は、狭く深いトレンチおよび/またはトレンチの垂直側壁の物理的制約によって多少制限される。ガス状のソースまたは角をなした注入は、トレンチ側壁のドープ領域を形成するために使用され得る。1つの実施例において、改善されたトレンチ側壁ドープ法は、プラズマドーピング法またはパルスプラズマドーピング法を利用する。この方法は、ドーパントイオンのプラズマに取り囲まれたウエハに印加されるパルス電圧を利用する。印加電圧は、イオンをカソードシースからウエハの方へ且つウエハ中に加速する。印加電圧はパルスにされ、所望の分量が実現されるまで持続時間が続く。この方法は、これらトレンチデバイスの多くを等角ドープ法(conformal doping technique)とともに行うことを可能にする。さらに、この工程の高処理能力は、製造工程の全費用を低減する。
プラズマドーピング法またはパルスプラズマドーピング法の使用は、トレンチ電荷調整構造に限定されるものではなく、トレンチ終端構造およびトレンチが形成されたドレイン,ソースまたは本体の結合を含む他の構造にも適用され得る。例えば、この方法論は、図4D,図4E,図5B,図5C,図6,図7,図8および図9Aに関連して説明されたようなシールドトレンチ構造のトレンチ側壁をドープするために使用され得る。さらに、この方法は、均一にドープされたチャンネル領域を作るために使用され得る。パワーデバイスに逆バイアスがかけられたとき、チャンネル領域(p−井戸接合)中への空乏領域の浸透は、接合の両側の電荷濃度によって制御される。エピ層におけるドープ濃度が高いときには、接合内の空乏は、突き抜け現象が降伏電圧を制限することを可能にするかまたは低いオン抵抗を維持するために必要とされるよりも長いチャンネル長を必要とする。チャンネル中への空乏を最小現にするために、より高いチャンネルドープ濃度が要求され得る(閾値を増加させ得る)。閾値は、トレンチMOSFETにおけるソースの下のピーク濃度によって定められるので、チャンネルにおける均一なドープ濃度は、チャンネル長とブレークダウンとの間の良好なトレードオフを与えることができる。
より均一なチャンネル濃度を得るために使用され得る他の方法は、エピタキシャル工程,複数のエネルギー注入および階段接合を作成する他の方法を使用してチャンネル接合を形成することを含む。他の方法は、低ドープキャップ層を有する出発ウエハを使用する。この方法において、補正は最小現にされアップ拡散(up diffusion)がより均一なチャンネルドーピングプロファイルを作成するために用いられる。
トレンチデバイスは、閾値がトレンチ側壁に沿ったチャンネルドーピング濃度によって定められるという事実を利用することができる。低閾値を維持しつつ、高ドーピング濃度をトレンチから離すことを可能にする工程は、突き抜け現象メカニズムを抑制するのに役立つ。ゲート酸化工程の前にp−井戸ドーピングを与えることは、トレンチ酸化物中への井戸のp型不純物(例えばボロン)の偏析を可能にさせ、チャンネルにおける濃度を低減する。その結果、閾値が低くなる。このことを上述の方法と組み合わせることによって、突き抜け現象なしでより短いチャンネル長を与えることができる。
幾つかのパワーアプリケーションは、パワートランジスタを通って流れる電流の量を測定することを要求する。このことは、デバイスを通って流れる全電流を推定するために使用される全デバイス電流の一部を分離して測定することによってなされる。全デバイス電流の分離部は、当該分離された電流の量を示す信号を発生させる電流検出装置または電流検知器を通って流れる、その後、全デバイス電流を定めるために使用される。この処理は、電流ミラーとして一般に知られている。電流検出トランジスタは、通常、パワーデバイスと一体となって組み立てられ、両者は共通の基板(ドレイン)およびゲートを共有する。図60は、電流検出装置6002を有するMOSFET6000の略図である。主要なMOSFET6000を通って流れる電流は、主要なトランジスタと電流検出部との間で各々のアクティブ領域に比例して分割される。従って、主要なMOSFETを通って流れる電流は、検出装置を通る電流を測定し、アクティブ領域の比によって当該測定値を乗じることによって計算される。
主要なデバイスから電流検出装置を分離するさまざまな方法は、Yedinakなどによる「連続的なストリップ電解槽を維持しつつ、パワーデバイスにおける電流検出を分離する方法」と表題がつけられた自己の米国特許出願第10/315,719号に説明されている。当該特許出願の内容全体は本願に引用して援用される。電荷調整構造を有するものを含む、さまざまなパワーデバイスとともに検出装置を集積する実施例は、以下に説明される。1つの実施例によると、電荷調整構造およびモノリシックに集積した電流検出装置を有するパワートランジスタにおいて、電流検出領域は、電荷調整構造と同様に同じ連続的なMOSFET構造とともに形成されることが好ましい。電荷調整構造における導通の維持なしでは、デバイスの降伏電圧は、電圧支持領域を完全に涸渇させる電荷不整合によって低下するであろう。図61Aは、プレーナゲート構造および分離電流検出構造6115を有する電荷調整MOSFET6100に対する1つの例示的な実施例を示している。この実施例において、電荷調整構造は、ドリフト領域6104の内部(n型)に形成された反対の伝導性を有する(この実施例においてはp型)ピラー6126を有する。p型ピラー6126は、例えばドープされたポリシリコンまたはエピが満たされたトレンチとして形成され得る。図61Aに示されているように、電流調整構造は、電流検出構造6115の下に導通を維持する。電流検出装置6115の表面積を覆う検出パッド金属6113は、誘電体領域6117によってソース金属6116から電気的に分離されている。同様の構造を有する電流検出装置は、本願で説明されている他のいかなるパワーデバイスと集積化され得る、ということが理解されるべきである。例えば、図61Bは、電流検出装置が、如何にシールドゲートを有するトレンチMOSFET(電荷調整は、トレンチの深さを調整し且つトレンチ内部のシールドポリにバイアスをかけることによって得られ得る)と集積化されるかを示している。
ダイオードをパワートランジスタの同じチップ上に集積することが望ましい、多くのパワーアプリケーションがある。かかるアプリケーションは、特に、温度検出,静電気放電(ESD)保護,アクティブクランピングおよび分圧を含む。温度検出に関しては、例えば、1または2以上の直列接続ダイオードは、パワーデバイスと一体集積されており、その結果、ダイオードの陽極端子および陰極端子は、別個のボンドパッドに引き出されるかまたは導電性相互接続を使用するモノリシック制御回路コンポーネントに接続される。温度は、ダイオードの準電圧(Vf)における変化によって検出される。例えば、パワートランジスタのゲート端子に対する適切な配線で、ゲート電圧は、所望の温度が得られるまで、デバイスを通って流れる電流を低減して低く引かれる。
図62Aは、直列温度検出ダイオードを有するMOSFET6200Aに対する例示的な実施例を示している。MOSFET6200Aは、ダイオード構造6215を有し、当該ダイオード構造において、交互の導電性を有するドープされたポリシリコンは、3つの直列温度検出ダイオードを形成する。この実例となる実施例において、デバイス6200AのMOSFET部は、p型のエピが満たされた電荷調整トレンチを使用し、n型エピドリフト領域6204の内部に反対の導電性を有する領域を形成する。示されているように、電荷調整構造は、温度検出ダイオード構造6215の下で導通を維持することが好ましい。ダイオード構造は、シリコンの表面上にフィールド誘電体(酸化物)層6219の上に形成される。p型接合分離領域6221は、誘電体層6219の下に必要に応じて広がり得る。このp型接合を有さないデバイス6200Bは、図62Bに示されている。直列に順方向バイアスがかけられたデバイスが得られることを確認するために、短絡金属6223は、逆バイアスがかけられているP/N+接合を短絡させるために使用される。1つの実施例において、p+が注入され、接合にわたって拡散され、N+/P/P+/N+構造を形成する。当該構造において、p+は、短絡金属6223の下に現れ、改善されたオーミック接触を得る。反対の伝導性を有するN+も、N/P+接合にわたって拡散され、P+/N/N+/P+構造を形成する。このタイプの温度検出ダイオードの構造は、本願で説明されている他の多くの特性と組み合わせて、さまざまなパワーデバイスのいずれにおいても使用され得る。図62Cは、例えば、シールドトレンチゲート構造を有するMOSFET6200Cを示しており、シールドポリは電荷調整用に使用され得る。
他の実施例において、温度検出ダイオードに対してデバイス6200に示されているような、同様の分離方法を使用することによって、非対称のESD保護が行われる。ESD保護の目的のために、ダイオード構造の一端はソース端子に接続され、もう一方の端は、デバイスのゲート端子に接続される。或いは、対照的なESD保護は、図63Aおよび図63Bに示されるように、連続したN+/P/N+接合のいずれをも短絡しないことによって得られる。図63Aに示された例示的なMOSFET6300Aは、プレーナゲート構造を利用し、電荷調整用に反対の伝導性を有するピラーを使用するが、図63Bに示された例示的なMOSFET6300Bは、シールドゲート構造を有するトレンチゲートデバイスである。電荷調整における非均一を防止するために、電荷調整構造は、ゲートのボンドパッド金属および他の制御要素のボンドパッドの下に続けられる。
例示的なESD保護回路は図64A〜図64Dに示されており、主要なデバイス(ゲートは、上述のダイオード構造によって保護されている)は、電荷調整または他の方法のいずれかを使用する、本願に説明されたパワーデバイスのいずれでもあり得る。図64Aは、非対称に分離されたポリダイオードESD保護に対する簡略図を示しており、一方、図64Bは、標準的な連続分離されたポリダイオードESD保護回路を示している。図64Cに示されるESD保護回路は、BVcerスナップバック(snap-back)に対してNPNトランジスタを使用する。BVcerにおける下付きの添字cerは、逆バイアスがかけられたコレクタ−エミッタバイポーラトランジスタ接合を言及しており、ベースへの接続は、ベース電流を制御するためにレジスタを使用する。低抵抗は、ほとんどのエミッタ電流をベースを通して除去させ、エミッタ−ベース接合がオンにされることを防ぐ、つまり、コレクタ中に少数キャリアを注入し戻す。オン状態は、レジスタ値によって設定され得る。キャリアがコレクタ中に注入し戻されると、エミッタとコレクタとの間の維持電圧は減少する(スナップバックと呼ばれる現象)。BVcerスナップバックがトリガーされる電流は、ベース−エミッタ抵抗RBEの値を調整することによって設定され得る。図64Dは、示されているように、シリコン制御整流器すなわちSCRおよびダイオードを使用するESD保護回路を示している。ゲートの陰極短絡構造を使用することによって、トリガー電流は制御され得る。ダイオード降伏電圧は、SCRがラッチする電圧をオフセットするために使用され得る。上述のモノリシックダイオード構造は、これらおよび他のESD保護回路のいずれにも使用され得る。
幾つかのパワーアプリケーションにおいて、パワースイッチングデバイスの重要な動作特性は、スイッチング端子またはゲートのインピーダンスの基準である、その等価直列抵抗すなわちESRである。例えば、パワーMOSFETを使用する同期式降圧型コンバータにおいて、より低いESRはスイッチング損失を低減するのに役立つ。トレンチゲートMOSFETの場合において、それらのゲートESRは、ポリシリコンが満たされたトレンチの寸法によって主に定められる。例えば、ゲートトレンチの長さは、最小のワイヤボンドパッドサイズのようなパッケージ制限によって制約され得る。ポリシリコンにケイ化物膜を塗布することによって、ゲートの抵抗が小さくなる。しかし、トレンチMOSFETにおいてケイ化物を適用したポリを使用することは、多くの課題を引き起こす。標準的なプレーナ分散型MOS構造において、ゲートポリは、接合が埋め込まれ且つそれぞれの深さにドライブされた後、ケイ化物で処理され得る。ゲートポリが引っ込んでいるトレンチゲートデバイスに対して、ケイ化物を適用することはより困難である。従来のケイ化物の使用は最大温度を制限し、ウエハは約900℃未満までポストケイ化物処理にさらされる。ソース,ドレインおよび井戸のような拡散領域が形成されるとき、このことによって、製造工程の段階においてかなりの制約が生じる。ケイ化物に使われる最も典型的な金属はチタンである。タングステン,タンタル,コバルトおよびプラチナのような他の金属も使用されることができ、より処理許容度を与える高熱量ポストケイ化物処理(higher thermal budget post silicide processing)を可能にする。ゲートESRも、さまざまなレイアウト方法によって低減され得る。
低いESRを有する電荷調整パワースイッチングデバイスを形成するさまざまな実施例が以下に説明されている。図65に示されている1つの実施例において、工程6500は、シールドおよび/または電荷調整の目的のために、トレンチの低部で形成された低部電極を有するトレンチを形成することを含む(ステップ6502)。次に、IPD層を蒸着してエッチングする工程が続けられる(ステップ6504)IPD層は、周知の工程によって形成され得る。或いは、図45〜図50に関連して上述されたいずれかの工程が、IPD層を形成するために使用され得る。次に、上部電極またはゲートポリは、周知の工程を使用してステップ6506で蒸着され且つエッチングされる。次に、井戸およびソース領域を埋め込み且つドライブすることが続けられる(ステップ6508)。ケイ化物がステップ6510でゲートポリに塗布されるのはステップ6508の後である。その後、ステップ6512で誘電体の蒸着および平坦化が続けられる。この工程のバリエーションにおいて、誘電体領域が蒸着され且つ平坦化されるステップ6512は最初に行われ、その後、コンタクトホールがソース/本体およびゲートに達するように開けられる。その後、ケイ化物コンタクト形成される。これら2つの実施例は、ケイ化物膜の転移点より低い低温アニールによって活性化される、高濃度の本体埋め込み領域に依存している。
他の実施例において、ポリゲートは金属ゲートによって置き換えられる。この実施例によると、金属ゲートは平行ソースを使用して、例えばチタンを蒸着することによって形成され、トレンチ構造の充てん性能を改善する。金属ゲートを適用した後、ひとたび接合が埋め込まれ且つドライブされると、誘電体オプションはHDPおよびTEOSを含み、ゲートをソース/本体のコンタクトから絶縁する。別の実施例において、アルミニウムから銅の最上部金属であるさまざまな金属オプションを有するダマスク模様またはデュアルダマスク模様のアプローチが、ゲート端子を形成するために使用される。
ゲートコンダクタのレイアウトも、ゲートのESRおよびデバイスの全スイッチング速度に影響を与え得る。図66Aおよび図66Bに示される他の実施例において、レイアウト法は、ケイ化物が適用された垂直面ポリストライプを窪んだトレンチポリと組み合わせ、ゲートのESRを低減する。図66Aを参照すると、極めて簡略化されたデバイス構造6600が示されており、ケイ化物で被覆されたポリライン6604は、トレンチストライプ6602に垂直にシリコンの表面に沿って伸長している。図66Bは、AA’軸に沿った、デバイス6600の簡略断面図を示している。ケイ化物が塗布されたポリライン6604は、トレンチとの交点でゲートポリと接している。ケイ化物が塗布された複数のポリライン6604は、シリコン表面上に伸長し、ゲート電極の抵抗率を減じる。例えば相互接続の2または3以上の層を有する工程によって可能となった、このおよび他のレイアウト法は、本願に説明されているトレンチゲートデバイスのいずれにおいても、ゲートのESRを改善するために使用され得る。
回路アプリケーション
例えば、本願に説明されているさまざまなデバイスおよび工程方法によって与えられるように、デバイスのオン抵抗における劇的な低減のために、パワーデバイスによって占められるチップ面積は低減され得る。結果として、低い電圧ロジックおよび制御回路を有するこれらの高電圧デバイスのモノリシック集積化はより実現可能になる。通常の回路アプリケーションにおいて、パワートランジスタと同じチップ上に集積され得る機能のタイプは、電力制御,検出,保護およびインターフェース回路を含む。他の回路を有するパワーデバイスのモノリシック集積において考慮すべき重要なことは、高電圧パワーデバイスを低電圧ロジックまたは制御回路から電気的に絶縁するために使用される方法である。これを実現するための、接合分離,誘電体分離およびシリコン・オン・インシュレータ等を含む多くの周知方法がある。
以下に、パワースイッチングに対する多くの回路アプリケーションが説明され、ここでは、さまざまな回路部品が、さまざまな程度に同じチップ上に集積され得る。図67は、低電圧デバイスを必要とする同期式降圧型コンバータ(DC−DCコンバータ)を示している。この回路において、一般的にハイサイドスイッチとして言及されるn−チャンネルMOSFET Q1は、中程度に低いオン抵抗を有するように設計されるが、電力損失を最小化するために速いスイッチング速度を有するように設計される。一般的にローサイドスイッチとして言及されるMOSFET Q2は、極めて低いオン抵抗と中程度に高いスイッチング速度を有するように設計される。図68は、中程度の電圧から高電圧のデバイスに対してより適した、他のDC−DCコンバータを示している。この回路において、主要なスイッチングデバイスQaは、速いスイッチング速度および高い阻止電圧を示している。この回路は、中程度に低いオン抵抗を有することを可能にするトランジスタQaを通る低電流フローおよび変圧器を使用する。同期整流器Qsに対して、低から超低のオン抵抗,速いスイッチング速度,極めて低いリバースリカバリー電荷(reverse recovery charge)および低い相互電極容量(inter-electrode capacitance)
を有するMOSFETが使用され得る。かかるDC−DCコンバータに対する他の実施例および改善は、Elbanhawvによる「DC−DCコンバータにおける損失を低減する方法および回路」と表題がつけられた、同一出願人による売国特許出願第10/222,481号(代理人整理番号第18865−91−1/17732−51430号)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。
上述のさまざまなパワーデバイス構造のいずれもが、図67および図68のコンバータ回路におけるMOSFETを実行するために使用され得る。図4Aに示されたタイプのデュアルゲートMOSFETは、例えば、同期式降圧型コンバータを実行することに使用されるとき特定の利点を与えるタイプのデバイスである。1つの実施例において、特別なドライブスキームは、デュアルゲートMOSFETによって与えられる全ての機能を利用する。この実施例の例は、図69に示されており、ここでは、ハイサイドMOSFET Q1の第1のゲート端子G2は、ダイオードD1,レジスタR1とR2,およびコンデンサC1から構成される回路によって定められる電位を有する。Q1のゲート電極G2での固定電位は、最善のQgdに対して調整され、トランジスタのスイッチング時間を最適化し得る。ハイサイドスイッチトランジスタQ1の第2のゲート端子G1は、パルス幅変調された(PWM)制御器/ドライバ(図示せず)からの通常のゲートドライブ信号を受け取る。ローサイドスイッチトランジスタQ2の2つのゲート電極は、示されているように同様にドライブされる。
別の実施例において、ハイサイドスイッチの両方のゲート電極は別々にドライブされ、回路の性能をさらに最適化する。この実施例によると、異なる波形はハイサイドスイッチQ1のゲート端子G1およびG2をドライブし、遷移の間に最高のスイッチング速度を、残りのサイクルの間に最善のオン抵抗RDSonを実現する。示された実施例において、スイッチング中の約5Vの電圧Vaは、ハイサイドスイッチQ1のゲートに極めて低いQgdを運び、高いスイッチング速度をもたらすが、遷移td1,td2前後のRDSonは、その最低値ではない。しかし、スイッチングの間に、RDSonは損失に顕著に寄与している訳ではないので、このことは、回路の動作に不利に影響を与えない。パルス幅の残りの間に最低のRDSonを確実にするために、ゲート端子G2での電位Vg2は、図70Bのタイミング図に示されるように、時間tpの間にVaより高い第2の電圧Vbにドライブされる。このドライブスキームは、最適効率をもたらす。これらのドライブスキームにおけるバリエーションは、Elbanhawvによる「デュアルゲートMOSFETに対するドライバー」と表題がつけられた、同一出願人による米国特許出願第10/686,859号(代理人整理番号第17732−66930)により詳細に説明されており、その内容全体は本願に引用して援用される。
パッケージ技術
全てのパワー半導体デバイスに対して考慮すべき重要なことは、デバイスを回路に接続するために使用されるハウジングまたはパッケージである。半導体チップは、通常、例えば半田または金属が注入されたエポキシ接着剤のような金属ボンディング層を使用して、金属パッドに取り付けられる。ワイヤは、通常、チップの上面にボンディングされ、その後、モールドされた本体を通して突き出たリードにボンディングされる。その後、アセンブリが回路基板に実装される。ハウジングは、半導体チップ,電子装置およびその環境との間に電気的接続および熱接続の両方を与える。低い寄生抵抗,容量およびインダクタンスは、チップに対するより良好な界面を可能にするハウジングに対する、所望の電気的特徴である。
パッケージング技術に対する改良は、パッケージにおける抵抗およびインダクタンスを低減することに焦点をあてて提案されている。あるパッケージ技術において、はんだくずまたは銅のスタッドは、チップの相対的に薄い金属面(例えば2〜5μm)上に配される。金属表面の大面積に金属接続を配することによって、金属における電流路は短くなり且つ金属抵抗は低減される。チップのバンプ側が、銅のリードフレームまたはプリント回路基板の銅のトレースに接続される場合には、パワーデバイスの抵抗は、ワイヤボンディングされる解決法と比較すると低減される。
図71および図72は、それぞれモールドされたおよびモールドされていないパッケージの簡略断面図であり、チップの金属表面にリードフレームを接続するはんだくずまたは銅のスタッドを使用している。図71に示されるようなモールドされたパッケージ7100は、はんだくずまたは銅のスタッド7104を介してチップ7102の第1面に接続するリードフレーム7106を含む。リードフレーム7106から離れて向かい合う、チップ7102の第2面は、モールド材料7108を通して露出されている。標準的な縦型パワートランジスタにおいて、チップの第2面はドレイン端子を形成する。チップの第2面は、回路基板上のパッドに対する直接的な電気接続を形成することができ、その結果、チップに対する低抵抗パスおよび電気パスを与える。このタイプのパッケージおよびそのバリエーションは、Joshiなどによる「リードされてモールドされたパッケージにおけるフリップチップおよびその製造方法」と表題がつけられた、同一出願人による米国特許出願第10/607,633号(代理人整理番号第18865−42−1/17732−1342)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。
図72は、パッケージ7200のモールドされていない実施例を示している。図72に示された例示的な実施例において、パッケージ7200は、例えば金属,絶縁層7222によって分離されている金属層7221を含む基準層7220を含む多層基板7212を有する。半田構造7213(例えばはんだくず)は、基板7212に取り付けられている。チップ7211は、チップの周囲に露出した半田構造7213とともに、基板7212に取り付けられている。チップ7211は、例えば半田7230のようなチップ取り付け材料で基板7212に結合され得る。図示されたパッケージが形成された後、当該パッケージはひっくり返されて、回路基板(図示せず)または他の回路基板上に実装される。縦型パワートランジスタがチップ7211上に組み立てられている実施例において、はんだくず7230はドレイン端子接続を形成し、チップ表面はソース端子を形成する。基板7212に対するチップ7211の接続を逆にすることによって、逆の接続も可能である。示されているように、モールド材料が必要とされないときに、パッケージ7220は薄く且つモールドされない。このタイプのモールドされていないパッケージのさまざまな実施例は、Joshiによる「半導体デバイスに対するモールドされないパッケージ」と表題がつけられた、同一出願人による米国特許出願第10/235,249号(代理人整理番号第18865−007110/17732.26390.003)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。
チップの上面が、半田または導電性エポキシによって銅に直接接続されている他の方法が提案されている。銅とシリコンチップとの間に生じる応力は、チップの面積とともに増加する。半田またはエポキシの界面は、ブレーキングの前にかなり応力がかけられるだけであるので、直接的な接続方法は制限され得る。他方、バンプは、ブレーキングの前に置き換えが可能になり、かなり大きなチップとともに作用することが示されている。
パッケージにおいて考慮すべき他の重要なことは熱放散である。パワー半導体の性能における改善は、小さいチップ面積をもたらすことが多い。チップにおける電力放散が低減しない場合には、熱エネルギーは小面積に集中し、高温および信頼性の悪化をもたらし得る。パッケージからの熱伝達率を増加させるための手段は、高い熱伝導性を有する材料を使用して、熱界面の数を減らすことおよび例えばシリコン,半田,チップ取り付けおよびチップ取り付けパッドのような層の厚さを減らすことを含む。Rajeev Joshiによる「改善された熱的性能および電気的性能を有する半導体チップパッケージ」と表題が付けられた、同一出願人により米国特許第6,566,749号(その内容全体は、本願に引用して援用される)は、特にRFアプリケーションに対する縦型パワーMOSFETを含むチップに対する熱放散の問題への解決法を論じている。全体のパッケージ性能を改善する他の方法は、Joshiなどによる「リードされモールドされたパッケージにおける、薄く熱的に機能改善されたフリップチップ」と表題がつけられた米国特許出願第10/271,654号(代理人整理番号第18865−99−1/17732.53440)と同様に、Rajeev Joshiによる同一出願人による米国特許第6,133,634号および米国特許第6,469,384号により詳細に説明されている。本願に説明されているさまざまなパワーデバイスのいずれもが、本願に説明されているパッケージまたは他の適切なパッケージに収容され得る、ということが理解されるべきである。
熱除去に対してハウジングのより大きい表面を使用することは、例えばハウジングの最上部と底部における熱界面のような低温を維持するためのハウジングの性能をも増大させる。増大した表面積とそれらの表面の周囲への気流とを組み合わせることによって、熱除去率は増大する。ハウジング設計は、外部ヒートシンクとの容易な境界面をも可能にする。熱伝導および赤外線の方法は一般的な方法であるが、交互の冷却方法のアプリケーションが可能である。例えば、Reno Rossettiによる「熱電子冷却システムを有するパワー回路」と表題がつけられた、同一出願人による米国特許出願第10/408,471号(代理人整理番号第17732−66720号)(その内容全体は、本願に引用して援用される)に説明されているような熱電子放射は、パワーデバイスを冷却するために使用され得る熱除去の1つの方法である。
単一のパッケージにおける電力伝達および制御機能を含む他の論理回路の集積は、さらなる課題を提起する。1つとして、ハウジングは、他の電気的機能と相互作用するためにより多くのピンを必要とする。パッケージは、パッケージにおける高電流の電力相互接続と低電流の信号相互接続とを可能にさせるべきである。これらの課題に対処することができるさまざまなパッケージング技術は、特別な界面のパッドを取り除くためのチップ間ワイヤボンディング,ハウジング内に空間を残すためのチップオンチップ(chip-on-chip)および特有のシリコン技術が単一の電気的機能に組み込まれることを可能にする多チップモジュールを含む。多チップパッケージ法に対するさまざまな実施例は、Rajeev Jeshiによる「リードされモールドされたパッケージ技術においてフリップチップを使用する積層パッケージ」と表題が付けられた、同一出願人による米国特許出願第09/730,932号(代理人整理番号第18865−50/17732−19450)およびRajeev Joshiによる「相互接続構造のアレイを有する基板を含む多チップモジュール」と表題がつけられた米国特許出願第10/330,741号(代理人整理番号第18865−121/17732−66650.08)に説明されている。当該特許出願の両方は、本願に引用して援用される。
上記事項は、本発明の好ましい実施例の完全な説明を与えるが、多くの選択肢,変更および均等物が可能である。例えば、多くの電荷調整法は、MOSFET、特にはトレンチゲートMOSFETとの関連で本願において説明されている。同じ方法は、横型デバイスと同様に、IGBT,サイリスタ,ダイオードおよびプレーナMOSFETを含む他のタイプのデバイスに適用することができる、ということが当業者によって理解される。従って、このおよび他の理由に対して、上述の説明は、本発明の範囲を限定するものとしてとられるべきものではなく、当該限定は添付の特許請求の範囲によって定められる。
例示的なn型トレンチパワーMOSFETの一部の断面図である。 デュアルトレンチパワーMOSFETの例示的な実施例を示す図である。 ソースシールドトレンチ構造を有するプレーナゲートMOSFETに対する例示的な実施例を示す図である。 シールドゲートトレンチパワーMOSFETの例示的な実施例の一部を示す図である。 図2Aのデュアルトレンチ構造を図3Aのシールドゲート構造と組み合わせたシールドゲートトレンチパワーMOSFETに対する代わりの実施例を示す図である。 デュアルゲートトレンチパワーMOSFETの例示的な実施例の簡略化した部分図である。 プレーナデュアルゲート構造を垂直方向の電荷制御のためのトレンチ電極と組み合わせた、例示的なパワーMOSFETを示す図である。 同じトレンチの内部にデュアルゲートとシールドゲートの技術を組み合わせたパワーMOSFETの例示的な実施を示す図である。 深い本体構造を有するパワーMOSFETに対する別の実施例についての断面図である。 深い本体構造を有するパワーMOSFETに対する別の実施例についての断面図である。 ゲート電極の近くのパワーMOSFETの内部で、ポテンシャル線の分布に関してトレンチが形成された深い本体構造の効果を示す図である。 ゲート電極の近くのパワーMOSFETの内部で、ポテンシャル線の分布に関してトレンチが形成された深い本体構造の効果を示す図である。 さまざまな、垂直電荷調整構造を有する例示的なパワーMOSFETの一部分を示す断面図である。 さまざまな、垂直電荷調整構造を有する例示的なパワーMOSFETの一部分を示す断面図である。 さまざまな、垂直電荷調整構造を有する例示的なパワーMOSFETの一部分を示す断面図である。 例示的な垂直電荷制御構造をシールドゲート構造と組み合わせたパワーMOSFETの簡略断面図である。 例示的な垂直電荷制御構造をデュアルゲート構造と組み合わせた、別のパワーMOSFETの簡略断面図である。 垂直電荷制御構造と集積したショットキーダイオードとを有するシールドゲートパワーMOSFETの一例を示す図である。 集積したショットキーダイオードを有するパワーMOSFETに対する、さまざまな例示的な実施例を示す図である。 集積したショットキーダイオードを有するパワーMOSFETに対する、さまざまな例示的な実施例を示す図である。 集積したショットキーダイオードを有するパワーMOSFETに対する、さまざまな例示的な実施例を示す図である。 パワーMOSFETのアクティブセルアレイ内にショットキーダイオードセルを散在させるための例示的なレイアウトのバリエーションを示す図である。 パワーMOSFETのアクティブセルアレイ内にショットキーダイオードセルを散在させるための例示的なレイアウトのバリエーションを示す図である。 パワーMOSFETのアクティブセルアレイ内にショットキーダイオードセルを散在させるための例示的なレイアウトのバリエーションを示す図である。 埋め込みダイオード電荷調整構造を有する、例示的なトレンチパワーMOSFETの簡略断面図である。 シールドゲートの技術を埋め込みダイオード電荷調整と組み合わせたパワーMOSFETに対する実施例を示す図である。 デュアルゲートの技術を埋め込みダイオード電荷調整と組み合わせたパワーMOSFETに対する実施例を示す図である。 埋め込みダイオードの電荷調整技術を集積したショットキーダイオードと組み合わせた例示的なプレーナパワーMOSFETの簡略断面図である。 電流フローに対して平行に配置された互い違いの伝導領域を有する、例示的な蓄積モードパワートランジスタの簡略実施例を示す図である。 電荷拡散用のトレンチ電極を有する別の蓄積モードデバイスの簡略図である。 例示的なデュアルトレンチ蓄積モードデバイスの簡略図である。 異極性の外部ライナー(exterior liner)を有し、誘電体で満たされたトレンチを有する例示的な累積モードデバイスに対する他の簡略化した実施例を示す図である。 異極性の外部ライナー(exterior liner)を有し、誘電体で満たされたトレンチを有する例示的な累積モードデバイスに対する他の簡略化した実施例を示す図である。 1または2以上の埋め込みダイオードを使用する累積モードデバイスに対する他の簡略化した実施例を示す図である。 シリコンの表面に沿った、高濃度にドープされた異極性領域を含む、例示的な累積モードトランジスタの簡略等角図である。 電圧維持層において交互の異極性領域を有するスーパージャンクションパワーMOSFETの簡略例を示す図である。 電圧維持層における垂直方向において、非均一に間隔が開けられた異極性アイランドを有するスーパージャンクションパワーMOSFETに対する例示的な実施例を示す図である。 デュアルゲート構造を有するスーパージャンクションパワーMOSFETに対する例示的な実施例を示す図である。 シールドゲート構造を有するスーパージャンクションパワーMOSFETに対する例示的な実施例を示す図である。 トレンチトランジスタに対するアクティブトレンチおよび終端トレンチのレイアウトの上面図を示す図である。 トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。 トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。 トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。 トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。 トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。 例示的なトレンチ終端構造の断面図である。 例示的なトレンチ終端構造の断面図である。 例示的なトレンチ終端構造の断面図である。 大きな半径の湾曲を有する終端トレンチを有する例示的なデバイスを示す図である。 シリコンピラー電荷調整構造を有する終端領域の断面図である。 シリコンピラー電荷調整構造を有する終端領域の断面図である。 シリコンピラー電荷調整構造を有する終端領域の断面図である。 シリコンピラー電荷調整構造を有する終端領域の断面図である。 スーパージャンクション法を使用する超高電圧デバイスの例示的な実施例の断面図である。 スーパージャンクション法を使用する超高電圧デバイスの例示的な実施例の断面図である。 スーパージャンクション法を使用する超高電圧デバイスの例示的な実施例の断面図である。 トレンチデバイスに対して接触する端部の例を示す図である。 トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。 トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。 トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。 トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。 トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。 複数の埋め込みポリ層に対するアクティブ領域接触構造の例である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。 アクティブ領域シールド接触構造に対する、別の実施例の断面図である。 アクティブ領域シールド接触構造を有する例示的なトレンチデバイスのレイアウト図である。 アクティブ領域シールド接触構造を有する例示的なトレンチデバイスのレイアウト図である。 中断したトレンチ構造を有するトレンチデバイスにおいて、周辺トレンチに接触を作る2つの実施例の簡略化されたレイアウト図である。 中断したトレンチ構造を有するトレンチデバイスにおいて、周辺トレンチに接触を作る2つの実施例の簡略化されたレイアウト図である。 アクティブ領域においてトレンチシールドポリ層と接触する別の実施例である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。 エピドリフト領域の厚さを低減するためにスペーサまたはバッファ(バリア)層を有するエピ層を示す図である。 バリア層を有するデバイスに対する別の実施例を示す図である。 エピ層の厚さを最小化するために深い本体−エピ接合に使用されたバリア層を示す図である。 拡散バリア層を使用するトランジスタの井戸−ドリフト領域の接合の簡略例である。 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。 角をなした井戸トレンチに対する例示的な工程を示す図である。 角をなした井戸トレンチに対する例示的な工程を示す図である。 自己整合エピ井戸の工程の例を示す図である。 自己整合エピ井戸の工程の例を示す図である。 自己整合エピ井戸の工程の例を示す図である。 自己整合エピ井戸の工程の例を示す図である。 自己整合エピ井戸の工程の例を示す図である。 基板厚さを低減する方法を示す図である。 基板厚さを低減する方法を示す図である。 基板厚さを低減する方法を示す図である。 基板厚さを低減する方法を示す図である。 最後の薄層化ステップとして化学工程を使用する工程の例を示す図である。 改善されたエッチング工程の例を示す図である。 改善されたエッチング工程の例を示す図である。 改善されたエッチング工程の例を示す図である。 改善されたエッチング工程の例を示す図である。 改善されたエッチング工程の例を示す図である。 改善されたエッチング工程の例を示す図である。 鳥の嘴の問題をなくすトレンチエッチング工程の実施例を示す図である。 鳥の嘴の問題をなくすトレンチエッチング工程の実施例を示す図である。 別のエッチング工程を示す図である。 別のエッチング工程を示す図である。 改善されたポリ間の誘電体層を形成する工程を示す図である。 改善されたポリ間の誘電体層を形成する工程を示す図である。 改善されたポリ間の誘電体層を形成する工程を示す図である。 IPD層を形成する別の方法を示す図である。 IPD層を形成する別の方法を示す図である。 IPD層を形成する別の方法を示す図である。 高品質ポリ間誘電体層を形成する、さらに他の方法の断面図である。 高品質ポリ間誘電体層を形成する、さらに他の方法の断面図である。 改善されたIPD層の形成に対する他の実施例を示す図である。 改善されたIPD層の形成に対する他の実施例を示す図である。 改善されたIPD層の形成に対する他の実施例を示す図である。 改善されたIPD層の形成に対する他の実施例を示す図である。 改善されたIPD層の形成に対する他の実施例を示す図である。 IPD平坦化に対する異方性プラズマエッチング工程を示す図である。 化学機械工程を使用する、代わりのIPD平坦化方法を示す図である。 酸化率を制御する例示的な方法に対するフローチャートである。 準常圧CVDを使用してトレンチの底部に厚い酸化物を形成する、改善された方法を示す図である。 指向性テトラエトキシオルトケイ酸塩(tetraethoxyorthsilicate)の工程を使用して、トレンチの底部に厚い酸化物を形成する方法の例示的なフローチャートである。 厚い底部酸化物を形成する、他の実施例を示す図である。 厚い底部酸化物を形成する、他の実施例を示す図である。 トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。 トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。 トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。 トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。 電流検出装置を有するMOSFETの簡略図である。 プレーナゲート構造および分離した電流検出構造を有する電荷調整MOSFETの例である。 トレンチMOSFETを有する電流検出装置を集積する例を示す図である。 直列温度検出ダイオードを有するMOSFETに対する、別の実施例を示す図である。 直列温度検出ダイオードを有するMOSFETに対する、別の実施例を示す図である。 直列温度検出ダイオードを有するMOSFETに対する、別の実施例を示す図である。 ESD保護を有するMOSFETに対する別の実施例を示す図である。 ESD保護を有するMOSFETに対する別の実施例を示す図である。 ESD保護回路の例を示す図である。 ESD保護回路の例を示す図である。 ESD保護回路の例を示す図である。 ESD保護回路の例を示す図である。 低いESRを有する電荷調整パワーデバイスを形成する例示的な工程を示す図である。 ESRを低減するためのレイアウト法を示す図である。 ESRを低減するためのレイアウト法を示す図である。 パワースイッチングを使用するDC−DC回路を示す図である。 パワースイッチングを使用する、他のDC−DCコンバータを示す図である。 デュアルゲートMOSFETに対する例示的な駆動回路を示す図である。 分離して駆動されるゲート電極を有する、別の実施例を示す図である。 図70Aの回路動作を示すタイミング図を示す図である。 モールドパッケージの簡略断面図である。 モールドされていないパッケージの簡略断面図である。

Claims (18)

  1. 半導体デバイスであって、
    第1の導電型のドリフト領域と、
    前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
    前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
    前記アクティブトレンチに隣接した、前記井戸領域に形成された前記第1の導電型を有するソース領域と、
    前記アクティブトレンチより前記ドリフト領域中に深く伸長し且つ前記ドリフト領域において垂直電荷制御をする材料で満たされる電荷制御トレンチと、
    を含み、前記アクティブトレンチは、誘電体で囲まれた側壁と底部とを有し、第1の導電層および第2の導電層で満たされており、前記第2の導電層は、ゲート電極を形成し、前記第1の導電層の上に配置され且つ電極間誘電体によって前記第1の導電層から分離されていることを特徴とする半導体デバイス。
  2. ソース電極は、前記電荷制御トレンチの内部の導電体を前記ソース領域に連結することを特徴とする請求項1記載の半導体デバイス。
  3. 前記電荷制御トレンチの内部には、互いに分離され且つ誘電体によって当該電荷制御トレンチの側壁から分離されて、垂直に積み重ねられた複数の導電層が配置されていることを特徴とする請求項1記載の半導体デバイス。
  4. 前記電荷制御トレンチの内部の前記複数の導電層は、前記ドリフト領域において垂直電荷調整を与えるために電気的にバイアスがかけられることを特徴とする請求項3記載の半導体デバイス。
  5. 前記電荷制御トレンチの内部の前記複数の導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項4記載の半導体デバイス。
  6. 前記電荷制御トレンチの内部の前記複数の導電層の厚さは変動することを特徴とする請求項3記載の半導体デバイス。
  7. 前記電荷制御トレンチの内部のより深い位置にある第1の導電層の厚さは、前記第1の導電層の上に配された第2の導電層の厚さよりも薄いことを特徴とする請求項1記載の半導体デバイス。
  8. 前記アクティブトレンチの内部の前記第1の導電層は、所望の電位に電気的にバイアスされる第1のシールド電極を形成することを特徴とする請求項1記載の半導体デバイス。
  9. 前記第1の電導層および前記ソース領域は、同電位に電気的に連結されることを特徴とする請求項1記載の半導体デバイス。
  10. 前記電荷制御トレンチの内部の前記複数の導電層は、電気的に相互接続されていることを特徴とする請求項4記載の半導体デバイス。
  11. 前記複数の導電層の少なくとも1つが、前記ドリフト領域内にあることを特徴とする請求項4記載の半導体デバイス。
  12. 前記複数の導電層の少なくとも1つが、前記ドリフト領域近傍の前記電荷制御トレンチ内に位置していることを特徴とする請求項4記載の半導体デバイス。
  13. 前記複数の導電層の少なくとも1つが、前記井戸領域近傍の前記電荷制御トレンチ内に位置していることを特徴とする請求項4記載の半導体デバイス。
  14. 前記複数の導電層の少なくとも1つが、前記第2の電導型の高濃度の前記井戸領域内にあることを特徴とする請求項4記載の半導体デバイス。
  15. 前記複数の導電層の少なくとも1つが、前記井戸領域内にあることを特徴とする請求項4記載の半導体デバイス。
  16. 前記複数の導電層の少なくとも1つが、前記ドリフト領域及び前記井戸領域の双方の内にあることを特徴とする請求項4記載の半導体デバイス。
  17. 前記電荷制御トレンチは第1の電荷制御トレンチであり、
    前記半導体デバイスは前記アクティブトレンチに隣接した第2の電荷制御トレンチをさらに含み、当該第2の電荷制御トレンチは前記アクティブトレンチを挟んで前記第1の電荷制御トレンチの反対側に位置し、
    前記第2の電荷制御トレンチは、前記アクティブトレンチよりも前記ドリフト領域中へ深く伸長し且つ前記ドリフト領域内において垂直電荷制御をする材料で満たされることを特徴とする請求項1記載の半導体デバイス。
  18. 前記第1及び第2の電荷制御トレンチは、前記アクティブトレンチから等しい距離隔てられていることを特徴とする請求項17記載の半導体デバイス。
JP2006547577A 2003-12-30 2004-12-28 パワー半導体デバイスおよびその製造方法 Active JP4903055B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US53379003P 2003-12-30 2003-12-30
US60/533,790 2003-12-30
US58884504P 2004-07-15 2004-07-15
US60/588,845 2004-07-15
PCT/US2004/043965 WO2005065385A2 (en) 2003-12-30 2004-12-28 Power semiconductor devices and methods of manufacture

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008077939A Division JP2008227514A (ja) 2003-12-30 2008-03-25 パワー半導体デバイスおよびその製造方法

Publications (2)

Publication Number Publication Date
JP2007529115A JP2007529115A (ja) 2007-10-18
JP4903055B2 true JP4903055B2 (ja) 2012-03-21

Family

ID=34753010

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006547577A Active JP4903055B2 (ja) 2003-12-30 2004-12-28 パワー半導体デバイスおよびその製造方法
JP2008077939A Withdrawn JP2008227514A (ja) 2003-12-30 2008-03-25 パワー半導体デバイスおよびその製造方法
JP2011276453A Pending JP2012109580A (ja) 2003-12-30 2011-12-16 パワー半導体デバイスおよびその製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2008077939A Withdrawn JP2008227514A (ja) 2003-12-30 2008-03-25 パワー半導体デバイスおよびその製造方法
JP2011276453A Pending JP2012109580A (ja) 2003-12-30 2011-12-16 パワー半導体デバイスおよびその製造方法

Country Status (6)

Country Link
JP (3) JP4903055B2 (ja)
KR (2) KR101216533B1 (ja)
CN (3) CN103199017B (ja)
DE (3) DE112004002608B4 (ja)
TW (3) TWI521726B (ja)
WO (1) WO2005065385A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11721732B2 (en) 2021-08-18 2023-08-08 Kabushiki Kaisha Toshiba Semiconductor device with control electrodes provided in trenches of different widths

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
WO2005065385A2 (en) * 2003-12-30 2005-07-21 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US7183610B2 (en) * 2004-04-30 2007-02-27 Siliconix Incorporated Super trench MOSFET including buried source electrode and method of fabricating the same
JP5135663B2 (ja) * 2004-10-21 2013-02-06 富士電機株式会社 半導体装置およびその製造方法
US7453119B2 (en) * 2005-02-11 2008-11-18 Alphs & Omega Semiconductor, Ltd. Shielded gate trench (SGT) MOSFET cells implemented with a schottky source contact
JP4955222B2 (ja) * 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
AT504290A2 (de) * 2005-06-10 2008-04-15 Fairchild Semiconductor Feldeffekttransistor mit ladungsgleichgewicht
JP4921730B2 (ja) * 2005-06-20 2012-04-25 株式会社東芝 半導体装置
WO2007012490A2 (de) * 2005-07-27 2007-02-01 Infineon Technologies Austria Ag Halbleiterbauelement mit einer driftzone und einer driftsteuerzone
US8110868B2 (en) 2005-07-27 2012-02-07 Infineon Technologies Austria Ag Power semiconductor component with a low on-state resistance
US8461648B2 (en) 2005-07-27 2013-06-11 Infineon Technologies Austria Ag Semiconductor component with a drift region and a drift control region
DE102006002065B4 (de) * 2006-01-16 2007-11-29 Infineon Technologies Austria Ag Kompensationsbauelement mit reduziertem und einstellbarem Einschaltwiderstand
US7595542B2 (en) * 2006-03-13 2009-09-29 Fairchild Semiconductor Corporation Periphery design for charge balance power devices
US7446374B2 (en) * 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
DE102006026943B4 (de) * 2006-06-09 2011-01-05 Infineon Technologies Austria Ag Mittels Feldeffekt steuerbarer Trench-Transistor mit zwei Steuerelektroden
US8432012B2 (en) * 2006-08-01 2013-04-30 Cree, Inc. Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
US7544571B2 (en) * 2006-09-20 2009-06-09 Fairchild Semiconductor Corporation Trench gate FET with self-aligned features
JP2008153620A (ja) * 2006-11-21 2008-07-03 Toshiba Corp 半導体装置
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
DE102007020657B4 (de) 2007-04-30 2012-10-04 Infineon Technologies Austria Ag Halbleiterbauelement mit einem Halbleiterkörper und Verfahren zur Herstellung desselben
WO2009001529A1 (ja) * 2007-06-22 2008-12-31 Panasonic Corporation プラズマディスプレイパネル駆動装置及びプラズマディスプレイ
JP5285242B2 (ja) * 2007-07-04 2013-09-11 ローム株式会社 半導体装置
KR100847642B1 (ko) * 2007-08-10 2008-07-21 주식회사 동부하이텍 파티클 발생 방지를 위한 포토 키 처리방법
US8497549B2 (en) * 2007-08-21 2013-07-30 Fairchild Semiconductor Corporation Method and structure for shielded gate trench FET
US9484451B2 (en) 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
ATE515064T1 (de) 2007-10-29 2011-07-15 Nxp Bv Graben-gate-mosfet und verfahren zu dessen herstellung
JP2009164558A (ja) * 2007-12-10 2009-07-23 Toyota Central R&D Labs Inc 半導体装置とその製造方法、並びにトレンチゲートの製造方法
JP5481030B2 (ja) 2008-01-30 2014-04-23 ルネサスエレクトロニクス株式会社 半導体装置
US7833862B2 (en) 2008-03-03 2010-11-16 Infineon Technologies Austria Ag Semiconductor device and method for forming same
US7952166B2 (en) * 2008-05-22 2011-05-31 Infineon Technologies Austria Ag Semiconductor device with switch electrode and gate electrode and method for switching a semiconductor device
US7786600B2 (en) 2008-06-30 2010-08-31 Hynix Semiconductor Inc. Circuit substrate having circuit wire formed of conductive polarization particles, method of manufacturing the circuit substrate and semiconductor package having the circuit wire
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
JP2012501545A (ja) 2008-08-28 2012-01-19 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 3次元マルチゲートmosfetの製造に有用であるバルクシリコンウェハー製品
TWI414019B (zh) * 2008-09-11 2013-11-01 He Jian Technology Suzhou Co Ltd 一種閘氧化層的製造方法
US8129818B2 (en) * 2008-10-14 2012-03-06 Mitsubishi Electric Corporation Power device
US7915672B2 (en) * 2008-11-14 2011-03-29 Semiconductor Components Industries, L.L.C. Semiconductor device having trench shield electrode structure
JP5195357B2 (ja) * 2008-12-01 2013-05-08 トヨタ自動車株式会社 半導体装置
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8304829B2 (en) 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
JP5588671B2 (ja) 2008-12-25 2014-09-10 ローム株式会社 半導体装置の製造方法
JP5588670B2 (ja) * 2008-12-25 2014-09-10 ローム株式会社 半導体装置
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
JP5528424B2 (ja) * 2009-02-24 2014-06-25 三菱電機株式会社 炭化珪素半導体装置
US7989885B2 (en) * 2009-02-26 2011-08-02 Infineon Technologies Austria Ag Semiconductor device having means for diverting short circuit current arranged in trench and method for producing same
WO2010111825A1 (en) * 2009-03-30 2010-10-07 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Electronic package and method of fabrication thereof
US7952141B2 (en) * 2009-07-24 2011-05-31 Fairchild Semiconductor Corporation Shield contacts in a shielded gate MOSFET
JP4998524B2 (ja) 2009-07-24 2012-08-15 サンケン電気株式会社 半導体装置
JP5402395B2 (ja) * 2009-08-21 2014-01-29 オムロン株式会社 静電誘導型発電装置
US9425306B2 (en) * 2009-08-27 2016-08-23 Vishay-Siliconix Super junction trench power MOSFET devices
US9443974B2 (en) 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
US8981434B2 (en) 2009-08-31 2015-03-17 Renesas Electronics Corporation Semiconductor device and field effect transistor
CN103367452B (zh) * 2009-09-11 2015-11-25 中芯国际集成电路制造(上海)有限公司 绿色晶体管、电阻随机存储器及其驱动方法
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
CN102790091B (zh) * 2009-10-20 2015-04-01 中芯国际集成电路制造(上海)有限公司 绿色晶体管、纳米硅铁电存储器及其驱动方法
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8421196B2 (en) 2009-11-25 2013-04-16 Infineon Technologies Austria Ag Semiconductor device and manufacturing method
US8174070B2 (en) 2009-12-02 2012-05-08 Alpha And Omega Semiconductor Incorporated Dual channel trench LDMOS transistors and BCD process with deep trench isolation
US8198678B2 (en) 2009-12-09 2012-06-12 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance
CN102130006B (zh) * 2010-01-20 2013-12-18 上海华虹Nec电子有限公司 沟槽型双层栅功率mos晶体管的制备方法
JP5762689B2 (ja) 2010-02-26 2015-08-12 株式会社東芝 半導体装置
KR101728363B1 (ko) 2010-03-02 2017-05-02 비쉐이-실리코닉스 듀얼 게이트 디바이스의 구조 및 제조 방법
CN102194880B (zh) * 2010-03-05 2015-01-14 万国半导体股份有限公司 带有沟槽-氧化物-纳米管超级结的器件结构及制备方法
TWI407531B (zh) * 2010-03-05 2013-09-01 Great Power Semiconductor Corp 具有蕭特基二極體之功率半導體結構及其製造方法
US9117739B2 (en) 2010-03-08 2015-08-25 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
US8367501B2 (en) * 2010-03-24 2013-02-05 Alpha & Omega Semiconductor, Inc. Oxide terminated trench MOSFET with three or four masks
TWI419237B (zh) * 2010-04-27 2013-12-11 Great Power Semiconductor Corp 低閘極阻抗之功率半導體結構的製造方法
CN102254944A (zh) * 2010-05-21 2011-11-23 上海新进半导体制造有限公司 一种沟槽mosfet功率整流器件及制造方法
US8319282B2 (en) 2010-07-09 2012-11-27 Infineon Technologies Austria Ag High-voltage bipolar transistor with trench field plate
CN102376758B (zh) * 2010-08-12 2014-02-26 上海华虹宏力半导体制造有限公司 绝缘栅双极晶体管、制作方法及沟槽栅结构制作方法
EP2421046A1 (en) * 2010-08-16 2012-02-22 Nxp B.V. MOSFET having a capacitance control region
CN102386182B (zh) * 2010-08-27 2014-11-05 万国半导体股份有限公司 在分立的功率mos场效应管集成传感场效应管的器件及方法
JP2012060063A (ja) 2010-09-13 2012-03-22 Toshiba Corp 半導体装置及びその製造方法
JP2012064641A (ja) * 2010-09-14 2012-03-29 Toshiba Corp 半導体装置
DE102010043088A1 (de) * 2010-10-29 2012-05-03 Robert Bosch Gmbh Halbleiteranordnung mit Schottkydiode
US8580667B2 (en) 2010-12-14 2013-11-12 Alpha And Omega Semiconductor Incorporated Self aligned trench MOSFET with integrated diode
TWI414069B (zh) * 2011-01-05 2013-11-01 Anpec Electronics Corp Power transistor with low interface of low Miller capacitor and its making method
JP5556799B2 (ja) * 2011-01-12 2014-07-23 株式会社デンソー 半導体装置
US8313995B2 (en) * 2011-01-13 2012-11-20 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device
DE102011003456A1 (de) * 2011-02-01 2012-08-02 Robert Bosch Gmbh Halbleiteranordnung mit reduziertem Einschaltwiderstand
JP2012204395A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体装置およびその製造方法
JP2012204529A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 半導体装置及びその製造方法
JP2012204636A (ja) 2011-03-25 2012-10-22 Toshiba Corp 半導体装置およびその製造方法
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
US8823089B2 (en) * 2011-04-15 2014-09-02 Infineon Technologies Ag SiC semiconductor power device
DE112012001986B4 (de) * 2011-05-05 2021-05-27 Abb Schweiz Ag Bipolares Punch-Through-Halbleiterbauelement und Verfahren zur Herstellung eines derartigen Halbleiterbauelements
DE112012002136T5 (de) * 2011-05-18 2014-03-13 Vishay-Siliconix Halbleitervorrichtung
US8884340B2 (en) * 2011-05-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor devices including dual gate electrode structures and related methods
JP5677222B2 (ja) * 2011-07-25 2015-02-25 三菱電機株式会社 炭化珪素半導体装置
CN102916043B (zh) * 2011-08-03 2015-07-22 中国科学院微电子研究所 Mos-hemt器件及其制作方法
US8981748B2 (en) * 2011-08-08 2015-03-17 Semiconductor Components Industries, Llc Method of forming a semiconductor power switching device, structure therefor, and power converter
CN102956640A (zh) * 2011-08-22 2013-03-06 大中积体电路股份有限公司 双导通半导体组件及其制作方法
JP2013058575A (ja) * 2011-09-07 2013-03-28 Toshiba Corp 半導体装置及びその製造方法
US8680587B2 (en) 2011-09-11 2014-03-25 Cree, Inc. Schottky diode
US9184255B2 (en) * 2011-09-30 2015-11-10 Infineon Technologies Austria Ag Diode with controllable breakdown voltage
US8659126B2 (en) * 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
JP5742668B2 (ja) * 2011-10-31 2015-07-01 三菱電機株式会社 炭化珪素半導体装置
JP2013115225A (ja) * 2011-11-29 2013-06-10 Toshiba Corp 電力用半導体装置およびその製造方法
KR101275458B1 (ko) * 2011-12-26 2013-06-17 삼성전기주식회사 반도체 소자 및 그 제조 방법
JP5720582B2 (ja) 2012-01-12 2015-05-20 トヨタ自動車株式会社 スイッチング素子
US9082746B2 (en) * 2012-01-16 2015-07-14 Infineon Technologies Austria Ag Method for forming self-aligned trench contacts of semiconductor components and a semiconductor component
JP5848142B2 (ja) * 2012-01-25 2016-01-27 ルネサスエレクトロニクス株式会社 縦型プレーナパワーmosfetの製造方法
US9614043B2 (en) * 2012-02-09 2017-04-04 Vishay-Siliconix MOSFET termination trench
JP5685736B2 (ja) * 2012-02-10 2015-03-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP5856868B2 (ja) * 2012-02-17 2016-02-10 国立大学法人九州工業大学 同一基板へのcmos及びトレンチダイオードの作製方法
US9159786B2 (en) * 2012-02-20 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Dual gate lateral MOSFET
US8866222B2 (en) 2012-03-07 2014-10-21 Infineon Technologies Austria Ag Charge compensation semiconductor device
CN103378159B (zh) * 2012-04-20 2016-08-03 英飞凌科技奥地利有限公司 具有mosfet的晶体管装置和制造方法
CN103377922B (zh) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管及其形成方法
DE112013002267T5 (de) * 2012-04-30 2015-03-12 Vishay-Siliconix Halbleiterbauelement
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
US8884369B2 (en) * 2012-06-01 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical power MOSFET and methods of forming the same
US8680614B2 (en) * 2012-06-12 2014-03-25 Monolithic Power Systems, Inc. Split trench-gate MOSFET with integrated Schottky diode
ITMI20121123A1 (it) * 2012-06-26 2013-12-27 St Microelectronics Srl Transistore mos a gate verticale con accesso ad armatura di campo
US9293376B2 (en) 2012-07-11 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for power MOS transistor
CN104241341A (zh) * 2012-07-27 2014-12-24 俞国庆 一种高频低功耗的功率mos场效应管器件
JP2014027182A (ja) * 2012-07-27 2014-02-06 Toshiba Corp 半導体装置
JP5715604B2 (ja) 2012-09-12 2015-05-07 株式会社東芝 電力用半導体素子
US9059256B2 (en) * 2012-09-13 2015-06-16 Infineon Technologies Ag Method for producing a controllable semiconductor component
JP5802636B2 (ja) * 2012-09-18 2015-10-28 株式会社東芝 半導体装置およびその製造方法
WO2014061619A1 (ja) * 2012-10-17 2014-04-24 富士電機株式会社 半導体装置
JP2014099484A (ja) * 2012-11-13 2014-05-29 Toshiba Corp 半導体装置
CN103855047B (zh) * 2012-12-04 2016-10-26 上海华虹宏力半导体制造有限公司 深沟槽产品的物理分析结构及方法
US9853140B2 (en) 2012-12-31 2017-12-26 Vishay-Siliconix Adaptive charge balanced MOSFET techniques
CN103011550B (zh) * 2013-01-16 2013-11-13 四川亿思通科技工程有限公司 污泥冻溶脱水处理系统及其处理方法
KR101392587B1 (ko) 2013-02-19 2014-05-27 주식회사 동부하이텍 고전압 정전기 방전 보호 소자
JP6143490B2 (ja) * 2013-02-19 2017-06-07 ローム株式会社 半導体装置およびその製造方法
JP6164636B2 (ja) 2013-03-05 2017-07-19 ローム株式会社 半導体装置
JP6164604B2 (ja) 2013-03-05 2017-07-19 ローム株式会社 半導体装置
KR102011933B1 (ko) * 2013-03-06 2019-08-20 삼성전자 주식회사 비휘발성 메모리 소자 제조 방법
JP5784665B2 (ja) 2013-03-22 2015-09-24 株式会社東芝 半導体装置の製造方法
JP2014187141A (ja) 2013-03-22 2014-10-02 Toshiba Corp 半導体装置
US20140306284A1 (en) * 2013-04-12 2014-10-16 Infineon Technologies Austria Ag Semiconductor Device and Method for Producing the Same
JP2014216572A (ja) 2013-04-26 2014-11-17 株式会社東芝 半導体装置
TWI514578B (zh) * 2013-06-21 2015-12-21 Chip Integration Tech Co Ltd 雙溝渠式整流器及其製造方法
TWI511293B (zh) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd 雙溝渠式mos電晶體結構及其製造方法
US9112022B2 (en) 2013-07-31 2015-08-18 Infineon Technologies Austria Ag Super junction structure having a thickness of first and second semiconductor regions which gradually changes from a transistor area into a termination area
CN104347376B (zh) * 2013-08-05 2017-04-26 台湾茂矽电子股份有限公司 于金属氧化物半导体场效应晶体管中形成遮蔽栅极的方法
KR102036386B1 (ko) * 2013-08-20 2019-10-25 한국전력공사 전기비저항을 이용한 지중 자원 모니터링 방법
JP6197995B2 (ja) * 2013-08-23 2017-09-20 富士電機株式会社 ワイドバンドギャップ絶縁ゲート型半導体装置
JP2015056492A (ja) * 2013-09-11 2015-03-23 株式会社東芝 半導体装置
CN104465603A (zh) 2013-09-23 2015-03-25 台达电子企业管理(上海)有限公司 功率模块
US9525058B2 (en) * 2013-10-30 2016-12-20 Infineon Technologies Austria Ag Integrated circuit and method of manufacturing an integrated circuit
CN104282750B (zh) * 2013-11-20 2017-07-21 沈阳工业大学 主辅栅分立控制u形沟道无掺杂场效应晶体管
CN104282751B (zh) * 2013-11-20 2017-07-21 沈阳工业大学 高集成度高迁移率源漏栅辅控型无结晶体管
CN103887286A (zh) * 2013-11-29 2014-06-25 杭州恩能科技有限公司 一种具有提高抗浪涌电流能力的半导体装置
JP6271440B2 (ja) 2014-01-31 2018-01-31 ルネサスエレクトロニクス株式会社 半導体装置
JP6226786B2 (ja) 2014-03-19 2017-11-08 三菱電機株式会社 半導体装置およびその製造方法
KR102156130B1 (ko) * 2014-04-10 2020-09-15 삼성전자주식회사 반도체 소자 형성 방법
DE102014106825B4 (de) 2014-05-14 2019-06-27 Infineon Technologies Ag Halbleitervorrichtung
TWI555208B (zh) * 2014-05-20 2016-10-21 力祥半導體股份有限公司 線型架構之功率半導體元件
CN105097570B (zh) * 2014-05-21 2017-12-19 北大方正集团有限公司 钝化层制造方法及高压半导体功率器件
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
WO2015198435A1 (ja) * 2014-06-26 2015-12-30 三菱電機株式会社 半導体装置
CN105448893B (zh) * 2014-06-30 2017-12-15 苏州远创达科技有限公司 一种半导体器件中的静电放电保护结构及半导体器件
JP6022082B2 (ja) * 2014-07-11 2016-11-09 新電元工業株式会社 半導体装置及び半導体装置の製造方法
DE102014109926A1 (de) * 2014-07-15 2016-01-21 Infineon Technologies Austria Ag Halbleitervorrichtung mit einer Vielzahl von Transistorzellen und Herstellungsverfahren
KR101621150B1 (ko) 2014-07-21 2016-05-13 주식회사 케이이씨 전력 정류 디바이스
KR101621151B1 (ko) 2014-07-21 2016-05-13 주식회사 케이이씨 전력 정류 디바이스
EP3183753A4 (en) 2014-08-19 2018-01-10 Vishay-Siliconix Electronic circuit
CN106575666B (zh) 2014-08-19 2021-08-06 维西埃-硅化物公司 超结金属氧化物半导体场效应晶体管
DE102014112338A1 (de) 2014-08-28 2016-03-03 Infineon Technologies Austria Ag Halbleiterbauelement und Verfahren zum Herstellen eines Halbleiterbauelements
JP2016096165A (ja) * 2014-11-12 2016-05-26 サンケン電気株式会社 半導体装置
US9515177B2 (en) 2014-11-25 2016-12-06 Infineon Technologies Ag Vertically integrated semiconductor device and manufacturing method
US9443973B2 (en) 2014-11-26 2016-09-13 Infineon Technologies Austria Ag Semiconductor device with charge compensation region underneath gate trench
DE102014226161B4 (de) 2014-12-17 2017-10-26 Infineon Technologies Ag Halbleitervorrichtung mit Überlaststrombelastbarkeit
JP6299581B2 (ja) 2014-12-17 2018-03-28 三菱電機株式会社 半導体装置
JP6526981B2 (ja) 2015-02-13 2019-06-05 ローム株式会社 半導体装置および半導体モジュール
CN107135668B (zh) * 2015-02-20 2020-08-14 新电元工业株式会社 半导体装置
JP2016167519A (ja) 2015-03-09 2016-09-15 株式会社東芝 半導体装置
DE102015204315B4 (de) 2015-03-11 2018-06-28 Infineon Technologies Ag Sensor für ein Halbleiterbauelement
CN106033781A (zh) * 2015-03-16 2016-10-19 中航(重庆)微电子有限公司 肖特基势垒二极管及其制备方法
CN104733535A (zh) * 2015-03-17 2015-06-24 北京中科新微特科技开发股份有限公司 一种功率mosfet
JP2016181617A (ja) 2015-03-24 2016-10-13 株式会社デンソー 半導体装置
JP2016181618A (ja) 2015-03-24 2016-10-13 株式会社デンソー 半導体装置
DE102015105758A1 (de) * 2015-04-15 2016-10-20 Infineon Technologies Ag Halbleiterbauelement und herstellungsverfahren
US9299830B1 (en) * 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
TWI555163B (zh) * 2015-07-22 2016-10-21 新唐科技股份有限公司 半導體結構
JP6512025B2 (ja) * 2015-08-11 2019-05-15 富士電機株式会社 半導体素子及び半導体素子の製造方法
JP6696166B2 (ja) * 2015-08-19 2020-05-20 富士電機株式会社 半導体装置および製造方法
KR102404114B1 (ko) 2015-08-20 2022-05-30 온세미컨덕터코리아 주식회사 슈퍼정션 반도체 장치 및 그 제조 방법
JP6666671B2 (ja) * 2015-08-24 2020-03-18 ローム株式会社 半導体装置
EP3142149A1 (en) * 2015-09-11 2017-03-15 Nexperia B.V. A semiconductor device and a method of making a semiconductor device
US9806186B2 (en) * 2015-10-02 2017-10-31 D3 Semiconductor LLC Termination region architecture for vertical power transistors
DE102015221376A1 (de) * 2015-11-02 2017-05-04 Robert Bosch Gmbh Halbleiterbauelement sowie Verfahren zur Herstellung eines Halbleiterbauelements und Steuergerät für ein Fahrzeug
JP2017107895A (ja) * 2015-12-07 2017-06-15 サンケン電気株式会社 半導体装置
DE102015121563B4 (de) 2015-12-10 2023-03-02 Infineon Technologies Ag Halbleiterbauelemente und ein Verfahren zum Bilden eines Halbleiterbauelements
DE102015121566B4 (de) * 2015-12-10 2021-12-09 Infineon Technologies Ag Halbleiterbauelemente und eine Schaltung zum Steuern eines Feldeffekttransistors eines Halbleiterbauelements
DE102015224965A1 (de) * 2015-12-11 2017-06-14 Robert Bosch Gmbh Flächenoptimierter Transistor mit Superlattice-Strukturen
CN105428241B (zh) * 2015-12-25 2018-04-17 上海华虹宏力半导体制造有限公司 具有屏蔽栅的沟槽栅功率器件的制造方法
DE102015122938B4 (de) 2015-12-30 2021-11-11 Infineon Technologies Austria Ag Transistor mit feldelektrode und verfahren zu dessen herstellung
WO2017168734A1 (ja) 2016-03-31 2017-10-05 新電元工業株式会社 半導体装置の製造方法及び半導体装置
US10707343B2 (en) 2016-03-31 2020-07-07 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
JPWO2017187856A1 (ja) * 2016-04-27 2018-05-10 三菱電機株式会社 半導体装置
US9691864B1 (en) * 2016-05-13 2017-06-27 Infineon Technologies Americas Corp. Semiconductor device having a cavity and method for manufacturing thereof
TWI577040B (zh) * 2016-05-19 2017-04-01 國立中山大學 單晶片光伏元件串聯結構的製造方法
JP6649183B2 (ja) 2016-05-30 2020-02-19 株式会社東芝 半導体装置
CN107851662A (zh) * 2016-06-10 2018-03-27 马克斯半导体股份有限公司 沟槽式闸极宽能隙装置的制造
JP6977273B2 (ja) * 2016-06-16 2021-12-08 富士電機株式会社 半導体装置および製造方法
CN107564814B (zh) * 2016-06-30 2020-11-10 株洲中车时代半导体有限公司 一种制作功率半导体的方法
CN106129113B (zh) * 2016-07-11 2019-06-14 中国科学院微电子研究所 一种垂直双扩散金属氧化物半导体场效应晶体管
TWI693713B (zh) * 2016-07-22 2020-05-11 立積電子股份有限公司 半導體結構
US9972540B2 (en) 2016-08-07 2018-05-15 International Business Machines Corporation Semiconductor device having multiple thickness oxides
US10529799B2 (en) 2016-08-08 2020-01-07 Mitsubishi Electric Corporation Semiconductor device
CN107785263B (zh) * 2016-08-26 2020-09-04 台湾半导体股份有限公司 具有多重宽度电极结构的场效晶体管及其制造方法
CN107785426B (zh) * 2016-08-31 2020-01-31 无锡华润上华科技有限公司 一种半导体器件及其制造方法
CN107785273B (zh) * 2016-08-31 2020-03-13 无锡华润上华科技有限公司 半导体器件及其制造方法
JP6659516B2 (ja) * 2016-10-20 2020-03-04 トヨタ自動車株式会社 半導体装置
JP6669628B2 (ja) * 2016-10-20 2020-03-18 トヨタ自動車株式会社 スイッチング素子
US10892359B2 (en) 2016-10-27 2021-01-12 Sanken Electric Co., Ltd. Semiconductor device
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US9812535B1 (en) * 2016-11-29 2017-11-07 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device and power semiconductor device
KR102335489B1 (ko) * 2016-12-13 2021-12-03 현대자동차 주식회사 반도체 소자 및 그 제조 방법
JP6589845B2 (ja) * 2016-12-21 2019-10-16 株式会社デンソー 半導体装置
JP6233539B1 (ja) 2016-12-21 2017-11-22 富士電機株式会社 半導体装置および半導体装置の製造方法
JP6996082B2 (ja) * 2016-12-22 2022-01-17 富士電機株式会社 半導体装置および半導体装置の製造方法
DE102017101662B4 (de) 2017-01-27 2019-03-28 Infineon Technologies Austria Ag Halbleiterbauelement mit einer Isolationsstruktur und einer Verbindungsstruktur sowie ein Verfahren zu dessen Herstellung
US10163900B2 (en) 2017-02-08 2018-12-25 Globalfoundries Inc. Integration of vertical field-effect transistors and saddle fin-type field effect transistors
US10211333B2 (en) * 2017-04-26 2019-02-19 Alpha And Omega Semiconductor (Cayman) Ltd. Scalable SGT structure with improved FOM
US10236340B2 (en) 2017-04-28 2019-03-19 Semiconductor Components Industries, Llc Termination implant enrichment for shielded gate MOSFETs
US10374076B2 (en) 2017-06-30 2019-08-06 Semiconductor Components Industries, Llc Shield indent trench termination for shielded gate MOSFETs
CN109216452B (zh) * 2017-07-03 2021-11-05 无锡华润上华科技有限公司 沟槽型功率器件及其制备方法
CN109216432A (zh) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 沟槽型功率器件及其制备方法
CN109216175B (zh) * 2017-07-03 2021-01-08 无锡华润上华科技有限公司 半导体器件的栅极结构及其制造方法
CN110945632A (zh) 2017-07-19 2020-03-31 环球晶圆日本股份有限公司 三维结构体的制造方法、垂直晶体管的制造方法、垂直晶体管用晶元以及垂直晶体管用基板
JP6820811B2 (ja) * 2017-08-08 2021-01-27 三菱電機株式会社 半導体装置および電力変換装置
KR101960077B1 (ko) * 2017-08-30 2019-03-21 파워큐브세미(주) 플로팅 쉴드를 갖는 실리콘카바이드 트렌치 게이트 트랜지스터 및 그 제조 방법
TWI695418B (zh) * 2017-09-22 2020-06-01 新唐科技股份有限公司 半導體元件及其製造方法
JP2019068592A (ja) * 2017-09-29 2019-04-25 トヨタ自動車株式会社 電力変換装置
TWI737855B (zh) * 2017-11-15 2021-09-01 力智電子股份有限公司 功率電晶體及其製造方法
CN108010847B (zh) * 2017-11-30 2020-09-25 上海华虹宏力半导体制造有限公司 屏蔽栅沟槽mosfet及其制造方法
US10777465B2 (en) 2018-01-11 2020-09-15 Globalfoundries Inc. Integration of vertical-transport transistors and planar transistors
CN108172622A (zh) * 2018-01-30 2018-06-15 电子科技大学 功率半导体器件
CN108447911B (zh) * 2018-03-09 2021-07-27 香港商莫斯飞特半导体股份有限公司 一种深浅沟槽半导体功率器件及其制备方法
JP6864640B2 (ja) 2018-03-19 2021-04-28 株式会社東芝 半導体装置及びその制御方法
US10304933B1 (en) * 2018-04-24 2019-05-28 Semiconductor Components Industries, Llc Trench power MOSFET having a trench cavity
CN109037337A (zh) * 2018-06-28 2018-12-18 华为技术有限公司 一种功率半导体器件及制造方法
JP7078226B2 (ja) * 2018-07-19 2022-05-31 国立研究開発法人産業技術総合研究所 半導体装置
CN109326639B (zh) * 2018-08-23 2021-11-23 电子科技大学 具有体内场板的分离栅vdmos器件及其制造方法
CN109119476A (zh) * 2018-08-23 2019-01-01 电子科技大学 具有体内场板的分离栅vdmos器件及其制造方法
DE102018124737A1 (de) * 2018-10-08 2020-04-09 Infineon Technologies Ag Halbleiterbauelement mit einem sic halbleiterkörper und verfahren zur herstellung eines halbleiterbauelements
KR102100863B1 (ko) * 2018-12-06 2020-04-14 현대오트론 주식회사 SiC MOSFET 전력 반도체 소자
US11348997B2 (en) 2018-12-17 2022-05-31 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
CN111384149B (zh) * 2018-12-29 2021-05-14 比亚迪半导体股份有限公司 沟槽型igbt及其制备方法
DE112019006587T5 (de) 2019-01-08 2021-12-23 Mitsubishi Electric Corporation Halbleitereinheit und leistungswandlereinheit
CN109767980B (zh) * 2019-01-22 2021-07-30 上海华虹宏力半导体制造有限公司 超级结及其制造方法、超级结的深沟槽制造方法
TWI823892B (zh) * 2019-01-24 2023-12-01 世界先進積體電路股份有限公司 半導體裝置及其製造方法
JP7352360B2 (ja) * 2019-02-12 2023-09-28 株式会社東芝 半導体装置
JP7077251B2 (ja) * 2019-02-25 2022-05-30 株式会社東芝 半導体装置
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US11521967B2 (en) 2019-06-28 2022-12-06 Stmicroelectronics International N.V. Multi-finger devices with reduced parasitic capacitance
DE102019210285B4 (de) 2019-07-11 2023-09-28 Infineon Technologies Ag Erzeugen eines vergrabenen Hohlraums in einem Halbleitersubstrat
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
JP2021044517A (ja) * 2019-09-13 2021-03-18 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
JP7374795B2 (ja) * 2020-02-05 2023-11-07 株式会社東芝 半導体装置
EP3863066A1 (en) * 2020-02-06 2021-08-11 Infineon Technologies Austria AG Transistor device and method of fabricating a gate of a transistor device
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11355602B2 (en) 2020-02-18 2022-06-07 Kabushiki Kaisha Toshiba Semiconductor device having multiple conductive parts
US11489070B2 (en) 2020-03-12 2022-11-01 Kabushiki Kaisha Toshiba Semiconductor device
JP7387501B2 (ja) * 2020-03-18 2023-11-28 株式会社東芝 半導体装置およびその制御方法
JP7270575B2 (ja) * 2020-04-15 2023-05-10 株式会社東芝 半導体装置
CN111883515A (zh) 2020-07-16 2020-11-03 上海华虹宏力半导体制造有限公司 沟槽栅器件及其制作方法
JP2022022074A (ja) 2020-07-22 2022-02-03 株式会社東芝 半導体装置
JP7319754B2 (ja) 2020-08-19 2023-08-02 株式会社東芝 半導体装置
KR102382846B1 (ko) * 2020-08-28 2022-04-05 부산대학교 산학협력단 SiC 트렌치 게이트 MOSFET 구조의 임계 치수 변화를 줄이기 위한 자기 정렬 공정 방법 및 자기 정렬 공정 장치
CN112271134B (zh) * 2020-10-20 2021-10-22 苏州东微半导体股份有限公司 半导体功率器件的制造方法
KR102413641B1 (ko) 2020-11-27 2022-06-27 주식회사 예스파워테크닉스 트렌치 파워 모스펫 제조 방법 및 그 방법에 의해 제조된 트렌치 파워 모스펫
TWI801783B (zh) * 2020-12-09 2023-05-11 大陸商上海瀚薪科技有限公司 碳化矽半導體元件
KR102437528B1 (ko) * 2020-12-22 2022-08-29 한국과학기술원 쇼트키 배리어 다이오드 수동소자 및 그 제조 방법
CN112820648B (zh) * 2020-12-31 2023-08-01 扬州扬杰电子科技股份有限公司 一种氮化镓金属氧化物半导体晶体管及其制备方法
JP2022111450A (ja) 2021-01-20 2022-08-01 株式会社東芝 半導体装置
US11387338B1 (en) 2021-01-22 2022-07-12 Applied Materials, Inc. Methods for forming planar metal-oxide-semiconductor field-effect transistors
WO2022162894A1 (ja) * 2021-01-29 2022-08-04 サンケン電気株式会社 半導体装置
JP2022138435A (ja) 2021-03-10 2022-09-26 株式会社東芝 半導体装置
CN113066865B (zh) * 2021-03-15 2022-10-28 无锡新洁能股份有限公司 降低开关损耗的半导体器件及其制作方法
KR102444384B1 (ko) * 2021-03-16 2022-09-19 주식회사 키파운드리 트렌치 파워 mosfet 및 그 제조방법
FR3121280B1 (fr) * 2021-03-29 2023-12-22 Commissariat Energie Atomique Transistor à effet de champ à structure verticale
JP2022167237A (ja) * 2021-04-22 2022-11-04 有限会社Mtec 半導体素子の製造方法及び縦型mosfet素子
CN113192842B (zh) * 2021-05-19 2023-05-09 厦门中能微电子有限公司 一种CoolMOS器件制作方法
CN113707713B (zh) * 2021-08-31 2023-06-30 西安电子科技大学 多级瓣状体区金属氧化物半导体功率器件及其制作方法
EP4152408A1 (en) * 2021-09-21 2023-03-22 Infineon Technologies Austria AG Semiconductor die comprising a device
DE102021125271A1 (de) 2021-09-29 2023-03-30 Infineon Technologies Ag LeistungshalbleitervorrichtungVerfahren zur Herstellung einer Leistungshalbleitervorrichtung
CN113990921B (zh) * 2021-10-18 2023-12-08 深圳市威兆半导体股份有限公司 半导体纵向器件及其生产方法
KR102635228B1 (ko) * 2021-12-28 2024-02-13 파워큐브세미 (주) 절연 거리가 확보된 박막형 패키지
CN114068531B (zh) * 2022-01-17 2022-03-29 深圳市威兆半导体有限公司 一种基于sgt-mosfet的电压采样结构
CN114496995B (zh) * 2022-04-18 2022-06-17 深圳市威兆半导体有限公司 一种带温度采样功能的屏蔽栅器件
EP4345908A1 (en) * 2022-09-28 2024-04-03 Nexperia B.V. Semiconductor device and method of forming a semiconductor device
CN115799340B (zh) * 2023-01-09 2023-05-12 无锡先瞳半导体科技有限公司 屏蔽栅场效应晶体管
CN116313809B (zh) * 2023-03-14 2024-02-23 深圳市至信微电子有限公司 沟槽型mos场效应晶体管的制备方法和应用
CN116093146B (zh) * 2023-04-11 2024-02-20 江苏应能微电子股份有限公司 一种分段式分离栅sgt mosfet结构
CN116388742B (zh) * 2023-06-02 2023-08-29 东莞市长工微电子有限公司 功率半导体器件栅极驱动电路及驱动方法
CN117410346B (zh) * 2023-12-14 2024-03-26 深圳市森国科科技股份有限公司 一种沟槽栅碳化硅mosfet及制作方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63296282A (ja) * 1987-05-27 1988-12-02 Sony Corp 半導体装置
JPH07111324A (ja) * 1993-10-12 1995-04-25 Fuji Electric Co Ltd 絶縁ゲート型サイリスタ
WO2002031880A2 (en) * 2000-10-06 2002-04-18 General Semiconductor, Inc. Trench dmos transistor with embedded trench schottky rectifier
JP2002217415A (ja) * 2000-12-07 2002-08-02 Internatl Rectifier Corp 高電圧垂直伝導スーパージャンクション半導体デバイス
WO2003034470A2 (en) * 2001-10-17 2003-04-24 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
JP2003243655A (ja) * 2002-02-20 2003-08-29 Sanken Electric Co Ltd 絶縁ゲート型トランジスタ及びその製造方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4541001A (en) * 1982-09-23 1985-09-10 Eaton Corporation Bidirectional power FET with substrate-referenced shield
JP2590863B2 (ja) * 1987-03-12 1997-03-12 日本電装株式会社 導電変調型mosfet
JPS6459868A (en) * 1987-08-29 1989-03-07 Fuji Electric Co Ltd Semiconductor device having insulating gate
US5430324A (en) * 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5326711A (en) * 1993-01-04 1994-07-05 Texas Instruments Incorporated High performance high voltage vertical transistor and method of fabrication
JPH08264772A (ja) * 1995-03-23 1996-10-11 Toyota Motor Corp 電界効果型半導体素子
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US6236099B1 (en) * 1996-04-22 2001-05-22 International Rectifier Corp. Trench MOS device and process for radhard device
JPH09331062A (ja) * 1996-06-11 1997-12-22 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH1117000A (ja) * 1997-06-27 1999-01-22 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6037628A (en) * 1997-06-30 2000-03-14 Intersil Corporation Semiconductor structures with trench contacts
JP4061711B2 (ja) * 1998-06-18 2008-03-19 株式会社デンソー Mosトランジスタ及びその製造方法
US5998833A (en) * 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
DE59902506D1 (de) * 1999-01-11 2002-10-02 Fraunhofer Ges Forschung Mos-leistungsbauelement und verfahren zum herstellen desselben
US6351018B1 (en) * 1999-02-26 2002-02-26 Fairchild Semiconductor Corporation Monolithically integrated trench MOSFET and Schottky diode
GB9917099D0 (en) * 1999-07-22 1999-09-22 Koninkl Philips Electronics Nv Cellular trench-gate field-effect transistors
US6376878B1 (en) * 2000-02-11 2002-04-23 Fairchild Semiconductor Corporation MOS-gated devices with alternating zones of conductivity
JP2001284584A (ja) * 2000-03-30 2001-10-12 Toshiba Corp 半導体装置及びその製造方法
EP1170803A3 (en) * 2000-06-08 2002-10-09 Siliconix Incorporated Trench gate MOSFET and method of making the same
JP4528460B2 (ja) * 2000-06-30 2010-08-18 株式会社東芝 半導体素子
DE10038177A1 (de) * 2000-08-04 2002-02-21 Infineon Technologies Ag Mittels Feldeffekt steuerbares Halbleiterschaltelement mit zwei Steuerelektroden
JP4357753B2 (ja) * 2001-01-26 2009-11-04 株式会社東芝 高耐圧半導体装置
US6683363B2 (en) * 2001-07-03 2004-01-27 Fairchild Semiconductor Corporation Trench structure for semiconductor devices
US6621107B2 (en) * 2001-08-23 2003-09-16 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
US6573558B2 (en) * 2001-09-07 2003-06-03 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
GB0122120D0 (en) * 2001-09-13 2001-10-31 Koninkl Philips Electronics Nv Edge termination in MOS transistors
JP4097417B2 (ja) * 2001-10-26 2008-06-11 株式会社ルネサステクノロジ 半導体装置
DE10153315B4 (de) * 2001-10-29 2004-05-19 Infineon Technologies Ag Halbleiterbauelement
US6841825B2 (en) * 2002-06-05 2005-01-11 Shindengen Electric Manufacturing Co., Ltd. Semiconductor device
WO2005065385A2 (en) * 2003-12-30 2005-07-21 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63296282A (ja) * 1987-05-27 1988-12-02 Sony Corp 半導体装置
JPH07111324A (ja) * 1993-10-12 1995-04-25 Fuji Electric Co Ltd 絶縁ゲート型サイリスタ
WO2002031880A2 (en) * 2000-10-06 2002-04-18 General Semiconductor, Inc. Trench dmos transistor with embedded trench schottky rectifier
JP2004511910A (ja) * 2000-10-06 2004-04-15 ゼネラル セミコンダクター,インク. トレンチショットキー整流器が組み込まれたトレンチ二重拡散金属酸化膜半導体トランジスタ
JP2002217415A (ja) * 2000-12-07 2002-08-02 Internatl Rectifier Corp 高電圧垂直伝導スーパージャンクション半導体デバイス
WO2003034470A2 (en) * 2001-10-17 2003-04-24 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
JP2005507160A (ja) * 2001-10-17 2005-03-10 フェアチャイルド セミコンダクター コーポレイション 半導体装置および電界効果トランジスタならびにそれらの形成方法
JP2003243655A (ja) * 2002-02-20 2003-08-29 Sanken Electric Co Ltd 絶縁ゲート型トランジスタ及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11721732B2 (en) 2021-08-18 2023-08-08 Kabushiki Kaisha Toshiba Semiconductor device with control electrodes provided in trenches of different widths

Also Published As

Publication number Publication date
WO2005065385A2 (en) 2005-07-21
JP2007529115A (ja) 2007-10-18
CN103199017A (zh) 2013-07-10
DE112004002608B4 (de) 2015-12-03
CN101794817A (zh) 2010-08-04
TWI404220B (zh) 2013-08-01
CN102420241A (zh) 2012-04-18
JP2008227514A (ja) 2008-09-25
JP2012109580A (ja) 2012-06-07
TW201308647A (zh) 2013-02-16
KR20070032627A (ko) 2007-03-22
DE112004002608T5 (de) 2006-11-16
TW200840041A (en) 2008-10-01
CN101180737A (zh) 2008-05-14
TWI399855B (zh) 2013-06-21
DE202004021352U8 (de) 2008-02-21
TW200527701A (en) 2005-08-16
DE202004021352U1 (de) 2007-08-16
CN101180737B (zh) 2011-12-07
TWI521726B (zh) 2016-02-11
KR20120003019A (ko) 2012-01-09
WO2005065385A3 (en) 2006-04-06
DE112004003046B4 (de) 2016-12-29
CN101794817B (zh) 2013-04-03
CN103199017B (zh) 2016-08-03
KR101216533B1 (ko) 2013-01-21

Similar Documents

Publication Publication Date Title
JP4903055B2 (ja) パワー半導体デバイスおよびその製造方法
US9368587B2 (en) Accumulation-mode field effect transistor with improved current capability
US7345342B2 (en) Power semiconductor devices and methods of manufacture

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120104

R150 Certificate of patent or registration of utility model

Ref document number: 4903055

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250