CN109844934B - 用于低温接合的结构和方法 - Google Patents

用于低温接合的结构和方法 Download PDF

Info

Publication number
CN109844934B
CN109844934B CN201780064766.8A CN201780064766A CN109844934B CN 109844934 B CN109844934 B CN 109844934B CN 201780064766 A CN201780064766 A CN 201780064766A CN 109844934 B CN109844934 B CN 109844934B
Authority
CN
China
Prior art keywords
electrically conductive
nanoparticles
layer
conductive
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780064766.8A
Other languages
English (en)
Other versions
CN109844934A (zh
Inventor
塞普里昂·艾米卡·乌卓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Edya Semiconductor Technology Co ltd
Original Assignee
Edya Semiconductor Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/336,192 external-priority patent/US10886250B2/en
Application filed by Edya Semiconductor Technology Co ltd filed Critical Edya Semiconductor Technology Co ltd
Priority to CN202310944897.2A priority Critical patent/CN116825750A/zh
Priority to CN202310942827.3A priority patent/CN116825749A/zh
Priority to CN202310947688.3A priority patent/CN116960098A/zh
Publication of CN109844934A publication Critical patent/CN109844934A/zh
Application granted granted Critical
Publication of CN109844934B publication Critical patent/CN109844934B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1658Process features with two steps starting with metal deposition followed by addition of reducing agent
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • C25D5/12Electroplating with more than one layer of the same or of different metals at least one layer being of nickel or chromium
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05563Only on parts of the surface of the internal layer
    • H01L2224/05564Only on the bonding interface of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • H01L2224/05582Two-layer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • H01L2224/05583Three-layer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05605Gallium [Ga] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05609Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05616Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05798Fillers
    • H01L2224/05799Base material
    • H01L2224/058Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05838Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05844Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05798Fillers
    • H01L2224/05799Base material
    • H01L2224/058Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05838Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05847Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05798Fillers
    • H01L2224/05799Base material
    • H01L2224/058Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05838Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05855Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08237Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/116Manufacturing methods by patterning a pre-deposited material
    • H01L2224/1162Manufacturing methods by patterning a pre-deposited material using masks
    • H01L2224/11622Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13016Shape in side view
    • H01L2224/13018Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13016Shape in side view
    • H01L2224/13018Shape in side view comprising protrusions or indentations
    • H01L2224/13019Shape in side view comprising protrusions or indentations at the bonding interface of the bump connector, i.e. on the surface of the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13084Four-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13105Gallium [Ga] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13562On the entire exposed surface of the core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13563Only on parts of the surface of the core, i.e. partial coating
    • H01L2224/13564Only on the bonding interface of the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13798Fillers
    • H01L2224/13799Base material
    • H01L2224/138Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13801Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13805Gallium [Ga] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13798Fillers
    • H01L2224/13799Base material
    • H01L2224/138Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13801Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13809Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13798Fillers
    • H01L2224/13799Base material
    • H01L2224/138Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13801Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13811Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13798Fillers
    • H01L2224/13799Base material
    • H01L2224/138Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13838Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13844Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13798Fillers
    • H01L2224/13799Base material
    • H01L2224/138Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13838Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13847Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13698Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13798Fillers
    • H01L2224/13799Base material
    • H01L2224/138Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13838Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13855Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/1605Shape
    • H01L2224/16057Shape in side view
    • H01L2224/16058Shape in side view being non uniform along the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/1605Shape
    • H01L2224/16057Shape in side view
    • H01L2224/16059Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16147Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16265Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16265Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
    • H01L2224/16268Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16501Material at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16501Material at the bonding interface
    • H01L2224/16503Material at the bonding interface comprising an intermetallic compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/731Location prior to the connecting process
    • H01L2224/73101Location prior to the connecting process on the same surface
    • H01L2224/73103Bump and layer connectors
    • H01L2224/73104Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8012Aligning
    • H01L2224/80136Aligning involving guiding structures, e.g. spacers or supporting members
    • H01L2224/80138Aligning involving guiding structures, e.g. spacers or supporting members the guiding structures being at least partially left in the finished device
    • H01L2224/80141Guiding structures both on and outside the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8081Soldering or alloying involving forming an intermetallic compound at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/81026Applying a precursor material to the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/81054Composition of the atmosphere
    • H01L2224/81065Composition of the atmosphere being reducing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/81054Composition of the atmosphere
    • H01L2224/81075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/81085Bonding environment being a liquid, e.g. for fluidic self-assembly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/8109Vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/81091Under pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/81095Temperature settings
    • H01L2224/81099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8181Soldering or alloying involving forming an intermetallic compound at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/8184Sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/83026Applying a precursor material to the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/83815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83856Pre-cured adhesive, i.e. B-stage adhesive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83862Heat curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01031Gallium [Ga]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/38Effects and problems related to the device integration
    • H01L2924/384Bump effects
    • H01L2924/3841Solder bridging
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10242Metallic cylinders
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/30Assembling printed circuits with electric components, e.g. with resistor
    • H05K3/32Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits
    • H05K3/34Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by soldering
    • H05K3/341Surface mounted components
    • H05K3/3431Leadless components
    • H05K3/3436Leadless components having an array of bottom contacts, e.g. pad grid array or ball grid array components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4007Surface contacts, e.g. bumps

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Wire Bonding (AREA)
  • Adhesives Or Adhesive Processes (AREA)

Abstract

一种制造组件的方法,其可包含将在第一基板的第一表面处的第一电性传导元件的顶表面与在第二基板的主要表面处的第二电性传导元件的顶表面并置。其中为下列中之一者:所述第一传导元件的所述顶表面可下凹至所述第一表面之下,或所述第二基板的所述顶表面可下凹至所述主要表面之下。电性传导纳米粒子是被设置在所述第一传导元件和所述第二传导元件的所述顶表面之间。所述传导纳米粒子具有的长度尺寸是小于100纳米。所述方法亦可包含至少在所述经并置的第一传导元件和第二传导元件的界面处提高温度到一结合温度,在所述结合温度时所述传导纳米粒子可造成冶金结合形成于所述经并置的第一传导元件和第二传导元件之间。

Description

用于低温接合的结构和方法
相关申请案的交叉引用
本申请案为2015年7月10日申请的美国申请案第14/796,381号的部分接续申请案,所有该申请案的揭示内容在此以引用的方式并入本文中。
背景技术
本发明关于微电子封装、关于用于制造微电子封装的构件以及关于制造所述封装和构件的方法。
微电子装置通常包括半导体材料的薄片,例如通常称为晶粒或半导体晶片的硅或砷化镓。半导体晶片通常作为单独的预包装单元而被提供。在一些单元设计中,所述半导体晶片被安装到基板或晶片载体,所述基板或晶片载体又被安装在例如印刷电路板的电路面板上。
在半导体晶片的一面制作主动电路。为了便于与主动电路的电连接,所述晶片在同一面上设有接合衬垫。所述接合衬垫通常以规则的阵列或者围绕晶粒的边缘而放置,或者对于许多记忆体装置则是放置于晶粒中心。所述接合衬垫通常由0.5μm厚的传导金属例如铜、金或铝所制成。所述接合衬垫的尺寸将随着装置类型而改变,但通常在一侧上测量几十到几百微米。
覆晶互连是用于将半导体晶片上的接合衬垫传导性地连接到基板上的接触衬垫或一个或多个其他半导体晶片的常用方式。在覆晶互连中,通常将金属块放置或形成在每个接合衬垫上。然后倒置晶粒,使得金属块提供接合衬垫和基板之间的电通路以及晶粒与基板的机械连接。
覆晶制程有许多变化,但是一种常见的配置是使用作为金属块的焊料以及焊料的熔化以将其焊接到接合衬垫和基板的方法。当金属块熔化时,焊料流动形成截断的球体。
以覆晶方式封装半导体晶片变得越来越困难,其中晶片的接触点朝向封装基板的相应接触点。晶片接触点的密度增加导致接触点之间的间距减小。因此,可用于将每个晶片接触点结合到相应封装接触点的焊料的体积减小。使用相对小体积的焊料进行接触点连接的风险在于,整个焊料体积可能会与接触点的金属转换成脆性的金属间化合物(inter-metallic compound),这可能会损害焊接点的可靠性。
再者,较小的焊接点使得接触承载晶片表面与封装基板的相邻表面之间的隔离高度(stand-off height)降低。然而,当接触密度非常高时,为了在晶片和封装基板的相邻表面之间形成适当的底部填充,隔离高度可能需要大于简单焊接点的高度。此外,为了使封装基板的接触点相对于晶片的接触点稍微移动,以便补偿晶片和基板之间的不同的热膨胀,可能有必要要求最小的隔离高度。
已经提出的解决这些问题的一种方法涉及借由使用覆盖在晶片前表面上的光阻遮罩直接在晶片接触点上电镀金属(例如铜)来形成金属柱,以定义柱的位置和高度。然后可以将具有从其上的接合衬垫延伸的柱的晶片接合到封装基板的对应接触点。或者,可以采取类似的方法在基板的曝露衬垫上形成金属柱。然后可以将具有从其上的接触点延伸的柱的所述基板连接到晶片的相应接触点。
然而,当在大面积上同时执行时,借由电镀形成柱的过程可能是有问题的,所述大面积例如晶圆的整个区域(具有约200毫米到约300毫米的直径)或在基板面板的整个区域(通常具有约500平方毫米的尺寸)。很难在整个基板上实现高度、尺寸和形状均匀的金属柱。当柱的尺寸和高度非常小时,例如柱直径约75微米或更小,柱高约50微米或更小时,所有这些都很难实现。光阻遮罩厚度的变化、图案布局的均匀性、衬垫密度的局部变化、电解质的质量传输的局部变化、电镀电流分布的局部变化或者图案的形状尺寸的变化诸如晶片或基板面板的区域可能对于获得具有统一高度、尺寸和形状的柱有影响。
在另一种方法中,可以将焊膏或其他金属填充的焊膏的凸块模印到基板面板的曝露表面上的传导衬垫上。然后可以借由随后的压印使凸起变平,以提高平面度。然而,可能需要严格的制程控制来形成具有均匀焊料体积的凸块,特别是当间距非常小时,例如约50微米或更小时。当间距非常小,例如大约50微米或更小时,消除凸块之间焊料桥接的可能性也是非常困难的。
尽管覆晶互连有了进展,但仍需要进一步改进。
发明内容
一种制造组件的方法,其包含形成第一传导元件在第一构件的基板的第一表面,所述第一传导元件在远离所述第一表面的方向上延伸,以及借由曝露于无电电镀槽而形成传导纳米粒子在所述传导元件的表面,所述传导纳米粒子具有的长度尺寸是小于100纳米。所述方法亦可包含将第一传导元件的所述表面与第二传导元件的对应表面并置于第二构件的基板的主要表面处,且所述传导纳米粒子被设置在所述第一传导元件和所述第二传导元件的该些表面之间。所述方法可进一步包含在所述经并置的第一传导元件和第二传导元件的界面处提高温度到一结合温度,在所述结合温度时,所述些传导纳米粒子造成冶金结合形成于所述经并置的第一传导元件和第二传导元件之间。
在一个实施例中,所述第一传导元件可为位在所述第一表面处的多个第一传导元件中的一个,且所述第二传导元件可为位在所述主要表面处的多个第二传导元件中的一个,所述第一传导元件和所述第二传导元件的对应表面是彼此并置。所述方法亦可包含,在所述并置期间中,借由在经并置的所述第一传导元件以及所述第二传导元件中的不同者之间的不同距离挤压所述接合区域的所述厚度,所述接合区域的所述厚度在经并置的所述第一传导元件和所述第二传导元件中不同的传导元件之间变化高达3微米,以迁就至少一些第一传导元件的顶表面的非共平面性。
在特别的实施例中,所述第一传导元件可为实质上刚性杆,并且所述实质上刚性杆的表面可为顶表面,所述顶表面突出高于所述第一构件的所述第一表面一高度,使得所述顶表面是远离所述第一表面,所述杆具有远离所述顶表面以大角度(substantialangle)延伸的边缘表面。所述传导纳米粒子的形成可以沉积所述传导纳米粒子于所述杆的所述边缘表面上,所述传导纳米粒子实质上完全覆盖所述杆的顶表面和边缘表面。
在一实施例中,在提高温度步骤之后,经并置的所述第一传导元件和第二传导元件的界面可包含微通孔,每个微通孔具有小于0.5微米的最大宽度。在一特定实施例中,所述第一构件和所述第二构件中的至少一个可以是包含主动半导体装置的微电子元件,并且所述结合温度可以不高于150℃。在一范例性实施例中,所述第一传导元件和所述第二传导元件中的至少一个可包含电性传导衬垫、电性传导迹线或电性传导的实质上刚性杆。
制造组件之另外的方法可包含形成传导纳米粒子于第一构件的基板的第一表面处的第一传导元件的表面,其借由在大于所述电解槽的质量传输限制电流密度的一电流密度的情况之下,将所述第一传导元件曝露于电解槽,所述传导纳米粒子具有的长度尺寸是小于100纳米。所述方法亦可包含将所述第一传导元件的表面与在第二构件的基板的主要表面处的第二传导元件的对应表面并置,且具有所述传导纳米粒子被设置在所述第一传导元件和所述第二传导元件的该些表面之间。所述方法可进一步包含至少在经并置的所述第一传导元件和第二传导元件的界面处提高温度到一结合温度,在所述结合温度时,所述些传导纳米粒子造成冶金结合形成于经并置的所述第一传导元件和第二传导元件之间。
在一范例中,所述方法亦可包含,在形成所述传导纳米粒子之前,借由沉积一种子层于所述第一构件的所述基板的所述第一表面上以形成多个第一传导元件并且从所述种子层延伸形成所述第一传导元件。在特定实施例中,所述方法也可以包含在形成所述传导纳米粒子之后,形成介电质遮罩来覆盖所述传导纳米粒子并且从相邻于所述第一传导元件的所述第一表面移除所述种子层的一部分。在一实施例中,所述方法也可以包含,在形成所述传导纳米粒子之前,将所述第一传导元件形成在所述第一构件的基板的所述第一表面上,所述第一传导元件从所述第一表面朝远离的方向延伸。
在特定的范例中,所述第一传导元件可以是实质上刚性杆,并且所述第一传导元件的所述表面可以是一顶表面,所述顶表面突出超过所述第一构件的所述第一表面一高度,使得所述顶表面是远离所述第一表面,所述杆具有以大角度从其之顶表面向外延伸的边缘表面。在一范例性实施例中,所述第二传导元件可以是实质上刚性杆,并且所述第二传导元件的所述表面可以是一顶表面,所述顶表面突出超过所述第二构件的所述主要表面一高度,使得所述顶表面是远离所述主要表面,所述第二构件的所述杆具有以大角度从其之顶表面向外延伸的边缘表面。在一范例中,所述第一传导元件和所述第二传导元件中的至少一个可以包含电性传导衬垫、电性传导迹线或电性传导的实质上刚性杆。
一种组件,其可包含第一构件,所述第一构件包含具有第一表面的基板以及在所述第一表面处的多个实质上刚性的第一杆,所述第一杆在第一方向上从所述第一表面向外延伸,每个第一杆具有顶表面,所述顶表面通常面向所述第一方向,每个所述第一杆的顶表面突出超过所述第一表面一高度,使得所述顶表面从所述第一表面远离,每个第一杆具有以大角度从其之顶表面向外延伸的边缘表面。所述组件也可以包含第二构件,所述第二构件包含具有主要表面的基板以及在所述主要表面处的多个第二传导元件,每个第二传导元件具有顶表面,所述顶表面通常面向第二方向。
所述第一杆可以与所述第二传导元件结合,使得所述第一杆的顶表面至少部分地面对所述第二传导元件的顶表面。至少一些所述第一杆的顶表面可以互相之间不共平面。每个第一杆可借由包含杂质的接合区域而被电性互连至所述第二传导元件中对应的一个,所述杂质显示在接合制程中使用长度小于100纳米的金属纳米颗粒的结构证据。每个接合区域可至少部分地渗透到所述第一杆和所述第二传导元件中。每个接合区域可包含多个微通孔。每个微通孔可具有小于0.5微米的最大宽度。不同的所述接合区域的厚度变化高达3微米,如此以迁就至少一些所述第一杆的不共平面的该些顶表面。
在一范例中,所述组件也可包含阻障层,所述阻障层实质上完全地覆盖每个第一杆的所述顶表面和所述边缘表面,每个接合区域是位于个别的第一杆的所述阻障层和对应的所述第二传导元件的顶表面之间。在特定实施例中,所述多个第二传导元件可以是实质上刚性的第二杆,所述第二杆从所述主要表面在所述第二方向上向外延伸,并且每个所述第二杆的所述顶表面可突出超过所述第二构件的所述主要表面一高度,使得所述顶表面是远离所述主要表面,每个第二杆具有以大角度从其之顶表面向外延伸的边缘表面。在一实施例中,每个所述第一杆和第二杆的所述边缘表面可具有至少3纳米的表面粗糙度。在特定的范例中,所述第一或第二构件中的至少一个可以是包含主动半导体装置的微电子元件。
在一范例性实施例中,所述第一杆和所述第二传导元件可各自由实质上相同的材料所组成,并且所述接合区域可包含从下列群组中选择的至少一个金属:铜、金、银、镍、锡、铝、含有银的合金、含有铟的合金、含有锡的合金。在一范例中,所述第一杆和第二传导元件中的至少一者可包含电性传导衬垫、电性传导迹线或电性传导的实质上刚性杆。在特定实施例中,所述第一构件可以是微电子元件晶圆,其包含多个微电子元件部份,每个微电子元件部份包含在所述第一表面处的所述第一杆的相应子集,并且所述第二构件可以是基板面板的至少一部份,所述基板面板包含多个基板部分,每个基板部份包含在所述主要表面处的所述第二传导元件的相应子集。
另一种制造组件的方法包含将在第一基板的第一表面处的第一传导元件的顶表面与在第二基板的主要表面处的第二传导元件的顶表面并置。其中为下列中之一者:所述第一传导元件的所述顶表面可以是下凹至所述第一基板的所述第一表面之下,或所述第二传导元件的所述顶表面可以是下凹至所述第二基板的主要表面之下。电性传导纳米粒子可以被设置在所述第一传导元件和所述第二传导元件的所述顶表面之间。所述传导纳米粒子可具有的长度尺寸是小于100纳米。所述方法也可以包含在经并置的第一和第二传导元件的界面处提高温度到一结合温度,在所述结合温度时,所述传导纳米粒子可造成冶金结合形成于所述经并置的第一传导元件和第二传导元件之间。
在特定实施例中,所述方法也可以包含形成所述电性传导纳米粒子,其包含将至少一个接收表面以一电流密度曝露于无电电镀槽或电解槽,其中所述电流密度大于所述电解槽的所述质量传输限制电流密度,且所述至少一个接收表面是所述第一和第二传导元件的所述顶表面中的至少一个。在一范例中,所述第一传导元件和所述第二传导元件中的至少一个可以包含电性传导衬垫、电性传导迹线或电性传导的实质上刚性杆。在一范例性实施例中,所述第一传导元件可以是实质上刚性杆。所述实质上刚性杆的所述顶表面可以是远离所述第一构件的所述第一表面并且可以突出超过所述第一表面一高度。所述实质上刚性杆可具有以大角度从其之顶表面向外延伸的边缘表面。所述第二传导元件的顶表面可被设置在凹槽中,所述凹槽延伸于所述主要表面之下。
在一范例中,所述第一传导元件可以是实质上刚性杆。所述实质上刚性杆的所述顶表面可以是远离所述第一构件的所述第一表面并且可突出超过所述第一表面一高度。所述实质上刚性杆具有以大角度从其之顶表面向外延伸的边缘表面。在所述并置之后,所述实质上刚性杆的所述顶表面可被设置在凹槽中,所述凹槽延伸于所述第二基板的所述主要表面之下。
在特定的范例中,所述方法也可以包含蚀刻所述第二构件的所述基板的主要表面以形成所述凹槽并且曝露在所述凹槽之中的所述第二传导元件的顶表面。在一实施例中,在所述第一电性传导元件和所述第二电性传导元件的顶表面的并置之前,所述电性传导纳米粒子可被设置在所述第一电性传导元件和所述第二电性传导元件两者的顶表面上。在一范例中,在所述第一电性传导元件和所述第二电性传导元件的顶表面的并置之前,所述电性传导纳米粒子可被设置在所述第一或第二传导元件中的一者的顶表面上。在特定实施例中,在所述第一电性传导元件和所述第二电性传导元件的顶表面的并置之前,所述传导纳米粒子可包含覆盖于每个顶表面的第一层传导纳米粒子和第二层传导纳米粒子。
所述第一层传导纳米粒子可被设置在个别的顶表面上并且所述第二层传导纳米粒子可被设置在所述第一层传导纳米粒子上。所述第二层传导纳米粒子可包含至少一种材料,其不同于所述第一层传导纳米粒子所包含的至少一种材料。在一范例中,在所述第一传导元件和所述第二传导元件的顶表面的并置之前,在每个顶表面上的所述传导纳米粒子可包含第三层电性传导纳米粒子,所述第三层电性传导纳米粒子被形成在个别的第二层电性传导纳米粒子上。所述第三层电性传导纳米粒子可包含至少一种材料,其不同于所述第二层电性传导纳米粒子所包含的至少一种材料。所述第二层传导纳米粒子可包含阻障金属,其经配置以避免所述第三层传导纳米粒子的金属渗透到所述第一层传导纳米粒子之中。
在一范例性实施例中,所述方法也可以包含在所述温度被升高之前,将焊料沉积于在所述第一传导元件和所述第二传导元件的顶表面中的至少一个上的所述传导纳米粒子之上。在所述温度的升高过程中,所述焊料可经由毛细作用而填充在至少一些所述传导纳米粒子之间的间隙。在特定的范例中,所述第一构件的所述基板的所述第一表面以及所述第二构件的所述基板的所述主要表面可各个包含介电材料。在所述温度的升高过程中,所述第一表面的介电材料可直接地与所述主要表面的介电材料接合。在一实施例中,在所述第一表面以及所述主要表面处的介电材料可各自包含B阶段材料(B-stage material)层,所述B阶段材料层是不会被完全地固化。在所述温度的升高过程中,所述B阶段材料层可被完全地固化。
另外的组件可包含第一构件,其包含具有第一表面的基板和在所述第一表面处的多个金属的实质上刚性的第一杆。所述第一杆可在第一方向上从所述第一表面向外延伸。每个第一杆可具有一顶表面,其通常面向所述第一方向。每个所述第一杆的顶表面可突出超过所述第一表面一高度,使得所述顶表面远离所述第一表面。每个第一杆可具有以大角度从其之顶表面向外延伸的边缘表面。所述组件也可以包含第二构件,其包含具有主要表面的基板以及曝露于所述主要表面处的多个第二传导元件。每个第二传导元件可具有顶表面,其通常面向第二方向。
每个第二传导元件的所述顶表面可被曝露在凹槽中,所述凹槽延伸于所述主要表面之下。所述第一杆可与所述第二传导元件结合,使得所述第一杆的顶表面至少部分地面对所述第二传导元件的顶表面,并且使得至少一些所述第一杆至少部分地延伸到所述第二构件的对应的所述凹槽之中。每个第一杆可借由一接合区域而电性地互连到所述第二传导元件中对应的一者,所述接合区域包含杂质,所述杂质显示在接合制程中使用长度小于100纳米的传导纳米颗粒的结构证据。每个接合区域可至少部分地渗透到所述第一杆和所述第二传导元件之中。
在特定实施例中,每个接合区域可包含杂质,所述杂质显示第一层、第二层、第三层电性传导纳米粒子的结构证据。所述第二层电性传导纳米粒子可被设置在所述第一层电性传导纳米粒子和所述第三层电性传导纳米粒子之间并且可包含至少一种材料,其不同于所述第一层电性传导纳米粒子包含的至少一种材料并且不同于所述第三层电性传导纳米粒子包含的至少一种材料。在一范例中,每个接合区域可包含杂质,所述杂质显示第一层、第二层、第三层、第四层、第五层电性传导纳米粒子的结构证据。所述第一层电性传导纳米粒子和所述第五层电性传导纳米粒子可至少部分地分别渗透到所述第一杆和所述第二传导元件之中。
所述第二层电性传导纳米粒子和所述第四层电性传导纳米粒子可各自包含至少一种材料,其分别不同于所述第一层电性传导纳米粒子和所述第五层电性传导纳米粒子包含的至少一种材料。所述第二层电性传导纳米粒子和所述第四层电性传导纳米粒子中的每一个可包含阻障金属,所述阻障金属经配置以避免所述第三层电性传导纳米粒子的金属渗透到所述第一层电性传导纳米粒子和所述第五层电性传导纳米粒子之中。在一范例性实施例中,每个接合区域可包含焊料,所述焊料延伸到位在至少一些所述电性传导纳米粒子之间的微通孔之中,每个微通孔具有小于0.5微米的最大宽度。
在特定的范例中,所述第一构件的所述基板的所述第一表面以及所述第二构件的所述基板的所述主要表面可各自包含介电材料,并且所述第一表面的所述介电材料可直接地与所述主要表面的所述介电材料接合。在一实施例中,所述第一构件和所述第二构件的所述基板中的至少一个可以具有金属元件,所述金属元件在各别的基板中的在第一和第二横向方向上的各自的平面中延伸。所述金属元件可经配置以提供电磁屏蔽来减少传输于所述第一传导元件和所述第二传导元件之间的讯号的杂讯。
在特定实施例中,所述第一构件和所述第二构件的所述基板中的两者具有所述金属元件,所述金属元件在各别的基板中以第一和第二横向方向于各自的平面中延伸。所述第一构件的所述金属元件可包含延伸在所述第一方向上的迹线,并且所述第二构件的所述金属元件可包含延伸在所述第二方向上的迹线。在一范例中,所述金属元件可以是连续的金属片,所述连续的金属片具有在所述第一和第二方向上与所述第一传导元件和所述第二传导元件对准而延伸穿过所述连续的金属片的开口。在一范例性实施例中,所述第一构件或第二构件中之至少一者可以是包含主动半导体装置的微电子元件。
在一实施例中,一种系统可包含如上文中所述的组件以及连接到所述组件的一个或多个其他电子构件。在特定的范例中,所述系统也可以包含壳体,所述组件和其它电子构件是被安装在所述壳体。本发明的其他态样可提供系统,所述系统合并了根据本发明前述态样的组件、根据本发明前述态样的复合晶片或是其上有其他电子组件电连接的两者。举例来说,所述系统可被设置在单一壳体中及/或安装在单一壳体,所述单一壳体可以是携带型壳体。根据本发明的此态样中的较佳实施例的系统可以比现有系统更为紧凑。
附图说明
图1是根据本发明的一实施例的组件的概略侧面截面图。
图2A至2F是根据描绘于图1的实施例的制造步骤说明的侧面截面图。
图3根据显示于图1中的组件的另一实施例的概略侧面截面图。
图4A至4C是根据描绘于图3的实施例的制造步骤说明的侧面截面图。
图5是根据显示于图1中的组件的另一实施例的概略侧面截面图。
图6A至6D是根据描绘于图5的实施例的制造步骤说明的侧面截面图。
图7是根据显示于图5中的组件的另一实施例的概略侧面截面图。
图8A和8B是根据描绘于图7的实施例的制造步骤说明的侧面截面图。
图9是根据本发明的一实施例的组件的概略侧面截面图。
图10A至10C是根据描绘于图9的实施例的制造步骤说明的侧面截面图。
图11是根据本发明的一实施例的组件的概略侧面截面图。
图12A和12B是根据描绘于图11的实施例的制造步骤说明的侧面截面图。
图13是根据显示于图11中的组件的另一实施例的概略侧面截面图。
图14A和14B是根据描绘于图13的实施例的制造步骤说明的侧面截面图。
图15是根据本发明的一实施例的组件的概略侧面截面图。
图16是根据显示于图15中的组件的另一实施例的概略侧面截面图。
图17是根据本发明的一实施例的系统的示意图。
具体实施方式
如在本公开中参考基板所使用的,有一叙述为电性传导元件“在”基板的表面处,当基板未与任何其他元件组装时,所述电性传导元件可用于以垂直于基板表面的方向上从基板外面向基板表面移动而与理论点接触。因此,位于基板的表面处的终端或其他传导元件可从该表面突出;可能与此表面齐平;或者可以相对于该表面下凹于所述基板中的孔或凹陷中。在一些实施例中,传导元件可以附接到表面上或者可以设置在所述表面上的一层或多层介电涂层中。
如图1中所示,组件100可包含第一基板110,其具有在第一方向D1和横向于所述第一方向的第二方向上延伸的主要表面112;第二基板120,其具有在所述第一和第二方向上延伸的主要表面122;以及传导柱130,其在横向于所述第一和第二方向的第三方向D3上延伸,所述柱提供分别在主要表面112和主要表面122处的传导元件131和133之间的电性连接。虽然在图1中只有显示与描述单一柱130,应可以理解的是所述第一和第二基板110、120可借由m×n阵列的传导柱而被结合,n和m中的两者或是一者是大于1。柱130(以及描述于下文中的其他传导柱)可例如被用于携载在所述第一基板110和所述第二基板120之间的讯号或是资讯、功率、热或是参考电位。
在图1中,平行于主要表面112和主要表面122的所述第一和第二方向在本文中被称为“水平”或“侧向”方向,而垂直于所述主要表面的这些方向,例如所述第三方向D3,则在本文中被称为向上或向下方向并且在本文中也可以被称为“垂直”方向。参照本文的该些方向是在所参考的结构的框架之中。因此,这些方向可以位于参考的正常或重力框架中的任何指向。有一叙述为一个特征是被设置成与另一特征相比在“超过一表面”的一较高高度处,这是指所述一个特征在离开该表面的相同的正交方向上比另一个特征有更远的距离。相反地,一叙述为一个特征是被设置成与另一特征相比在“超过一表面”的一较矮高度处,这是指所述一个特征在离开该表面的相同的正交方向上比另一个特征相对于该表面有较短的距离。
在某些实施例中,该些基板110和120中的一个或两个(或是关于本说明书中所揭示的任何实施例中的所述基板)可以是半导体晶片、晶圆、玻璃、陶瓷、玻璃-陶瓷、聚合物、复合材料、基板、微电子封装、平面面板或是相似物以及前述材料之组合物。该些基板110和120中的一个或两个可以基本上由例如硅的无机材料、或基板或制程中的微电子封装所组成。该些基板110和120中的一个或两个在它的主要表面和相对于所述主要表面的各自第二表面114或124之间的厚度可以小于500μm,并且可以明显地更小,例如是130μm、70μm或是甚至更小。该些基板110和120中的一个或两个可以是一中介物,所述中介物提供主要表面和其第二表面之间的电性互连,及/或该些基板110和120中的一个或两个可以是微电子封装,其具有主动半导体装置于其中并且具有终端在其之所述第二表面处经配置以与所述微电子封装之外的构件结合。
该些基板110和120中的一个或两个(或是关于本说明书中所揭示的任何实施例中的所述基板)可以在所述基板的平面中具有小于10ppm/℃(百万分之一/度C)的热膨胀系数(coefficient of thermal expansion,CTE)。在特定实施例中,该些基板110和120中的一个或两个可具有小于7ppm/℃的CTE。在其它实施例中,该些基板110和120中的一个或两个的所述CTE可以是小于20ppm/℃。在一范例中,该些基板110和120中的一个或两个的所述CTE可以是大于22ppm/℃。
在某些实施例中,该些基板110和120中的一个或两个(或是关于本说明书中所揭示的任何实施例中的所述基板)可以由一材料所制成,例如半导体材料、陶瓷、玻璃、液晶材料、像是玻璃-环氧树脂或纤维增强复合物的复合材料、层压结构或是前述材料的组合物。在某些实施例中,该些基板110和120中的一个或两个可以是支撑介电质元件,例如用于卷带式自动接合(tape automatedbonding,TAB)中的卷带。在一范例中,该些基板110和120中的一个或两个可以基本上由介电质元件所制成,所述介电质元件在所述基板的平面中具有小于10ppm/℃的热膨胀系数。在特定实施例中,所述基板102可以基本上由介电质元件所制成,所述介电质元件在所述基板的平面中具有在约10到约20ppm/℃之间的热膨胀系数。在一特定实施例中,该些基板110和120中的一个或两个可以基本上由介电质元件所制成,所述介电质元件具有在所述基板的平面中在约10到约20ppm/℃之间的热膨胀系数并且具有在约15到约60ppm/℃之间的平面外(out-of-plane)的热膨胀系数。在一范例中,该些基板110和120中的一个或两个可以具有小于4GPa的杨氏模数(Young’s modulus)。在一范例性实施例中,该些基板110和120中的一个或两个可以具有小于100GPa的杨氏模数。
该些基板110和120中的一个或两个(或是关于本说明书中所揭示的任何实施例中的所述基板)可进一步包含绝缘介电层(未显示),其覆盖在个别的主要表面112或122及/或个别的第二表面114或124。当所述基板包含电性传导材料或是半导体材料时,此介电层可电性绝缘传导元件(例如柱130)与所述基板。这些介电层可被称为所述基板的“钝化层”。此介电层可包含无机或有机介电材料或是两者。此介电层可包含电沉积的保形涂层或是其他介电材料,例如显像(photoimageable)聚合物材料,例如焊料遮罩材料。
该些基板110和120中的一个或两个(或是关于本说明书中所揭示的任何实施例中的所述基板)可进一步包含传导结构116或126于其中。此传导结构可包含沿着所述主要表面和第二表面中的一或两者所延伸的迹线、延伸于各自的主要表面112或122及/或各自的第二表面114或124之间或者是延伸在各自的主要表面112或122及/或各自的第二表面114或124之间的一方向上的传导互连或传导通孔、以及在各自的第二表面处用于电连接构件外部到组件100的终端118或128。
在实施例中,其中该些基板110和120中的一个或两个包含半导体基板,例如由硅所制成,一个或多个半导体装置(例如电晶体、二极体等等)可被设置在其之主动装置区域中,所述主动装置区域位在各自主动表面112或122处及/或位在各自主动表面112或122之下。
传导柱130可包含第一电性传导元件或第一部分132以及第二电性传导元件或第二部分134。第一部分132可以在第一基板110的主要表面112处被电性地连接至并且与一个或多个传导元件131结合,并且第二部分134可以在第二基板120的主要表面122处被电性地连接至并且与一个或多个传导元件133结合。所述第一和第二部分132、134的每一个可以是一金属柱,其在所述第三方向上或是在相对于所述第三方向的一方向上从个别的主要表面112、122延伸,该些金属柱包含实质上刚性的元件,例如垂直延伸的金属部分。在一实施例中,在平行于主要表面112(例如,方向D1)的水平方向上的第一部分132的宽度可以小于对应的传导元件131的宽度。相似地,在特定的范例中,在平行于主要表面122(例如,方向D1)的水平方向上的第二部分134的宽度可以小于对应的传导元件133的宽度。
所述第一和第二部分132、134可各自包含一种传导材料,例如铜、铝、钨、焊料、金、镍、锡、铅、镓、铟、银、包括铜的合金、包含镍的合金、包含钨的合金或包含前述材料中的一种或多种的组合等等。在一范例中,所述第一和第二部分132、134中的每一个可实质上由铜所组成。所述第一和第二部分132、134可各自包含相同的材料或是第一部分可包含与第二部分不相同的材料。
传导柱130也可以包含在第一基板110的主要表面112处的第一传导元件131及/或在第二基板112的主要表面122处的第二传导元件133。则传导元件131及/或133可以是薄的、金属的平垫,例如铜、铝、镍或其他适合的材料。在某些实施例中,传导元件131及/或133可以是实质上厚的,并且垂直于主要表面112、122的垂直方向D3上的传导元件的组合高度可以高达传导柱130的高度的30%。在特定的范例中,在垂直方向D3上的传导元件的组合高度可以高达传导柱130的高度的70%。传导元件131或133可包含与所述第一和第二部分132、134中的一个或两个相同的材料,或是其可包含一材料,该材料是不同于所述第一和第二部分中的一个或两个的材料。在某些实施例中,所述第一传导元件131和所述第二传导元件133中的一个或两者可包含阻障层或阻障材料。在一范例中,所述第一传导元件131和所述第二传导元件133中的一个或两者可以与所述第一和第二部分132、134中的一个或两个整体地形成。
传导柱130可包含接合区域136,其可包含已经在先前的接合操作中被接合在一起的纳米粒子的结构证据。在本文中所使用的,所述用语"纳米粒子"包含任何形式的纳米材料,包含例如具有长度尺寸通常小于约100纳米的纳米粒子团、悬浮于液体中的纳米粒子或是悬浮于具有表面活性剂的膏状物中的纳米粒子。所述接合区域可以或是可以不包含任何残留的液体,例如表面活性剂或是溶剂。所述纳米粒子的实际尺寸可以明显更小,例如具有约1纳米和更大的尺寸。在一范例中,接合区域136可以至少部分地渗透到每个所述第一和第二部分132、134之中。纳米粒子也可以被布置成在金属杆的一个或多个表面处的树枝状沉积物,所述金属杆可包含传导柱130的所述第一和第二部分132、134。
在一范例中,接合区域136可包含纳米粒子层,其基本上由铜、金、镍、银、包括银的合金、镓、铟、包括镓或铟的合金、锡、铋、共晶金属合金、另一种金属或金属的组合中之至少一种材料所制成。所述纳米粒子可被涂覆有非常薄的保护或非保护层的材料(例如镓、铟、锡、镍、铜、金),并且所述保护层可以是连续或是不连续的。保护或非保护层的材料可以低于所述纳米粒子的熔点。在一范例中,被沉积到所述第一部分132的纳米粒子可以被涂覆有镓,并且被沉积到所述第二部分134的纳米粒子可以被涂覆有铟,其可低于所述纳米粒子的熔点。保护或非保护层的材料可以例如具有5-10纳米的厚度。关于纳米粒子上的保护或非保护层的材料进一步细节可在美国专利第9,024,205号中找到,所述美国专利案以参考的方式而被全文并入本文中。
纳米粒子可以经历熔点下降,其中纳米级材料可以在比块状材料低得多的温度下熔化。在一范例中,纳米粒子的熔点可以比由纳米粒子所组成的相同材料的块状区域的熔点低几百度C。当金属具有粒子直径小于50nm时,金属纳米粒子的熔点下降最为显著。具有基本上由纳米粒子所组成的接合区域136可以允许所述接合区域具有在室温或者是在室温之上的几百度C之内的熔点。
与现有的结合技术相比,在较低的温度下(例如低于200℃)将第一和第二基板110、120(以及本文中所述的其他第一基板310/510/710及第二基板320/520/720)连接在一起能够改善最终组件100的结构,并且可以有利于制作所述组件的制程,其可改善良率与效率,从而减少制造成本。通常,每个基板110、120的第一和第二部分132、134(例如以电性传导杆的形式)是在刚好低于所述结合温度的一温度下以对准彼此的方式并置在一起。接着,所述经对准的杆被移动以彼此接触,并且所述组件是被加热到所述结合温度,在此时,在各别第一和第二部分132、134上的所述纳米粒子接合,使得所述柱130形成。在某些实施例中,当所述配对区域接触时,在所述基板之间的所述纳米粒子区域可熔于室温。后续较高温的处理可用来改善所述室温结合的机械和电性的完整性。所述基板结合的周围环境可以是惰性的、还原的(reducing)或真空的。在某些应用中,在结合操作期间,金属氧化物还原流体可以在所述基板周围流动。在一实施例中,在所述结合腔室中的所述流体可包含化合物,其提高金属中的晶粒生长或晶粒生长速度,例如分散在氮等惰性气体中的醇。
与在大约250℃的温度下的现有焊料结合制程相反的,基板110与120(它们可以具有实质上不同的热膨胀系数)的降低温度的纳米粒子结合可导致最终组合的产品(例如组件100)的应变和翘曲显著更小。减少的基板翘曲在随后的组装制程中可以是有益的。这是因为结构结合的温度与组件储存或操作的温度之间的差异比现有制程小得多。以这种方式,组装的结构(例如,组件100)由于组装过程而具有较小的翘曲倾向。
再者,在较低的结合温度下形成的每个柱130的各个部分132、134之间的连接不需要像传统结构那样强壮,因为在较低温度下进行组装制程可以由于在连接温度和产品使用或储存的温度之间较小的温度差而对每个连接施加较小的应力。使用较低热预算制程进行组装的组装封装可以在较低的温度下使封装硬化。所述较坚硬的封装可以减少翘曲。而且,降低结合温度可以使得更容易将较大的基板对准并结合在一起,从而提高效率。描述于上文中的所有益处可应用到描述于本文中的所有实施例的组件100/300/500/700/900/1100/1300/1500/1600。
借由以各种形式的纳米粒子或纳米材料将所述第一和第二部分132、134结合在一起之后,将所述第一部分和第二部分结合在一起的接合区域136可显示纳米粒子被用于结合所述第一和第二部分之结构证据。举例来说,在所述第一和第二部分132、134的结合过程中,纳米粒子可扩散到所述第一和第二部分之中。在结合之后,先前包含纳米粒子的金属不再处于具有通常小于约100纳米的长度尺寸的纳米粒子团的形式。
将所述第一和第二部分132、134结合在一起的所述接合区域136可显示纳米粒子被用来结合所述第一和第二部分的其他结构证据,包含所述接合区域的表面的轮廓。如图1中所示,接合区域136可具有齿痕状或锯齿状的表面137,其通常在相关于所述柱130的圆周方向上延伸。接合区域136的所述表面137相较于所述第一和第二部分132、134的表面粗糙度可具有较高程度的表面粗糙度。举例来说,所述表面137可具有大于30纳米的表面粗糙度。在一范例中,所述表面137可具有大于3.0纳米的表面粗糙度。
纳米粒子被用来结合所述第一和第二部分132、134的结构证据的另外范例可以是在所述接合区域136之中存在微通孔。举例来说,接合区域136可包含多个微通孔,该些多个微通孔中的每个都小于0.5微米,或是所述接合区域可包含微通孔,该些微通孔中的每个都小于0.2微米。此微通孔可例如由空气或介电材料所填充。在一实施例中,在所述接合区域之中的每个通孔的横截面可以小于接合区域的横截面的10%。在特定的范例中,在所述接合区域之中的每个通孔的横截面可以小于所述接合区域的横截面的5%或是小于1%。在一实施例中,在所述接合区域的一给定横截面之中的该些通孔的横截面总面积可以小于所述接合区域的横截面的10%。在特定的范例中,在所述接合区域的一给定横截面之中的该些通孔的横截面总面积可以小于所述接合区域的横截面的5%或是小于1%。
在实施例中,所述第一和第二基板110、120是借由传导柱130阵列而结合,在第三方向D3上的传导柱的阵列之中的接合区域136的厚度T变化高达3微米,或是例如在0.5微米到3微米之间。在传导柱130之中的接合区域136的厚度T变化可能是由于,在该些对应的第一和第二部分被彼此结合在一起之前,第一部分132阵列的该些顶表面之中的不平坦及/或对应的第二部分134阵列的该些顶表面之中的不平坦所造成,其将描述于下文之中。在一实施例中,所述第一和第二部分132、134中的一者可以是电性传导迹线或电性传导衬垫(例如,圆形、椭圆形、正方形或长方形的平坦金属片)。因此,纳米材料可被用来附接传导杆到在主要表面112或122处的传导迹线或传导垫。
下文将参照图2A到2F描述一种制造组件100(图1)的方法。参照图2A,如果所述基板包含电性传导材料或半导体材料,则连续金属种子层140(例如,铜)可被沉积到第一基板110的主要表面112上或是沉积到覆盖于所述主要表面的介电层上。种子层140可借由各种方法被沉积,其包含原子层沉积(ALD)、物理气相沉积(PVD)或是无电电镀或电解沉积法或前述方法的组合。所述种子层可例如包含铜。所述种子层也可以包含黏着层、阻障层或者是两者。
在所述种子层140被沉积到主要表面112之后,例如是光阻层142的显像层可被沉积并且图案化以仅覆盖主要表面112的部分。光阻层142可具有开口144在沿着主要表面112的多个位置处,在该些位置处希望形成柱130。
接着,如图2B所示,可借由沉积一或多种传导材料(例如,铜)到开口144之中与种子层140接触而形成柱130的第一部分132。在此实施例中,所述第一部分132是借由电解沉积而被沉积。第一部分132可在第三方向D3上从所述种子层140延伸。
接着,如图2C中所示,第一部分132可被部分地蚀刻,以界定所述第一部分的顶表面146面向所述第三方向D3,所述第一部分具有圆形的外围边缘148。此部分的或是和缓的蚀刻步骤可曝露高指数的金属平面以允许所述纳米粒子成核(nucleate)。第一部分132可以是单一的实质上刚性的金属杆,并且顶表面146可突出超过所述主要表面112一高度H,使得所述顶表面是远离所述主要表面。第一部分132可界定以大角度(substantial angle)从所述顶表面146向外延伸的边缘表面或侧壁154。
而如图2D中所示,纳米粒子150被沉积在第一部分132的顶表面146上。在此实施例中,纳米粒子150借由电解沉积而被沉积,其中所述第一部分132是以大于50mA/cm2的电流密度而被曝露于电解槽中,如此以在所述电镀过程中造成金属离子的局部消耗于顶表面146处。
在一实施例中,在电镀第一部分132于种子层140上之后,所述电镀电流密度或电压可被增加而瞬间地超过电镀槽配方的质量传输限制。高电流脉冲电镀可被使用来形成纳米粒子150。所述电镀条件可被选择以产生一层或一区域的纳米粒子150而没有并入不期望的杂质于所述层或区域之中。
举例来说,对于电镀第一部分132到种子层140上,可使用含有有机添加剂、抑制剂、增亮剂、均化剂或前述材料的各种组合的铜电镀槽且电流密度为10到40mA/cm2之间。较佳地,可在低于所述槽配方的质量传输限制的电流密度之下执行电镀维持一足够的时间以允许所述第一部分被电镀到如图2C中所示的高度H。
为了要开始所述纳米粒子150沉积到所述顶表面146上,所述电镀电流密度则可瞬间地增加超过所述电镀槽的质量传输限制。所述纳米粒子150可借由循环所述电镀电流密度超过及低于所述电镀槽化学的质量传输限制而被沉积到顶表面146上。在一范例中,沉积所述纳米粒子150于所述顶表面146上的制程可包含以超过所述质量传输限制持续电镀3到15毫秒以及以低于所述电镀槽的质量传输限制持续电镀20到50毫秒。
用于沉积所述纳米粒子150到第一部分132的顶表面146上的电解沉积槽可以相同或不同于将第一部分132的金属沉积到种子层140上的电解沉积槽。
在另外的实施例中,第一部分132可使用额外的金属电镀槽而被电镀到种子层140,而所述纳米粒子150可借由不含有机添加物的金属电镀槽而被电镀到所述第一部分的顶表面146上。在某些范例中,金属晶粒精制剂(metal grain refiners)可以包含在电镀槽中,提供所述晶粒精制剂不会在纳米粒子150的电镀层或区域中引入大量的不希望的杂质。
在某些实施例中,包含第一部分132的所述金属可以不同于包含纳米粒子150的金属。举例来说,第一部分132可包含使用铜、金或镍电镀槽所沉积的金属或合金,且纳米粒子150可包含较低熔点材料,例如镓、铟、锡及/或其之各自的合金。
在另外的实施例中,被沉积到所述第一和第二部分132、134的相对顶表面146和146’上的纳米粒子150可包含相同或是不同的金属。举例来说,含有锡或锡合金的纳米粒子150可被沉积或涂覆到第一部分132的顶表面146上,而含有铟、镓或是它们的各自合金的纳米粒子150可被沉积或涂覆到第二部分134的顶表面146’上。
在特定实施例中,在使用电解制程将第一部分132沉积到种子层140上之后,举例来说,第一基板110可被清洗并且传递到一无电电镀槽以沉积纳米粒子150到所述第一部分的顶表面146上。举例来说,在所述纳米粒子150的无电电镀过程中,所述纳米粒子的一初始沉积层或区域可以是平滑且无颗粒的,但是所述无电电镀的所述金属还原阶段可能被催化性地增加以开始将非平坦的纳米粒子层或区域沉积到所述初始沉积层或区域。所述非平面沉积的纳米粒子150可被持续一足够的时间以沉积所期望的纳米粒子的总厚度。
在某些应用中,所述无电电镀槽可被部分地分解以产生有益的金属的纳米粒子150。所产生的纳米粒子150可选择性地覆盖且黏着到第一部分132的顶表面146。不需要的颗粒可以被催化地或氧化地溶解在另一个溢出的处理腔室中,并且该槽可以被再循环以沉积更多的纳米粒子。
接着,如图2E中所示,光阻层142可被移除并且接着介电质保护遮罩152(例如,光阻层)可被选择性地设置在所述纳米粒子150以及第一部分132的侧壁154的至少一部分之上,以在种子层140的部分的移除期间保护所述纳米粒子以及所述第一部分。所述保护遮罩152也可以在种子层140的部分上延伸,所述种子层140的部分是期望具有沿着第一基板110的所述主要表面延伸的传导迹线。在某些实施例中,所述保护遮罩152可能不需要。举例来说,在一实施例中,所述纳米粒子150包含一材料,所述材料是不同于种子层140的材料,所述沉积的纳米粒子层可在所述种子层的移除过程中被用来作为所述第一部分132的保护遮罩。
接着,种子层140可在沿着所述第一基板110的所述主要表面112的多个位置处被移除,在该些位置处是不希望具有传导材料(例如在相邻的第一部分132之间)。在所述种子层140的多余部份被移除之后,所述保护遮罩152可被移除。在某些实施例中,种子层140的没有被移除的该些部份可形成延伸于所述主要表面112和122之间的传导柱130的部分。
接着,如图2F中所示,从所述第一基板110的所述主要表面112延伸的第一部分132可以与从所述第二基板120的所述主要表面122延伸的第二部分134结合。所述第二部分134可使用与如图2A到2E中所述以及所显示的相同方法步骤来形成,其包含施加所述纳米粒子150于其上或者是所述纳米粒子可只有被施加到所述第一和第二部分132、134中的一者而没有施加到另一部分。
为了将第一部分132和第二部分134彼此结合,至少所述组件100的并置的第一和第二部分的界面可被加热到一温度,所述温度接近于所述结合或烧结温度。接着,所述第一部分132和所述第二部分134被彼此并置并且所述第一和第二部分可在第一和第二侧向方向上被彼此对准。
接着,所述第一部分132和所述第二部分134可以互相接触,使得被施加到各自的第一和第二部分的表面146、146’中的一个或两个的纳米粒子150可接着被结合在一起以形成在第三方向D3上具有厚度T例如为高达3微米、或是在0.02微米到3微米之间、或在0.05微米到3微米之间的一层。因此,纳米粒子150可补偿在各别的第一和第二部分132、134的相对的对应表面146、146’之间的间隙或不平整。在一范例中,第一部分132的所述表面146可至少部分地面对第二部分134的所述表面146’、至少一些第一部分的表面相对于彼此不共平面及/或至少一些第二部分的表面相对于彼此不共平面。
在所述相对的对应的顶表面146、146’之间的间隙可能是由于多个或阵列式的第一部分132的该些顶表面中的不平坦及/或多个或阵列式的第二部分134的该些顶表面中的不平坦所造成。在一范例中,在此并置步骤的过程中,由于第一部分132和第二部分134的顶表面之间的不平坦,纳米粒子150的层可以在不同的并置的第一部分132和第二部分134之间被不同的距离挤压。在此范例中,所造成的接合区域136的厚度可变化高达3微米,如此以迁就第一部分132和第二部分134中的至少一些的顶表面146、146’的非共平面性。
接着,至少所述并置的第一和第二部分132、134的界面可在相对低压之下被加热至一结合温度或是烧结温度,其较佳是低于200℃,更佳地是低于180°C或是也较佳地是低于150℃。在并置的第一部分132和第二部分134的结合过程中,在更高的温度下进行进一步的热处理之前,初始结合温度可以低于100℃。在所述结合温度和充分的压力之下,纳米粒子150可扩散到第一部分132和第二部分134两者之中,从而形成冶金结合并且将所述第一和第二部分结合在一起成为传导柱130,如图1中所示。
尽管本文描述的结合方法使得第一部分132和第二部分134的顶表面146、146彼此结合,但不一定如此。在某些范例中,第一部分132和第二部分134的边缘表面或侧壁154可彼此结合,或是所述第一和第二部分中的一个的边缘表面可以与所述第一和第二部分中的另一个的顶表面结合。此外,虽然所述结合的顶表面146、146’或边缘表面154被显示为平面,但不一定如此。要被连接的第一和第二部分132、134中的一个或两个的顶表面146、146’或边缘表面154中的任何一个或全部可以是平面的或非平面的(例如,凸面、凹面、非线性、成角度的、多面的等等)。
在一范例中,所述第一和第二部分132、134中的一个或两个可被形成在位在主要表面112及/或122处的电性传导迹线或电性传导衬垫上。在特定实施例中,所述第一和第二基板110、120中的一个或两个其中可包含主动及/或被动装置(例如,电容器、电阻器等等)。在某些实施例中,机械或光学元件(例如,光学盖)可被设置在所述第一和第二基板110、120中的一个或两个之上。所述经形成的传导柱130可被用来执行电性功能(例如,携载讯号或是参考电位)、机械性功能(例如,吸收在所述第一和第二基板之间的机械性应力)及/或热相关功能(例如,传热目的)。
图3显示组件300,所述组件300是描述且显示于图1中的组件100的变型。组件300是相同于上文中所描述的组件100,除了传导柱330具有一个或多个齿痕状或锯齿状的侧壁表面337遍及所述柱的高度,其显示纳米粒子沉积于其上的结构证据,而不是具有齿痕状或锯齿状的侧壁表面仅位在接合区域336中或邻近合区域336。并且,下文的描述将参照图4B和4C,纳米粒子350借由无电电镀或电解沉积而被沉积在所述第一和第二部分332、334上。
在图3到图4C中所显示的实施例中,纳米粒子350是沿着所述第一和第二部分332、334的部分或是整个侧壁354而被沉积。再者,被形成在接合区域336处以及在侧壁354处的锯齿状的表面337可具有一表面粗糙度,其大于5纳米。
一种制造所述组件300(图3)的方法将参照图4A-4C而被描述。制造组件300的所述方法可以借由与以上参照图2A-2C描述的方法步骤相同的方式开始。接着,如图4A所示,在形成第一部分332之后,光阻层142(图2C)可以被移除。
然后,种子层340可以在沿着第一基板310的主要表面312的不希望具有电性传导材料(例如,在相邻的第一部分332之间)的位置处被移除。种子层340的未被移除的部分可以形成在主要表面312和322之间延伸的传导柱330的一部分。
接下来,如图4B所示,纳米粒子350沉积在第一部分332的顶表面346和侧壁354上。在一范例中,纳米粒子350可以完全或基本上完全覆盖第一部分332的顶表面346和边缘表面或侧壁354。在该实施例中,第一部分332可以是单一实质上刚性的金属杆或传导垫或传导迹线,并且顶表面346可以突出超过主要表面312一高度H(图4A),使得所述顶表面从所述主要表面远离。第一部分332可以界定以大角度从顶表面346向外延伸的边缘表面或侧壁354。
在此实施例中,沉积纳米粒子350是借由无电电镀或电解沉积,其中所述第一部分332是曝露于无电电镀或无电电镀槽中以沉积纳米粒子350。用于沉积纳米粒子350于第一部分332的顶表面346和侧壁354上的所述无电沉积槽可以相同或是不同于用以沉积第一部分332的金属于种子层340上的沉积槽。
接着,如图4C中所示,从第一基板310的主要表面312延伸的第一部分332可以与从第二基板320的主要表面322延伸的第二部分334结合。第二部分334可以使用参照图4A和图4B所示和所描述的相同的方法步骤而被形成,包括在第二部分334上施加纳米粒子350,或者是所述纳米粒子可以仅施加到第一部分332和第二部分334中的一个而不施加到另一部分。
为了将第一部分332和第二部分334彼此结合,至少将组件300的并置的第一部分和第二部分的界面加热到接近结合温度或烧结温度的一温度。然后,第一部分332和第二部分334彼此并置,并且所述第一和第二部分可以在第一和第二侧向方向上彼此对齐。
接下来,可以使第一部分332和第二部分334彼此接触,使得施加到个别的第一和第二部分的顶表面346、346’中的一个或两个上的纳米粒子350接着可以接合一起以在第三方向D3上形成具有厚度T高达3微米、或者在0.02微米到3微米之间、或者在0.05到3微米之间的层。因此,纳米粒子350可以补偿个别的第一部分332和第二部分334的面对的相应顶表面346、346’之间的间隙。
然后,至少并置的第一部分332和第二部分334的界面可以在相对低的压力下被加热到结合温度或烧结温度,所述接合或烧结温度较佳地是低于200℃,更佳地是低于180℃,或还更佳地是低于150℃。在并置的第一部分332和第二部分334的结合过程中,在更高的温度下进行进一步的热处理之前,初始结合温度可以低于100℃。在结合温度和足够的压力下,纳米粒子350可以扩散到第一部分332和第二部分334两者中,从而形成冶金结合并且将所述第一和第二部分连接在一起成为传导柱330,如图3中所示。
在参照上面关于图4A和图4B所描述的制程中的一部分的变型中,在形成第一部分332之后,光阻层142(图2C)可以保持在原位而不是被去除。在这样的实施例中,第一部分332或光阻层142的部分可被略微蚀刻或去除以在第一部分的侧壁354与光阻层之间形成小间隙(例如,如图6A中所示的间隙G)。在间隙形成步骤之后,可以借由之前描述的无电电镀或电解方法将纳米粒子350沉积到第一部分332的顶表面346和侧壁354上。在沉积纳米粒子350之后,可以在纳米粒子上方沉积遮罩(例如,诸如光阻层的遮罩),并且可以去除光阻层142和种子层340的部分,从而产生图4B中所示的结构。
在具有沉积到第一部分332和第二部分334的顶表面346、346’以及边缘表面或侧壁354上的纳米粒子350的该实施例中,可以更容易地将所述第一部分和第二部分的边缘表面彼此结合,或将第一部分和第二部分中的一个的边缘表面与第一部分和第二部分中的另一个的顶表面结合。因此,具有沉积到第一部分332和第二部分334的顶表面346、346’边缘表面或侧壁354上的纳米粒子350可以允许相应的第一部分和第二部分在结合过程中相较于没有纳米粒子沉积在第一和第二部分的侧壁上的实施例更为错位(misalign),因为结合可以形成在第一和第二部分的边缘表面之间、或者第一和第二部分中的一个的边缘表面与第一和第二部分中的另一个的顶表面之间。
图5显示组件500,组件500是参考图1所示和描述的组件100的变型。组件500与上述组件100相同,除了在第一部分532和第二部分534的顶表面546、546’和侧壁554上方沉积黏着层和/或阻障层560,以及在沉积纳米粒子550之前,沉积接合层562和润湿层564以覆盖第一和第二部分的顶表面。例如,阻障层560可以具有小于100纳米的厚度。
在此实施例中,纳米粒子550可以包括焊料,使得第一部分532和第二部分534的接合可以在非常低的温度下(例如低于120℃)并且在相对低的压力下或者在大气压力下发生。使用焊料作为纳米粒子550可允许组件500的重工。例如,如果组件500被加热到120℃以上,则焊料可充分烧结以允许第一部分532和第二部分534彼此分离,而第一和第二部分的金属以及阻障层560和接合层562的金属可保持固态。然后可以将新纳米粒子550施加到第一部分532和第二部分534,并且可以重新结合所述第一部分和第二部分。
现在将参照图6A-6D描述制造组件500(图5)的方法。制造组件500的方法可以借由参考图2A和图2B描述于上文中的方法步骤相同的方式开始。接着,如图6A所示,第一部分532或所述遮罩或光阻层542的一部分可被部分蚀刻,以界定大致面向第三方向(图1)的顶表面546,其可具有圆形外围边缘548。
第一部分532的蚀刻也可以沿侧壁554从顶表面546进行到种子层540,其界定所述侧壁和光阻层542之间的间隙G。在一范例中,间隙G可以沿着侧壁554的高度延伸,露出间隙内的种子层540的一部分的。在另一个范例中,间隙G可以仅沿着侧壁554的高度的一部分延伸,而不向下延伸到种子层540。在又一范例中,间隙G可以沿着侧壁554的整个高度延伸并且部分地或完全穿过种子层540。在此实施例中,第一部分532可以是单一实质上刚性的金属杆或传导垫或传导迹线,并且顶表面546可以突出超过主要表面512一高度H,使得所述顶表面远离所述主要表面。第一部分532可以界定从顶表面546以大角度向外延伸的边缘表面或侧壁554。
然后,如图6B所示,阻障层560被沉积在光阻层542的开口544内,且在第一部分532的顶表面546和侧壁554上。在一范例中,阻障层560可以完全覆盖顶表面546以及第一部分532的边缘表面或侧壁554。
可适用于阻障层560的金属的实例可包括镍、钨、氮化钛、氮化钽、氮化硅钽(tantalum silicon nitride)、钽、氮化硅钨(tungsten silicon nitride)、包含镍的合金以及前述金属的组合。阻障层560可防止来自纳米粒子550(例如焊料)的金属扩散到第一部分532的金属材料(例如铜)中。
接着,参照图6C,接合层562和润湿层564可以被沉积覆盖第一部分532的顶表面546。接合层562可以沉积在阻障层560的表面上。接合层562可例如包括金。润湿层564可以沉积在接合层562上。所述润湿层可以例如包括焊料。在某些范例中,接合层562和润湿层564可以是相同的材料,或者可以包括类似的材料或类似材料的合金。
然后,将纳米粒子550沉积到润湿层564上。在此实施例中,借由电解沉积来沉积纳米粒子550,其中第一部分532以大于50mA/cm2的电流密度曝露于电解槽,如此以导致电镀槽的消耗。如上文所述,纳米粒子550可以包括焊料或者一种或多种接合金属,例如锡、铟、铋或者这些接合金属中的两种或更多种的组合。
接下来,可移除光阻层542,然后可将介电质保护遮罩选择性地沉积在第一部分532上方,以在去除部分种子层540期间保护所述纳米粒子和所述第一部分,如上文所述参考图2E。随后,种子层540可以在沿着第一基板510的主要表面512的不希望具有传导材料(例如,在相邻的第一部分532之间)的位置处被移除。在种子层540的多余部分被移除之后,可以移除保护遮罩。
然后,如图6D所示,从第一基板510的主要表面512延伸的第一部分532可以与从第二基板520的主要表面522延伸的第二部分534结合。第二部分534可以使用与参照图6A-6C所示及描述的相同的方法步骤而被形成,包括在其上施加纳米粒子550,或者可选地,所述纳米粒子可以仅施加到第一部分532和第二部分534中的一个而不施加到另一部分。
为了将第一部分532和第二部分534彼此结合,至少将组件500的并置的第一部分和第二部分的界面加热到接近结合温度或烧结温度的一温度。然后,第一部分532和第二部分534彼此并置,并且所述第一和第二部分可以在第一和第二侧向方向上彼此对齐。
接下来,可以使第一部分532和第二部分534彼此接触,使得施加到各自的第一和第二部分的顶表面546、546’中的一个或两个上的纳米粒子550可以接着结合在一起形成在第三方向D3上具有厚度T的层,例如所述厚度高达3微米、或者在0.5微米与3微米之间。因此,纳米粒子550可以补偿个别的第一部分532和第二部分534的面对的对应的顶部表面546、546’之间的间隙。
然后,至少将并置的第一部分532和第二部分534的界面在相对低的压力下加热到结合温度或烧结温度,所述结合温度或烧结温度较佳地是低于120℃。在所述结合温度和足够的压力下,纳米粒子550可以扩散到第一部分532和第二部分534两者的润湿层564中,从而由所述纳米粒子和润湿层形成接合区域536,以及形成冶金结合且将所述第一部分和第二部分结合在一起形成传导柱530,如图5中所示。在一个范例中,接合区域536可以位于第一部分532的阻障层560和对应的第二部分534的顶表面546'之间。
图7显示组件700,组件700是参考图5所示和描述的组件500的变型。除了所述接合层被省略并且在沉积纳米粒子750之前将润湿层764直接沉积到阻障层760上之外,组件700与上述组件500相同。在此实施例中,润湿层764和纳米粒子750可以各自例如包含金。
一种制造所述组件700(图7)的方法将参照图8A和8B而被描述。制造组件700的所述方法可以借由与以上参照图2A、2B、6A、6B所描述的方法步骤相同的方式开始。接着,参照图8A,沉积润湿层764覆盖第一部分732的顶表面746。润湿层564可被沉积覆盖接合层562。所述润湿层可包含例如金及/或钯。
然后,将纳米粒子750沉积在润湿层764上。在此实施例中,通过电解沉积来沉积纳米粒子750,其中第一部分732以大于50mA/cm2的电流密度曝露于电解槽,如此以导致电镀槽的消耗。如上所述,纳米粒子750可以包含金。
接下来,可以移除光阻层742,然后可以将介电质保护遮罩选择性地沉积在第一部分732上,如上所述参照图2E。随后,种子层740可以在沿着第一基板710的主要表面712的不希望具有传导材料(例如,在相邻的第一部分732之间)的位置处被移除。在种子层740的多余部分被移除之后,可以移除所述保护遮罩。
然后,如图8B所示,从第一基板710的主要表面712延伸的第一部分732可以与从第二基板720的主要表面722延伸的第二部分734结合。第二部分734可以使用参照图2A、2B、6A、6B和8A中所示及所描述的相同的方法步骤而被形成,包括在其上施加纳米粒子750,或者可替代地,纳米粒子可以仅施加到第一部分732和第二部分734中的一个而不施加到另一部分。
为了将第一部分732和第二部分734彼此结合,至少将组件700的并置的第一部分和第二部分的界面加热到接近结合温度或烧结温度的温度。然后,第一部分732和第二部分734彼此并置,并且所述第一和第二部分可以在第一和第二侧向方向上彼此对齐。
接下来,可以使第一部分732和第二部分734彼此接触,使得施加到各自的第一和第二部分的顶表面746、746’中的一个或两个上的纳米粒子750接着可以结合在一起形成在第三方向D3上具有厚度T的层,该厚度例如高达3微米,或者在0.5微米与3微米之间。因此,纳米粒子750可以补偿各自的第一部分732和第二部分734的面对的相应的顶表面746、746’之间的间隙。
然后,至少将并置的第一部分732和第二部分734的界面在相对低的压力下加热到结合温度或烧结温度,所述接合或烧结温度较佳地是低于200℃,更佳地是低于180℃,或还更佳地是低于150℃。在并置的第一部分732和第二部分734的结合过程中,在较高温度下的进一步热处理之前,初始结合温度可低于100℃。在结合温度和足够的压力下,纳米粒子750可以扩散到第一部分732和第二部分734两者的润湿层764中,从而由所述纳米粒子和所述润湿层形成结合区域736,并且形成冶金结合且将第一部分和第二部分结合在一起成为传导柱730,如图7中所示。在一范例中,结合区域736可以位于第一部分732的阻障层760和对应的第二部分734的顶表面746’之间。
尽管图1、3、5和7被显示和描述为具有第一传导部分132/332/532/732和第二传导部分134/334/534/734,每个传导部分是单一实质上刚性的金属杆,其界定顶表面和以大角度从所述顶表面向外延伸之边缘表面或侧壁,但不一定是这种情况。
在某些范例中,在上述任何实施例中,第一传导部分132/332/532/732和第二传导部分和134/334/534/734中的任一个或两个可以是薄的、薄且平坦的金属垫或者包括诸如铜、铝、金、镍或钨的材料的金属迹线,所述金属迹线的底部表面被沉积以面向各自的第一或第二基板的主要表面。举例来说,参照图1所示,第一传导部分132可以是第一传导元件131的形式,并且纳米粒子150可以直接沉积在所述第一传导元件的顶表面上,及/或第二传导部分134的形式可以是第二传导元件133,并且纳米粒子可以直接沉积在所述第二传导元件的顶表面上。具有包括不是刚性金属杆的一个或多个部分的第一传导部分和第二传导部分的多个范例可参考图9-16而被显示和描述于下文中。
在一些实施例中,第一部分132/332/532/732及/或第二部分134/334/534/734可以是共形地或非共形地沉积到在各自主要表面112/312/512/712及/或122/322/522/722下方延伸的凹槽中的传导层或区域,并且第一传导部分132/332/532/732和/或第二传导部分134/334/534/734可以填充或不填充其个别的凹槽。可以将纳米粒子150/350/550/750沉积到所沉积的层或区域的曝露表面上,并且可以将所述纳米粒子沉积到背离各自的所述主要表面的顶表面上。类似于图5至图6D所示的实施例,黏着层及/或阻障层可以被沉积在这样沉积的层或区域上,并且可以将所述纳米粒子150/350/550/750沉积在黏着层及/或阻障层上。具有沉积在凹槽中的第一及/或第二传导部分的实施例的范例在下文中参考图9-16而被显示和描述。
在第一部分132/332/532/732和第二部分134/334/534/734被沉积到延伸到个别的主要表面112/312/512/712及/或122/322/522/722下方的凹槽中之特定实施例中,所述第一部分和第二部分各自可以大约延伸至个别的主要表面的平面,并且纳米粒子150/350/550/750可以沉积到第一部分及/或第二部分的顶表面上。在这样的范例中,当第一基板110/310/510/710和第二基板120/320/520/720借由纳米粒子而结合在一起时,主要表面112/312/512/712和122/322/522/722可能彼此接触或几乎相互接触。具有第一和第二基板的主要表面彼此接触或几乎相互接触的实施例的范例在下文中参考参考图9-16而被显示和描述。
图9显示组件900,组件900是参照图1所示和描述的组件100的变型。组件900与上述组件100相同,不同之处在于,结合的传导结构930延伸到凹槽中,而不是包括两个传导杆。而且,基板910和920的介电质材料沿着所述第一表面和主要表面彼此融合,而不是间隔开。
如图9至图10C所示,组件900可以包括第一基板910、第二基板920以及传导结构930,第一基板910具有在第一方向D1上和在横向于第一方向的第二方向上延伸的主要表面912,第二基板920具有在所述第一和第二方向上延伸的主要表面922,以及传导结构930在横向于所述第一和第二方向的第三方向D3上延伸,所述结构分别包括在主要表面912处的传导元件932与主要表面912的传导元件934之间的电性连接。尽管参照图9仅显示和描述了单一结构930,可以理解的是,第一和第二基板910、920可以借由m×n个传导结构阵列结合,m和n中的一个或两个大于1。举例来说,可以使用结构930(以及本文描述的其他传导结构)来在第一基板910和第二基板920之间传送讯号或资讯、功率、热量或参考电位。
在一些实施例中,基板910和920中的一个或两个可以是半导体晶片、晶圆、玻璃、陶瓷、玻璃-陶瓷、聚合物、基板、微电子封装、复合材料、平面面板或是相似物及前述材料的组合。基板910和920中的一个或两个可以基本上由诸如硅的无机材料组成。在基板910和920中的一个或两个在其主要表面和与所述主要表面相对的个别的第二表面914或924之间的厚度可以小于500μm,并且可以明显更小,例如930μm、70μm甚至更小。
在一些实施例中,基板910和920中的一个或两个可以由诸如半导体材料、陶瓷、玻璃、液晶材料、诸如玻璃环氧树脂或纤维增强复合材料的复合材料、层压结构、或前述材料之组合而制成。在一些实施例中,基板910和920中的一个或两个可以是支撑介电元件,例如用于卷带式自动接合(“TAB”)中的卷带。在一个范例中,基板910和920中的一个或两个可以基本上由介电元件构成,所述介电元件在基板的平面内具有小于90ppm/℃的热膨胀系数。在特定实施例中,基板902可以基本上由介电元件构成,所述介电元件在基板的平面中具有约90到约20ppm/℃之间的热膨胀系数。在一个特定实施例中,基板910和920中的一个或两个可以基本上由介电元件构成,该介电元件具有在所述基板的平面中介于约90到约20ppm/℃之间的热膨胀系数并且具有约95至约60ppm/℃之间的平面外(out-of-plane)的热膨胀系数。在一个示例中,基板910和920中的一个或两个可以具有小于4GPa的杨氏模数。在示例性实施例中,基板910和920中的一个或两个可以具有小于100GPa的杨氏模数。
基板910和920中的一个或两个可以进一步包括覆盖个别的主要表面912或922和/或个别的第二表面914或924的绝缘介电层(未显示)。此介电层可以在当基板包括电性传导材料或半导体材料时电绝缘例如结构930的传导元件与所述基板。这些介电层可以被称为所述基板的“钝化层”。此介电层可包括无机或有机介电材料或两者。此介电层可以包括电沉积的保形涂层或其他介电材料,例如显像聚合物材料,例如焊料遮罩材料。
基板910和920中的一个或两个可以进一步包括传导结构于其中,诸如图1所示的传导结构116、126。此传导结构可以包括沿所述主要表面和第二表面中的一个或两个延伸的迹线、在个别的主要表面912或922及/或个别的第二表面914或924之间延伸或者在个别的主要表面912或922及/或个别的第二表面914或924之间的方向上延伸的传导互连或传导通孔、以及在个别的第二表面处的终端,像是图1中所示的终端118、128,用于电连接在组件900外部的组件。
在实施例中,基板910和920中的一个或两个包括例如由硅所制成的半导体基板,一个或多个半导体装置(例如电晶体、晶粒等等)可被设置在其之主动装置区域中,所述主动装置区域位于各自主动表面912或922处及/或位于各自主动表面912或922之下。在一范例中,基板910和920中的一个或两个的主动装置区域可以与对应的第一和第二传导元件932和934电连接。
传导结构930可以包括在第一基板910的主要表面912处的第一传导元件或部分932以及在第二基板920的主要表面922处的第二传导元件或部分934。每个第一部分932和第二部分934可以是设置于相应的凹槽902、904内的金属区域,其在相应的主要表面912或922下方在第三方向D3上或在与第三方向相反的方向上延伸。
第一部分932和第二部分934可以各自包括例如铜、铝、钨、焊料、铅、锡、镓、金、镍、铟、银、包括铜的合金、包括镍的合金、包括钨的合金、或上述材料中的一种或多种的组合等等。在一范例中,第一部分932和第二部分934中的每一个可以基本上由铜组成。第一部分932和第二部分934可以各自包括相同的金属,或者所述第一部分可以包括与所述第二部分不同的金属。在一些实施例中,第一部分932和第二部分934中的一者或两者可以包括阻障层或阻障材料。
传导结构930可以包括接合区域936,所述接合区域936可以包括纳米粒子已经在先前的接合操作中被结合在一起的结构证据。所述接合区域936可以或是可以不包含任何残留的液体,例如表面活性剂或是溶剂。在一范例中,接合区域936可以至少部分地渗透到每个所述第一和第二部分932、934之中。这些纳米粒子也可以被布置成在金属区域的一个或多个表面处的树枝状沉积物,所述金属区域可包含传导结构930的所述第一和第二部分932、934。
在一范例中,接合区域936可以包括基本上由铜、金、镍、银、包括银、镓、铟的合金、镓或铟的合金、锡、铋、共晶金属合金、其他的金属或是金属的组合。纳米粒子可以被涂覆有非常薄的保护层或非保护层的材料(例如,镓、铟、锡、镍),并且所述保护层可以是连续的或不连续的。这种保护层或非保护层的材料可以降低纳米粒子的熔点。在一个范例中,沉积在第一部分932上的纳米粒子可以用镓涂覆,并且沉积在第二部分934上的纳米粒子可以用铟涂覆,这可以降低纳米粒子的熔点。这种保护层或非保护层的材料可以具有5-10纳米的厚度。关于纳米粒子上的这种保护性或非保护性材料层的进一步细节可以在美国专利第9,024,205号中找到,其在此通过引用将其并入本文。
与现有的结合技术相比,在较低的温度下(例如低于200℃)将第一和第二基板910、920结合在一起能够改善最终组件900的结构,并且可以有利于制作所述组件的制程,其可改善良率与效率,从而减少制造成本。通常,每个基板910、920的第一部分932和第二部分934(例如,以电性传导金属区域的形式)在刚好低于结合温度的温度下彼此对齐地并置。然后,使对齐的杆移动成彼此接触,并且将组件加热至结合温度,此时相应的第一部分932和第二部分934上的纳米粒子接合,使得结构930形成。在一些实施例中,当配对区域接触时,基板之间的纳米粒子区域可以在室温下融合。随后的较高温度处理可用于改善室温结合的机械和电性完整性。所述基板结合的周围环境可以是惰性的、还原的或真空的。在某些应用中,在结合操作期间,金属氧化物还原流体可以在所述基板周围流动。在一实施例中,在所述结合腔室中的所述流体可包含化合物,其提高金属中的晶粒生长或晶粒生长速度,例如分散在氮等惰性气体中的醇。
在第一部分932和第二部分934通过任何形式的纳米粒子或纳米材料结合在一起之后,将所述第一部分和第二部分结合在一起的接合区域936可以显示纳米粒子被用于结合所述第一部分和第二部分的结构证据。例如,在结合第一部分932和第二部分934期间,纳米粒子可以扩散到所述第一部分和第二部分中。在结合之后,先前包含纳米粒子的金属不再处于具有通常小于约100纳米的长度尺寸的纳米粒子团的形式。
将第一和第二部分932、934结合在一起的接合区域936可以显示纳米粒子被用于结合所述第一和第二部分之其他结构性证据,包括所述接合区域表面的轮廓。接合区域936可具有齿痕状或锯齿状的表面937,其通常在相关于所结构930的圆周方向上延伸。接合区域936的所述表面937相较于所述第一和第二部分的表面粗糙度可具有较高程度的表面粗糙度。举例来说,所述表面937可具有大于30纳米的表面粗糙度。在一范例中,所述表面937可具有大于3.0纳米的表面粗糙度。使用纳米粒子来结合第一部分932和第二部分934的结构证据的另一范例可以是接合区域936内存在微通孔。这种微通孔的结构证据可以与上述参照组件100所描述的叙述相同。
在实施例中,第一基板910和第二基板920借由传导结构930的阵列而结合,接合区域936在第三方向D3上的厚度T2在传导结构阵列之间变化可以高达3微米、或者例如在0.5微米到3微米之间。在传导结构930之中的接合区域936的厚度变化可能是由于,在该些对应的第一和第二部分被彼此结合在一起之前,第一部分932阵列中的该些顶表面之中的不平坦及/或对应的第二部分934阵列的该些顶表面之中的不平坦所造成,其将描述于下文之中。在一实施例中,所述第一和第二部分932、934中的一者可以是电性传导迹线或电性传导衬垫(例如,圆形、椭圆形、正方形或长方形的平坦金属片)。因此,纳米材料可被用来附接传导杆到在主要表面912或922的传导迹线或传导垫。
下文将参照图10A到10C描述一种制造组件900(图9)的方法。如图10A所示,一个或多个凹槽902可形成为沿着与第三方向D3相反的方向在主要表面912下方延伸。凹槽902可以使用在本技术领域中已知的任何其他方法来蚀刻或形成。为了控制一个或多个凹槽902的位置,可以沉积和图案化诸如光阻层的显像层,以仅覆盖主要表面912的一部分。所述光阻层可以在沿着主要表面912的位置处具有开口,此位置处是期望形成有结构930。
在形成凹槽902之后,如果所述基板包含电性传导材料或半导体,则连续金属种子层(例如,铜)可被沉积到所述凹陷中或是沉积到覆盖于所述凹陷的内表面903的介电层上。种子层可借由各种方法被沉积,其包含原子层沉积(ALD)、物理气相沉积(PVD)或是无电电镀或电解沉积法。所述种子层可例如包含铜。所述种子层也可以包含黏着层、阻障层或者是两者。
在所述种子层被形成之后,例如是光阻层的相同或不同的显像层可被沉积并且图案化以仅覆盖主要表面912的部分。所述光阻层可具有开口在沿着主要表面912的多个位置处,在该位置处希望形成结构930。
接着,如图10A所示,可借由沉积一或多种传导材料(例如,铜)到所述凹槽902之中与所述种子层接触而形成结构930的第一部分932。在此实施例中,所述第一部分932是借由电解沉积而被沉积。第一部分932可在第三方向D3上从所述种子层延伸。
接着,第一部分932可被部分地蚀刻,以界定面向所述第三方向D3的所述第一部分的顶表面946,所述第一部分具有圆形的外围边缘(相似于图2C中所显示的圆形的外围边缘148)。此部分的或是和缓的蚀刻步骤可曝露高指数的金属平面以允许所述纳米粒子成核。第一部分932可以是单一的实质上刚性的金属区域,并且顶表面946可凹陷一距离D于所述主要表面912之下。第一部分132可界定以大角度从所述顶表面946向外延伸的边缘表面或侧壁954。
接着,纳米粒子950被沉积在第一部分932的顶表面946上。在此实施例中,纳米粒子950借由电解沉积而被沉积,其中所述第一部分932是以大于50mA/cm2的电流密度而被曝露于电解槽中,如此以在所述电镀步骤中造成金属离子的局部消耗于顶表面946处。
在一实施例中,在电镀第一部分932于所述种子层上之后,所述电镀电流密度或电压可被增加而瞬间地超过电镀槽配方的质量传输限制。高电流脉冲电镀可被使用来形成纳米粒子950。所述电镀条件可被选择以产生一层或一区域的纳米粒子950而没有并入不期望的杂质于所述层或区域之中。
举例来说,对于电镀第一部分932到所述种子层上,可使用含有有机添加剂、抑制剂、增亮剂、均化剂或前述材料的各种组合的铜电镀槽且电流密度为10到40mA/cm2之间。较佳地,可在低于所述槽配方的质量传输限制的电流密度之下执行电镀维持一足够的时间以允许所述第一部分被电镀到如图10A中所示的高度。
为了要开始将所述纳米粒子950沉积到所述顶表面946上,所述电镀电流密度则可瞬间地增加超过所述电镀槽的质量传输限制。所述纳米粒子950可借由循环所述电镀电流密度超过及低于所述电镀槽化学的质量传输限制而被沉积到顶表面946上。在一范例中,沉积所述纳米粒子950于所述顶表面946上的制程可包含以超过所述质量传输限制持续电镀3到15毫秒以及以低于所述电镀槽的质量传输限制持续电镀20到50毫秒。用于将纳米粒子950沉积到第一部分932的顶表面946上的电解沉积槽可以相同或不同于用来将所述第一部分的金属沉积到所述种子层上的沉积槽。
在另外的实施例中,第一部分932可使用额外的金属电镀槽而被电镀到所述种子层上,而所述纳米粒子950可借由不含有机添加物的金属电镀槽而被电镀到所述第一部分的顶表面946上。在某些范例中,金属晶粒精制剂可以包含在电镀槽中,提供所述晶粒精制剂不会在纳米粒子950的电镀层或区域中引入大量的不希望的杂质。
在某些实施例中,包含第一部分932的所述金属可以不同于包含纳米粒子950的金属。举例来说,第一部分932可包含使用铜、金或镍电镀槽所沉积的金属或合金,且纳米粒子9150可包含较低熔点材料,例如镓、铟、锡及/或其之各自的合金。
在另外的实施例中,被沉积到第一和第二部分932、934的相对顶表面946和946’上的纳米粒子950可包含相同或是不同的金属。举例来说,含有锡或锡合金的纳米粒子950可被沉积或涂覆到第一部分932的顶表面946上,而含有铟、镓或是它们的各自合金的纳米粒子950可被沉积或涂覆到第二部分934的顶表面946’上。
在特定实施例中,在使用电解制程将第一部分932沉积到所述凹槽902中之后,举例来说,第一基板910可被清洗并且传递到一无电电镀槽以沉积纳米粒子950到所述第一部分的顶表面146上。举例来说,在所述纳米粒子950的无电电镀过程中,所述纳米粒子的一初始沉积层或区域可以是平滑且无颗粒的,但是所述无电电镀的所述金属还原阶段可能被催化性地增加以开始将非平坦的纳米粒子层或区域沉积到所述初始沉积层或区域。所述非平面沉积的纳米粒子950可被持续一足够的时间以沉积所期望的纳米粒子的总厚度。
在某些应用中,所述无电电镀槽可被部分地分解以产生有益的金属的纳米粒子950。所产生的纳米粒子950可选择性地覆盖且黏着到第一部分932的顶表面946。不需要的颗粒可以被催化地或氧化地溶解在另一个溢出的处理腔室中,并且该槽可以被再循环以沉积更多的纳米粒子。
接下来,可以移除任何剩余的光阻层,并且可以使用与上文中参照图2E所描述的方法类似的方法移除主要表面912上剩余的所述种子层。在移除种子层的部分的期间,可以使用介电质遮罩来覆盖所述种子层中期望具有沿着主要表面912延伸的传导迹线的部分。
接着,如图10B和10C所示,在第一基板910的凹槽902内延伸的第一部分932可以与在第二基板920的凹槽904内延伸的第二部分934结合。第二部分934可以使用参照形成第一部分932描述的相同方法步骤而形成在凹槽904内延伸于第二基板920的主要表面922之下,包括在其上施加纳米粒子950,或者可选地,所述纳米粒子可以仅施加到第一和第二部分932、934中的一个而没有施加到另一部分。
为了将第一部分932和第二部分934彼此结合,至少将组件900的并置的第一部分和第二部分的界面加热到接近结合温度或烧结温度的温度。然后,第一部分932和第二部分934彼此并置,并且第一和第二部分可以在第一和第二侧向方向上彼此对齐。
接下来,可以使第一部分932和第二部分934彼此接触,使得施加到个别的第一和第二部分的表面946、946’中的一个或两个上的纳米粒子950可以然后结合在一起以在第三方向D3上形成具有厚度T1的层,所述层例如高达3微米、或者在0.02微米到3微米之间、或者在0.05微米到3微米之间。因此,纳米粒子350可以补偿个别的第一部分932和第二部分934的相对应的表面946、946’之间的间隙和/或非平面性。在一范例中,第一部分932的表面946可以至少部分地面对第二部分934的表面946’,至少一些第一部分的表面相对于彼此不共平面,及/或至少一些第二部分的表面相对于彼此不共平面。第一部分932和第二部分934中的一个或两个的待结合的任何或全部的顶表面946、946’可以是平面的或非平面的(例如,凸面、凹面、非线性、成角度的、多面的等等)。
在一范例中,在该并置步骤期间,由于所述第一和第二部分的顶表面之间的非平面性,纳米粒子950的层可以在不同的并列的第一和第二部分932、934之间被不同的距离挤压。在这样的范例中,所得到的结合区域936的厚度可以变化高达3微米,以迁就第一部分932和第二部分934中的至少一些的顶表面946、946’的非共平面性。
然后,至少并置的第一部分932和第二部分934的界面可以在相对低的压力下被加热到结合温度或烧结温度,所述结合温度或烧结温度较佳低于200℃,更较佳低于180℃,或还更较佳低于150℃。在并置的第一部分932和第二部分934的结合过程中,在较高温度下的进一步热处理之前,初始结合温度可低于100℃。在接合温度和足够的压力下,纳米粒子950可以扩散到第一部分932和第二部分934两者中,从而形成冶金结合并将所述第一部分和第二部分结合在一起成为传导结构930,如图10C中所示。
在一范例中,在装置的温度升高到第一和第二部分932、934结合的温度之前,主要表面912和922可以彼此并置。主要表面912和922可以包括介电质黏着材料及/或部分固化的B阶段聚合物材料,使得在加热组件900之前或在加热组件900期间,所述主要表面彼此直接接合。在特定实施例中,第一基板910和第二基板920彼此并置,使得主要表面912、922彼此直接接合,并且使得第一部分932面对第二部分934。所述并置动作可以在初始温度下执行,所述初始温度可以是室温或相对较低的温度。在一范例中,在主要表面912、922处的介电材料可各自包括未完全固化的B阶段材料层,并且当组件900的温度升高时,B阶段材料层可完全固化从而将主要表面彼此直接接合。
然后,在主要表面912、922被彼此接合之后,组件900可被升高到更高的结合温度,使得纳米粒子950可扩散到第一部分932和第二部分934两者中,从而形成冶金结合并且将所述第一部分和第二部分结合在一起形成传导结构930。在主要表面912、922的结合期间及/或在第一部分932和第二部分934的结合过程中,组件900也可以承受增大的压力。
在主要表面912、922彼此接合并且第一部分932和第二部分934彼此接合之后,接合区域936在第三方向D3上的厚度可以从T1(图10C)减小到T2(图9),从而使个别的第一部分932和第二部分934的面对的表面946、946’彼此接近,如图9所示。在第三方向D3上的接合区域936的厚度的减小可以由主要表面912、922之间的聚合物在主要表面接合在一起和/或完全固化在一起时的交联(cross-linking)作用所引起。
在一范例中,第一部分932和第二部分934中的一个或两个可以形成在设置在个别的凹槽902、904内的电性传导迹线或电性传导迹线的部分上。在示例性实施例中,第一部分932和第二部分934中的一个或两个可以是电性传导垫或设置在个别的主要表面912、922处的电性传导迹线的部分。在特定实施例中,第一基板910和第二基板920中的一个或两个可以包含主动装置和/或被动装置于其中(例如,电容器、电阻器等等)。在一些实施例中,机械或光学元件(例如,光学盖)可以设置在第一和第二基板910、920中的一个或两个之上。所形成的传导柱930可以用于执行电性功能(例如,携带信号或参考电位)、机械功能(例如,吸收第一和第二基板之间的机械应力)及/或热功能(例如传热目的)。
图11显示组件1100,所述组件1100是描述且显示于图9中的组件900的变型。除了传导结构1130具有第二部分1134(其是从设置在主要表面1122下方的传导元件1133延伸的金属杆)以及该组件具有直接接合到主要表面1112和1122的介电质区域1170(例如,黏着剂或底部填充物)之外,组件1100与上述组件900相同。第一基板1110可以与上述第一基板910相同。
第二部分1134可以在与第三方向D3相反的方向上在主要表面1122上方延伸,并且可以包括实质上刚性的元件,例如金属的垂直延伸部分。第二部分1134可以具有突出超过主要表面1122一高度H1(图12A)的顶表面1146',使得所述顶表面远离所述主要表面。第二部分1134可以界定以大角度从顶表面1146向外延伸的边缘表面或侧壁1154。
在一个示例中,传导元件1133可以是包括平行于主要表面1122延伸的电性传导迹线、垂直于主要表面延伸的电性传导通孔及/或电性传导衬垫的层。在特定实施例中,基板1120可以包括第一区域1121和第二区域1123,并且传导元件1133可以在所述第一区域和第二区域之间延伸。在一个实施例中,第一区域1121可以包括半导体材料,并且第二区域1123可以包括介电质层,例如钝化层,诸如二氧化硅。第一区域1121可以在传导元件1133处或下方具有主动半导体装置。在实施例中,第一区域1121包括半导体材料,而传导元件1133可以借由在所述半导体材料之间延伸的介电层与所述半导体材料电绝缘(未示出)。如图11所示,第二部分1134的顶表面1146'不延伸到凹槽1102中。然而,在其它实施例中(例如,图13-14B),所述第二部分的顶表面可以从所述第二基板延伸到在所述第一基板的所述主要表面下方的所述凹槽之中。
一种制造所述组件1100(图11)的方法将参照图12A和12B而被描述。第一部分1132可以借由与上述第一部分932相同的方式而形成。第二部分1134可以借由与上述第二部分134/334/534/734中的任何一个类似的方式形成。在一范例中,可以借由在沿着基板1120的主要表面1122的一个或多个位置处蚀刻来形成凹槽1104,直到传导元件1133的顶表面曝露在所述凹槽内。
接下来,可以将纳米粒子1150沉积到第一部分1132和第二部分1134上,或者可选地,纳米粒子可以仅施加到第一部分和第二部分中的一个而不施加到另一部分。例如,如图12A所示,可以将纳米粒子1150沉积到在主要表面1122上方延伸的顶表面1146’和第二部分1134的侧壁1154的部分上。在一范例中,纳米粒子1150可以完全或基本上完全覆盖顶表面1146以及第二部分1132的边缘表面或侧壁1154。纳米粒子1150也可以沉积到凹槽1102内的第一部分1132的顶表面1146上。可以使用上文所述关于沉积纳米粒子150/350/550/750/950的任何方法来沉积纳米粒子1150。
然后,如图12B所示,设置在第一基板1110的主要表面1112下方的第一部分1132可以与从第二基板1120的主要表面1122延伸的第二部分1134结合。为了将第一部分1132和第二部分1134彼此结合,至少组件1100的并置的所述第一部分和所述第二部分的界面可以被加热到接近结合或烧结温度的温度。然后,第一部分1132和第二部分1134彼此并置,并且所述第一和第二部分可以在第一和第二侧向方向上彼此对齐。
接下来,可以使第一部分1132和第二部分1134彼此接触,使得施加到个别的第一和第二部分的顶表面1146、1146’中的一个或两个上的纳米粒子1150然后可以被结合在一起而形成在第三方向D3上具有最多3微米、或者0.02微米至3微米、或者0.05至3微米的厚度T1的层。因此,纳米粒子1150可以补偿个别的第一部分1132和第二部分1134的面对的相应顶表面1146、1146’之间的间隙。
然后,至少将并置的第一部分1132和第二部分1134的界面在相对低的压力下加热到结合或烧结温度,所述结合或烧结温度较佳地低于200℃,更较佳地低于180℃,或还更较佳地低于150℃。在并置的第一部分1132和第二部分1134的结合期间,在更高温度的进一步热处理之前,初始接合温度可以低于100℃。在结合温度和足够的压力下,纳米粒子1150可以扩散到第一部分1132和第二部分1134两者中,从而形成冶金结合并且将第一部分和第二部分结合在一起成为传导结构1130,如图11中所示。
接下来,如图11所示,可以在主要表面1112和1122之间沉积介电质区域1170(例如,黏着剂或底部填充物)。介电质区域1170可以直接接合到主要表面1112和1122。介电质区域1170可以填充分隔开主要表面1112和1122的间隙G1,因此所述介电质区域可以具有厚度G1。介电质区域1170可以使传导结构1130彼此电绝缘,并且所述介电质区域可以保护电性传导结构免受湿气和/或其他污染物的影响。
图13显示组件1300,所述组件1300是描述且显示于图11中的组件1100的变型。除了第二部分1334的顶表面1346'延伸到凹槽1302中并且主要表面1312可以直接与主要表面1322结合而没有使用底部填充物之外,组件1300与上述组件1100相同。
第一基板1310可以类似于上述第一基板1110,但是如图13中所示,第一部分1332的顶表面1346可以在所述主要表面下方凹陷距离A2,所述距离可以大于第一部分1132的顶表面在图11所示的主要表面1112下方凹陷的距离A1。
第二部分1334可以在与第三方向D3相反的方向上在主要表面1322上方延伸,并且可以包括实质上刚性的元件,例如金属的垂直延伸部分。第二部分1334可以具有顶表面1346’,所述顶表面具有能够超过主要表面1322一高度H2(图14A),使得所述顶表面远离所述主要表面。第二部分1334可以界定以大角度从顶表面1346向外延伸的边缘表面或侧壁1354。
第二部分1334的顶表面1346’在主要表面1322上方突出的高度H2可以近似等于或小于第一部分1332的顶表面1346在主要表面1312下方凹陷的距离A2,使得当顶表面1346、1346’彼此结合时,主要表面1312可以直接与主要表面1322接合而不使用底部填充物。
一种制造所述组件1300(图13)的方法将参照图12A-12B而被描述。第一部分1332可以借由与上述形成第一部分1132相同的方式被形成。第二部分1334可以借由与上述形成第二部分134/334/534/734相同的方式被形成。
接下来,可以将纳米粒子1350沉积到第一部分1332和第二部分1334上,或者可选地,纳米粒子可以仅施加到所述第一部分和第二部分中的一个而不施加到另一部分。举例来说,如图14A所示,纳米粒子1350可以沉积到在主要表面1322上方延伸的顶表面1346'和第二部分1334的侧壁1354的部分上。在一范例中,纳米粒子1350可以完全或基本上完全覆盖第二部分1332的顶表面1346以及边缘表面或侧壁1354。纳米粒子1350也可以被沉积在凹槽1302内的第一部分1332的顶表面1346上。可以使用上面关于沉积纳米粒子150/350/550/750/950的方法来沉积纳米粒子1350。
接着,如图14B所示,设置在第一基板1310的主要表面1312下方的第一部分1332可以与从第二基板1320的主要表面1322延伸的第二部分1334结合。为了将第一部分1332和第二部分1334彼此结合,至少组件1300的并置的第一部分和第二部分的界面可被加热到接近结合或烧结温度的温度。然后,第一部分1332和第二部分1334彼此并置,并且第一和第二部分可以在第一和第二侧向方向上彼此对齐。
接下来,第一部分1332和第二部分1334可以彼此接触,使得被施加到个别的第一和第二部分的顶表面1346、1346’中的一个或两个的纳米粒子1350可以然后结合在一起以在第三方向D3上形成具有厚度T1的层,所述厚度例如高达3微米、或者在0.02微米到3微米之间、或者在0.05到3微米之间。因此,纳米粒子1350可以补偿个别的第一部分1332和第二部分1334的面对的对应顶表面1346、1346’之间的间隙。
然后,至少将并置的第一部分1332和第二部分1334的界面在相对低的压力之下加热至结合或烧结温度,所述结合或烧结温度较佳地低于200℃,更佳地低于180℃,或还更较佳地低于150℃。在并置的第一部分1332和第二部分1334的结合过程中,在更高的温度下进一步热处理之前,初始接合温度可以低于100℃。在结合温度和足够的压力下,纳米粒子1350可以扩散到第一部分1332和第二部分1334两者中,从而形成冶金结合并且将所述第一和第二部分结合在一起成为传导结构1330,如图13中所示。
在一范例中,在装置的温度升高到第一部分1332和第二部分1334结合的温度之前,主要表面1312和1322可以彼此并置。主要表面1312和1322可以包括介电质黏着材料和/或部分固化的B阶段聚合物材料,使得在加热组件1300之前或在组件1300的加热期间,主要表面彼此直接接合。在特定实施例中,第一基板1310和第二基板1320彼此并置,使得主要表面1312、1322彼此直接接合,并且使得第一部分1332面对第二部分1334。可以在初始温度下执行该并置动作,所述初始温度可以是室温或相对低的温度。
然后,在主要表面1312、1322被彼此接合之后,组件1300可被升高到更高的结合温度,使得纳米粒子1350可以扩散到第一部分1332和第二部分1334中,从而形成冶金结合并且将第一部分和第二部分结合在一起形成传导结构1330。在主要表面1312、1322的结合过程中及/或在结合第一部分1332和第二部分1334期间,组件1300也可以承受增大的压力。
在主要表面1312、1322彼此接合并且第一部分1332和第二部分1334彼此接合之后,接合区域1336在第三方向D3上的厚度可以从T1减小(图14B)到T2(图13),从而使个别的第一部分1332和第二部分1334的对向的表面1346、1346’彼此靠近,如图13所示。在特定的范例中,即使在接合区域1336的厚度减小之后,主要表面1312、1322可以由间隙G2分开,间隙G2可以可选择性地填充有介电质区域,例如黏着剂或底部填充物。
图15显示组件1500,所述组件1500是描述且显示于图9中的组件900的变型。虽然组件900的变型如图15所示,然而组件1500的特征可以被添加到上述任何组件100/300/500/700/900/1100/1300中。
组件1500与上述组件900相同,除了组件1500包括金属元件1582和1584,金属元件1582和1584被配置以为沿着传导结构1530行进的信号提供电性屏蔽。
图15显示延伸到个别的主要表面1512、1522下方的凹槽中的第一和第二部分1532、1534。可以借由与上文中参照组件900所描述的相同方式借由纳米粒子1550来结合第一和第二部分1532、1534。
第一基板1510具有多个金属元件,其可以呈第一迹线1582的形式而延伸平行于主要表面1512的第二水平方向D2上。如图15所示,可以在主要表面下方的距离T3处设置第一迹线1582在平行于主要表面1512的平面中。第一迹线1582可以在横跨第一基板1510的平面中延伸,迹线的所述图案中断以允许第一部分1532在所述第一迹线之间延伸,而所述第一迹线和所述第一部分之间不接触。
第二基板1520具有多个金属元件,所述多个金属元件可以呈第二迹线1584的形式而延伸平行于主要表面1522的第一水平方向D1上。第二迹线1584可以设置在平行于主要表面1522且在所述主要表面下方距离T4处的一平面中。第二迹线1584可以在横跨第二基板1520的平面中延伸,迹线的图案中断以允许第二迹线1534在第二迹线之间延伸,而所述第二迹线与所述第二部分之间不接触。
有第一和第二迹线1582、1584在其中延伸的水平方向D2和D1可以彼此横向的。在一范例中,水平方向D2和D1可以彼此正交。具有在个别的第一基板1510和第二基板1520中以相互横向或正交的方向延伸的第一迹线1582和第二迹线1584可以为沿着传导结构1530行进的信号提供电屏蔽,从而潜在地减少不同的传导结构1530之间的串扰。在特定实施例中,第一迹线1582和第二迹线1584中的一者或两者可连接到参考电位(即电性接地)。
图16显示组件1600,组件1600是描述且显示于图15中的组件1500的变型。组件1600的特征可以被添加到上述任何组件100/300/500/700/900/1100/1300中。
组件1600与上述组件1500相同,除了组件1600包括金属元件1690,金属元件1690被配置以为了沿着传导结构1630传播的信号提供电性屏蔽。图16显示第一部分1632和第二部分1634延伸到个别的主要表面1612、1622下方的凹槽中。可以借由上文中参考组件900所描述的相同方式通过纳米粒子1650结合第一部分1632和第二部分1634。
第一基板1610具有金属元件,所述金属元件可以呈金属片1690的形式沿着平行于主要表面1612的第一和第二水平横向方向D1、D2延伸。如图16所示,金属片1690可以设置在主要表面下方的距离T5处且与主要表面1612平行的平面中。金属片1690可以在横跨第一基板1610的平面中延伸,且具有在垂直于水平方向D1、D2的垂直方向D3上延伸穿过所述金属片的开口,以允许第一部分1632延伸穿过所述金属片而所述金属片和所述第一部分之间不接触。
在特定实施例中,金属片1690可选择性地设置在与主要表面1622平行且在所述主要表面下方的一定距离处的第二基板1620内的一平面中。在这样的实施例中,金属片1690可以在横跨第二基板1620的平面中延伸,且具有沿垂直方向D3延伸穿过金属片的开口,以允许第二部分1634延伸穿过所述金属片,而所述金属片和所述第二部分之间不接触。
具有在个别的第一基板1610和第二基板1620中的一个中延伸平行于个别的主要表面1612、1622的一平面中的金属片1690可以为沿着传导结构1630行进的信号提供电性屏蔽,从而潜在地减小不同的传导结构1630之间的串扰。在特定实施例中,金属片1690可以连接到参考电位(即电性接地)。
虽然组件1500和1600显示为具有圆形横截面的第一和第二传导部分1532/1632和1534/1634,但情况不一定如此。对于在此描述的任何组件,第一和第二传导部分可以具有任何形状的横截面。例如,第一和第二传导部分中的一个或两个可以具有圆形、椭圆形、正方形、矩形或任何其他形状。在一范例中,第一传导部分和第二传导部分中的一个或两个可以设置在各个主要表面处所曝露的细长凹槽或线中,及/或第一传导部分和第二传导部分中的一个或两个可以是固体金属杆在平行于个别主要表面的水平方向上伸长。在特定范例中,第一传导部分和第二传导部分中的一个或两个可以设置在曝露于个别的主要表面处的环形凹槽中,并且/或者第一传导部分和第二传导部分中的一个或两个可以是实心金属结构,所述实心金属结构在与个别的主要表面平行的水平方向上形成环形。在一范例中,第一传导部分和第二传导部分可以被结合以形成用于MEMS隔室或其他空腔的金属隔离物。
本文描述的组件100、300、500、700、900、1100、1300、1500和1600中的任一个可以被修改以包括下面描述的纳米粒子的变型。沉积在第一传导部分和第二传导部分中的一个或两个的顶表面上的传导纳米粒子可以由一种材料或多于一种材料制成。在实例中,沉积到第一和第二传导部分中的一个或两个的顶表面上的传导纳米粒子由多种材料所制成,所述传导纳米粒子可以包括覆盖所述顶表面中的一个或两个的多个传导粒子层。
在一范例中,可将两层传导纳米粒子沉积到第一和第二传导部分中的一者或两者上。两层传导纳米粒子可以包括直接设置在个别的顶表面上的第一层传导纳米粒子以及设置在第一层传导纳米粒子上的第二层传导纳米粒子。这样的第二层传导纳米粒子可以包含至少一种不同于所述第一层传导纳米粒子所包含的至少一种材料。在一范例中,第一层传导纳米粒子可以包括诸如镍或包括镍的合金的阻障材料,并且第二层传导纳米粒子可以包括锡或包括锡的合金(例如焊料)。在这样的范例中,第一层传导纳米粒子可以是一阻障层,所述阻障层被配置为防止第二层传导纳米粒子与传导柱或结构的所述第一及/或第二部分的金属形成金属间化合物。
在这样的实施例中,在第一和第二传导部分结合以形成传导柱或元件之后,每个接合区域可以包括显示第一、第二和第三层传导纳米粒子的结构证据的杂质。这种结合结构的第一和第三层会是沉积到个别的第一和第二传导部分上的第一层传导纳米粒子,并且第一和第三层中的每一个可以包括阻障材料,例如镍或含有镍的合金。这样的结合结构的第二层会是沉积在个别的第一和第二传导部分上的第二层传导纳米粒子,并且这样的第二层中的每一个可以包括锡或含有锡的合金(例如焊料)。
在具体实例中,沉积到所述第一和第二传导部分中的一者或两者的顶表面上的传导纳米粒子是由多于一种材料所制成,所述传导纳米粒子可包括覆盖在所述顶表面中的一者或两者的三层传导粒子。所述三层传导纳米粒子可以包括直接设置在各个顶表面上的第一层传导纳米粒子、设置在第一层传导纳米粒子上的第二层传导纳米粒子以及设置在第二层传导纳米粒子上的第三层传导纳米粒子。
这种第三层传导纳米粒子可以包括与第二层传导纳米粒子所包含的至少一种材料不同的至少一种材料,及/或这种第三层传导纳米粒子可以包含至少一种材料,其不同于第一层传导纳米粒子所包括的至少一种材料。在一范例中,第一层传导纳米粒子可以包括诸如铜的种子材料,第二层传导纳米粒子可以包括诸如镍或含有镍的合金的阻障材料,并且第三层传导纳米粒子可以包括锡或包括锡(例如焊料)的合金。在这样的范例中,第二层传导纳米粒子可以是阻障层,其被配置为防止第三层传导纳米粒子与第一层传导纳米粒子的材料及/或与所述电性传导柱或结构的所述第一及/或第二传导纳米粒子的金属形成金属间化合物。
在这样的实施例中,在第一传导部分和第二传导部分连接以形成传导柱或元件之后,每个接合区域可以包括杂质,其显示第一、第二、第三、第四和第五层传导纳米粒子的结构证据。这样的结合结构的第一和第五层将是沉积到个别的第一和第二传导部分上的所述第一层传导纳米粒子,并且第一和第五层中的每一个可以包括诸如铜的种子材料。这样的结合结构的第二和第四层将是沉积在个别的第一和第二传导部分上的所述第二层传导纳米粒子,并且这样的第二层可以包括阻障材料,例如镍或包含镍的合金。这样的结合结构的第三层将是沉积在个别的第一和第二传导部分上的第三层传导纳米粒子,并且这种第三层可以包括锡或包含锡的合金(例如焊料)。
在上述实施例的变型中,第一和第二传导部分中的一个或两个可以具有设置在个别的传导部分的顶表面上的两层或三层结合结构。在这样的变型中,结合结构中的至少一个金属层可以包括纳米粒子。例如,可以在第一传导部分和第二传导部分中的一者或两者的顶表面上设置第一金属层(例如,铜或另一种金属),可以将第二金属层(例如,镍或另一种阻障金属)设置于第一金属层上,并且可以在第二金属层上设置第三金属层(例如,锡或焊料)。第一、第二和第三金属层中的一个、两个或全部三个可以包括纳米粒子。
在特定实施例中,在升高组件的温度以结合第一和第二基板之前,可以将传导纳米粒子沉积到第一和第二传导部分中的一个或两个上,并且少量的焊料可以是设置在第一传导部分和第二传导部分中的一个或两个上的传导纳米粒子上。在这样的示例中,当组件的温度升高以连接第一传导部分和第二传导部分时,焊料可以通过毛细作用填充至少一些传导纳米粒子之间的间隙。除了传导纳米粒子之外,以这种方式使用焊料可以更好地填充面对的第一和第二传导部分之间的间隙,特别是如果第一和第二传导部分中的一个或两个的顶表面是非平面的。
与现有组件相比,本文所述的组件100、300、500、700、900、1100、1300、1500和1600可以具有一些潜在的优点。本文描述的纳米粒子层可以补偿个别的第一和第二基板的第一和第二传导部分的面对的顶表面的显著程度的非平面性。例如,如上所述,由于第一和第二部分的顶表面之间的非平面性,纳米粒子150的层可以在不同的并列的第一和第二部分之间被不同的距离挤压,所得到的接合区域136的宽度可以变化高达3微米,以迁就第一和第二部分132、134中的至少一些的并置的顶表面的非共平面性。这种补偿面对的顶表面的非共平面性的能力可以允许使用具有较大程度的第一和第二传导部分的不平坦的较便宜的传导元件的形成制程。
本文所述的组件100、300、500、700、900、1100、1300、1500和1600与现有组件相比的另一个潜在优点可以在于,当将第一和第二基板结合为如上所述的较低的翘曲度,在此描述的具有低结合或烧结温度(例如低于200℃)的纳米粒子可允许使用具有较小顶表面面积的第一和第二传导部分。因此,具有较小顶表面面积的第一传导部分与第二传导部分可形成更薄且更具弹性的传导柱,因此具有较薄传导柱的组件在长时间使用组件所造成的许多重复的热循环之后,可具有较佳的第一基板与第二基板之间的电连接的长期可靠性。
与现有组件相比,本文所述的组件100、300、500、700、900、1100、1300、1500和1600的另一个潜在优点可以是相邻的传导柱或结构130、330、530、730、930、1130或1330之间的最小间距可以减小。举例来说,传导柱或结构130、330、530、730、930、1130或1330的相邻传导柱之间的所述最小间距可以是3-5微米,而现有组件中的最小间距是20微米。
尽管描述于上文中的图2A-2F、4A-4C、6A-6D、8A-8B、图10A-10C、图12A-12B和图14A-14B中的方法步骤是参考由单一第一部分132/332/532/732/932/1132/1332和单一第二部分134/334/534/734/934/1134/1334来形成单一传导柱或结构130/330/530/730/930/1130/1330,但是应该理解,上述方法步骤也可以被用来借由从单一第一基板110/310/510/710/910/1110/1310延伸的多个第一部分或第一部分阵列以及从单一第二基板120/320/520/720/920/1120/1320延伸的多个第二部分或第二部分阵列来形成多个传导柱或m x n的传导柱阵列,m和n中的一个或两个大于1。
描述于上文中的图2A-2F、4A-4C、6A-6D、8A-8B、图10A-10C、图12A-12B和图14A-14B中的方法步骤也可被用来形成多个或阵列式的传导柱或结构130/330/530/730/930/1130/1330于多组个别的第一基板110/310/510/710/910/1110/1310和第二基板120/320/520/720/920/1120/1320上,其中第一基板最初是单个第一晶圆的一部分,并且第二基板最初是单个第二晶圆的一部分,并且在相应的第一部分132/332/532/732/932/1132/1332和第二部分134/334/534/734/934/1134/1334结合之后,结合的第一和第二晶圆可以被切割成单独的组件100/300/500/700/900/1100/1300。
举例来说,在一实施例中,多个第一基板最初是包括多个微电子元件部分的单个微电子元件晶圆的一部分,每个微电子元件部分在各个主要表面处包括第一传导部分的个别的子集,并且多个第二基板最初是包括多个基板部分的单个基板面板的一部分,每个基板部分在各个主要表面处包括第二传导部分的个别的子集。
在本文公开的一些实施例中,给定所述第一和第二基板的所述主要表面彼此间的紧密间隔,可能无法在相邻的经结合的传导柱或结构之间沉积底部填充物。在这样的例子中可能不需要底部填充,因为结合的第一和第二传导部分以及第一和第二基板的相对的主要表面可能相互接触,则可以提供结合组件的充分的结构完整性而不使用底部填充物。
在结合的传导柱或结构的局部面积密度至少为30%的区域中可能不需要底部填充,这意味着在延伸穿过传导柱或结构并平行于所述第一和第二基板的所述主要表面的给定平面中,所述平面的面积的至少30%被传导柱所占据。如果传导柱的局部面积密度至少为30%,则传导柱或结构可以为结合的组件提供足够的结构完整性,从而不需要底部填充。在一范例中,在传导柱的局部面积密度至少为50%的情况下,可能不需要底部填充。
在这样的实施例中,在相邻的传导柱或结构之间没有底部填充物的情况下,所述第一和第二基板可以与包覆成型的化合物密封在一起,及/或具有结合的传导柱或结构的所述区域的外围边界可以用诸如聚对二甲苯或二氧化硅之类的介电材料的密封剂或者用底部填充物来密封,以将湿气从具有结合的电性传导柱或结构的所述区域中排除。然而,这样的密封剂可能只需要施加在具有结合的电性传导柱或结构的区域的外围边界周围,使得密封剂不会在相邻的传导柱或结构之间延伸。
在相邻电性传导柱或结构之间没有底部填充的情况下将所述第一和第二基板结合在一起的这种组件可以比使用底部填充物时更耐翘曲。与第一和第二基板的材料相比,底部填充物可以具有相对较高的CTE(例如15-25ppm/℃),因此当由于温度变化而经受不同的热膨胀时,底部填充物的使用可能使基板翘曲。在相邻的电性传导柱或结构之间不使用底部填充物的情况下,结合的组件可以更平坦并且由于不同的热膨胀而具有较低的翘曲风险。举例来说,这种没有底部填充物的结合结构可以用于晶片-晶圆间或晶圆-晶圆间的接合。
上文中参考图1-16所描述的组件可以用于构建各种电子系统,例如图17所示的系统1700。举例来说,根据本发明的另一实施例的系统1700包括多个模组或构件1706,诸如上文中所述的组件,与其他电子构件1708、1710和1711连接。
在所示的范例性系统1700中,所述系统可以包括电路板、主机板或竖式面板(riser panel)1702(例如可挠性印刷电路板),并且所述电路面板可以包括多个导体1704,在图17中仅示出了其中一个,将模组或构件1706、1708、1710彼此互连。这样的电路面板1702可以将信号来回传输于包含在系统1700中的微电子封装及/或微电子组件中的每一个。然而,这仅仅是范例性的;可以使用任何合适的结构以用于在模组或构件1706之间进行电性连接。
在特定实施例中,系统1700还可以包括诸如半导体晶片1708的处理器,使得每个模组或构件1706可以被配置以在一时脉周期(clock cycle)中并行地传输N个数据位元,并且所述处理器可以被配置以在一时脉周期中并行地传送M个数据位元,M大于或等于N。
在图17所示的范例中,组件1708是半导体晶片,构件1710是显示屏幕,但是系统1700中可以使用任何其他构件。当然,尽管在图17中为了清楚地说明仅显示出了两个附加构件1708和1711,系统1700可以包括任何数量的这种构件。
模组或构件1706和构件1708和1711可以安装在公共壳体1701中,以虚线示意性地示出,并且可以根据需要彼此电性互连以形成期望的电路。壳体1701被描述为可用于例如蜂窝电话或个人数位助理的类型的便携式壳体,并且屏幕1710可以暴露在壳体的表面处。在实施例中,其中结构1706包括诸如成像晶片之类的光敏元件,也可以提供透镜1711或其它光学装置用于将光路由到所述结构。再次地,图17中所示的简化系统仅仅是示例性的;其他系统,包括通常被认为是固定结构的系统,诸如桌上型电脑、路由器等可以使用上面讨论的结构来制造。
尽管已经参照特定实施例描述了本发明,但是应当理解的是,这些实施例仅仅是对本发明的原理和应用的说明。因此可以理解的是,可以对这些说明性的实施例作出许多修改,并且可以设计出其它布置而不脱离由所权利要求所限定的本发明的精神和范畴。
将意识到,各种附属项和其中阐述的特征可以以与在最初的权利要求中所提出的方式不同的方式进行组合。还将意识到的是,与各个实施例有关的所描述的特征可以与所描述的实施例中的其他实施例共享。

Claims (22)

1.一种制造微电子组件的方法,其包含:
将在第一基板的第一表面处的第一电性传导元件的顶表面与在第二基板的主要表面处的第二电性传导元件的顶表面并置,所述第一基板的所述第一表面和所述第二基板的所述主要表面每个包含介电材料,其中所述第一电性传导元件的所述顶表面是下凹至所述第一基板的所述第一表面之下,且所述第二电性传导元件的所述顶表面是下凹至所述第二基板的主要表面之下,且电性传导纳米粒子是被设置在所述第一电性传导元件和所述第二电性传导元件的所述顶表面之间,所述电性传导纳米粒子具有的长度尺寸是小于100纳米;
所述第一表面的所述介电材料与所述主要表面的所述介电材料直接接合;并且
至少在经并置的所述第一电性传导元件和所述第二电性传导元件的界面处提高温度到一结合温度,在所述结合温度时,所述电性传导纳米粒子造成冶金结合形成于经并置的所述第一电性传导元件和所述第二电性传导元件之间。
2.如权利要求1的方法,其进一步包含形成所述电性传导纳米粒子,其包含将至少一个接收表面以一电流密度曝露于无电电镀槽或电解槽,所述至少一个接收表面是所述第一电性传导元件和所述第二电性传导元件的所述顶表面中的至少一者,并且所述电流密度大于所述电解槽的质量传输限制电流密度。
3.如权利要求1的方法,其中所述第一电性传导元件和所述第二电性传导元件中的至少一者包含电性传导衬垫或电性传导迹线。
4.如权利要求1的方法,其进一步包括在所述第一电性传导元件的所述顶表面和所述电性传导纳米粒子之间形成阻障材料。
5.如权利要求1的方法,其进一步包含蚀刻所述第二基板的所述主要表面以形成凹槽并且曝露所述第二电性传导元件的所述顶表面于所述凹槽之中。
6.如权利要求1的方法,其中在所述第一电性传导元件和所述第二电性传导元件的所述顶表面的所述并置之前,所述电性传导纳米粒子被放置在所述第一电性传导元件和所述第二电性传导元件两者的所述顶表面上。
7.如权利要求6的方法,其中在所述第一电性传导元件和所述第二电性传导元件的所述顶表面的所述并置之前,所述电性传导纳米粒子包含覆盖在每个顶表面的第一层电性传导纳米粒子和第二层电性传导纳米粒子,所述第一层电性传导纳米粒子被放置在各别顶表面上并且所述第二层电性传导纳米粒子被放置在所述第一层电性传导纳米粒子上,所述第二层电性传导纳米粒子包含至少一种材料,所述至少一种材料是不同于所述第一层电性传导纳米粒子所包含的至少一种材料。
8.如权利要求7的方法,其中在所述第一电性传导元件和所述第二电性传导元件的所述顶表面的所述并置之前,在每个顶表面上的所述电性传导纳米粒子包含形成在各别的第二层电性传导纳米粒子上的第三层电性传导纳米粒子,所述第三层电性传导纳米粒子包含至少一种材料,所述至少一种材料是不同于所述第二层电性传导纳米粒子所包含的至少一种材料,所述第二层电性传导纳米粒子包含阻障金属,所述阻障金属经配置以避免所述第三层电性传导纳米粒子的金属渗透到所述第一层电性传导纳米粒子之中。
9.如权利要求1的方法,其中在所述第一电性传导元件和所述第二电性传导元件的所述顶表面的所述并置之前,所述传导纳米粒子被设置在所述第一电性传导元件或第二电性传导元件中的一个的所述顶表面上。
10.如权利要求1的方法,其进一步包含在所述温度提高之前,沉积焊料于所述第一电性传导元件和所述第二电性传导元件的所述顶表面中的至少一者上的所述电性传导纳米粒子之上,其中在所述温度的提高过程中,所述焊料经由毛细作用而填入至少一些所述电性传导纳米粒子之间的间隙。
11.如权利要求1的方法,其中在所述第一表面和所述主要表面处的介电材料每个都包含未完全固化的B阶段材料(B-stage material)层,并且在所述温度的提高过程中,所述B阶段材料才被完全地固化。
12.一种微电子组件,其包含:
第一构件,其包含具有第一表面的基板和在所述第一表面处的多个实质上刚性的金属的第一杆,所述第一杆从所述第一表面在第一方向上向外延伸,每个第一杆具有顶表面,所述顶表面通常面向所述第一方向,每个所述第一杆的所述顶表面突出超过所述第一表面一高度,使得所述顶表面是远离所述第一表面,每个第一杆具有从其之所述顶表面以大角度延伸的边缘表面;以及
第二构件,其包含具有主要表面的基板以及曝露在所述主要表面处的多个第二电性传导元件,每个第二电性传导元件具有通常面向第二方向的顶表面,每个第二电性传导元件的所述顶表面曝露在延伸于所述主要表面之下的凹槽中,
所述第一杆与所述第二电性传导元件结合,使得所述第一杆的所述顶表面至少部分地面对所述第二电性传导元件的所述顶表面,并且使得至少一些所述第一杆至少部分地延伸进入所述第二构件的对应的所述凹槽之中,
每个第一杆是借由接合区域电性地互连至对应的所述第二电性传导元件,所述接合区域包括杂质,所述杂质显示在接合制程中使用具有小于100纳米的长度尺寸的电性传导纳米粒子的结构证据,每个接合区域包括将所述接合区域的剩余部分与对应的所述第一杆和对应的所述第二电性传导元件分开的阻障材料。
13.如权利要求12的微电子组件,其中每个接合区域包含杂质,所述杂质显示第一层电性传导纳米粒子、第二层电性传导纳米粒子和第三层电性传导纳米粒子的结构证据,所述第二层电性传导纳米粒子设置在所述第一层电性传导纳米粒子和所述第三层电性传导纳米粒子之间并且包含至少一种材料,所述至少一种材料不同于所述第一层电性传导纳米粒子所包含的至少一种材料以及不同于所述第三层电性传导纳米粒子所包含的至少一种材料,所述第一层电性传导纳米粒子和所述第三层电性传导纳米粒子包含所述阻障材料。
14.如权利要求12的微电子组件,其中每个接合区域包含杂质,所述杂质显示第一层电性传导纳米粒子、第二层电性传导纳米粒子、第三层电性传导纳米粒子、第四层电性传导纳米粒子和第五层电性传导纳米粒子的结构证据,所述第一层电性传导纳米粒子和所述第五层电性传导纳米粒子至少部分地分别渗透到所述第一杆和所述第二电性传导元件之中,所述第二层电性传导纳米粒子和所述第四层电性传导纳米粒子每个包含至少一种材料,所述至少一种材料分别不同于所述第一层电性传导纳米粒子和所述第五层电性传导纳米粒子所包含的至少一种材料,所述第二层电性传导纳米粒子和所述第四层电性传导纳米粒子的每个提供所述阻障材料,所述阻障材料经配置以避免所述第三层电性传导纳米粒子的金属渗透到所述第一层电性传导纳米粒子和所述第五层电性传导纳米粒子之中。
15.如权利要求12的微电子组件,其中每个接合区域包含延伸到微通孔之中的焊料,所述微通孔位在至少一些所述电性传导纳米粒子之间,每个微通孔具有小于0.5微米的最大宽度。
16.如权利要求12的微电子组件,其中所述第一构件的所述基板的所述第一表面以及所述第二构件的所述基板的所述主要表面每个包含介电材料,并且所述第一表面的所述介电材料是与所述主要表面的所述介电材料直接地接合。
17.如权利要求12的微电子组件,其中所述第一构件和所述第二构件的所述基板中的至少一者具有金属元件,所述金属元件在各别的基板中以第一和第二横向方向在各自的平面中延伸,所述金属元件经配置以提供电磁屏蔽来减少传输于所述第一杆和所述第二电性传导元件之间的讯号的杂讯。
18.如权利要求17的微电子组件,其中所述第一构件的所述基板和所述第二构件的所述基板都具有所述金属元件,所述金属元件在各别的基板中以第一和第二横向方向在各自的平面中延伸,所述第一构件的所述金属元件包含在所述第一方向上延伸的迹线,并且所述第二构件的所述金属元件包含在所述第二方向上延伸的迹线。
19.如权利要求17的微电子组件,其中所述金属元件是连续的金属片,所述连续的金属片具有在所述第一方向和所述第二方向上与所述第一杆和所述第二电性传导元件对准而延伸穿过所述连续的金属片的开口。
20.如权利要求12的微电子组件,其中所述第一构件或所述第二构件中的至少一者是包含主动半导体装置的微电子元件。
21.一种微电子系统,其包含根据权利要求12的微电子组件以及电性连接至所述组件的一个或多个其他电子构件。
22.如权利要求21的微电子系统,其进一步包含壳体,所述组件和所述其他电子构件是安装于所述壳体。
CN201780064766.8A 2016-10-27 2017-10-25 用于低温接合的结构和方法 Active CN109844934B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202310944897.2A CN116825750A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310942827.3A CN116825749A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310947688.3A CN116960098A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/336,192 US10886250B2 (en) 2015-07-10 2016-10-27 Structures and methods for low temperature bonding using nanoparticles
US15/336,192 2016-10-27
PCT/US2017/058327 WO2018081293A1 (en) 2016-10-27 2017-10-25 Structures and methods for low temperature bonding

Related Child Applications (3)

Application Number Title Priority Date Filing Date
CN202310947688.3A Division CN116960098A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310944897.2A Division CN116825750A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310942827.3A Division CN116825749A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法

Publications (2)

Publication Number Publication Date
CN109844934A CN109844934A (zh) 2019-06-04
CN109844934B true CN109844934B (zh) 2023-08-08

Family

ID=62024006

Family Applications (4)

Application Number Title Priority Date Filing Date
CN202310942827.3A Pending CN116825749A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310947688.3A Pending CN116960098A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310944897.2A Pending CN116825750A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN201780064766.8A Active CN109844934B (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CN202310942827.3A Pending CN116825749A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310947688.3A Pending CN116960098A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法
CN202310944897.2A Pending CN116825750A (zh) 2016-10-27 2017-10-25 用于低温接合的结构和方法

Country Status (6)

Country Link
US (2) US20230335531A1 (zh)
EP (1) EP3533083A4 (zh)
KR (1) KR20190062532A (zh)
CN (4) CN116825749A (zh)
TW (1) TWI822659B (zh)
WO (1) WO2018081293A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US11315871B2 (en) * 2019-06-13 2022-04-26 Nanya Technology Corporation Integrated circuit device with bonding structure and method of forming the same
TWI725452B (zh) * 2019-06-20 2021-04-21 矽品精密工業股份有限公司 電子封裝件及其製法
EP3872855A1 (de) * 2020-02-27 2021-09-01 Siemens Aktiengesellschaft Substrathalbzeug für eine leistungselektronische baugruppe mit einer leitstruktur mit ausformungen und entsprechendes herstellungsverfahren

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN203013712U (zh) * 2013-01-14 2013-06-19 陆伟 一种三维芯片的金属键合结构
WO2016136064A1 (ja) * 2015-02-26 2016-09-01 日本航空電子工業株式会社 電気接続構造および電気接続部材

Family Cites Families (650)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3214827A (en) 1962-12-10 1965-11-02 Sperry Rand Corp Electrical circuitry fabrication
US3775844A (en) 1970-06-25 1973-12-04 Bunker Ramo Method of fabricating a multiwafer electrical circuit structure
US3766439A (en) 1972-01-12 1973-10-16 Gen Electric Electronic module using flexible printed circuit board with heat sink means
US3873889A (en) 1973-08-08 1975-03-25 Sperry Rand Corp Indicator module and method of manufacturing same
JPS54148484A (en) 1978-05-15 1979-11-20 Nec Corp Manufacture of semiconductor wafer test device
US4225900A (en) 1978-10-25 1980-09-30 Raytheon Company Integrated circuit device package interconnect means
JPS57107501A (en) 1980-12-25 1982-07-05 Sony Corp Conduction material
US4567543A (en) 1983-02-15 1986-01-28 Motorola, Inc. Double-sided flexible electronic circuit module
US4576543A (en) 1983-11-07 1986-03-18 Kmw Products Limited Knock-down construction for front end loader
US5220488A (en) 1985-09-04 1993-06-15 Ufe Incorporated Injection molded printed circuits
JPS62117346A (ja) 1985-11-18 1987-05-28 Fujitsu Ltd 半導体装置
US4716049A (en) 1985-12-20 1987-12-29 Hughes Aircraft Company Compressive pedestal for microminiature connections
US4924353A (en) 1985-12-20 1990-05-08 Hughes Aircraft Company Connector system for coupling to an integrated circuit chip
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
US4695870A (en) 1986-03-27 1987-09-22 Hughes Aircraft Company Inverted chip carrier
JPS6397941A (ja) 1986-10-14 1988-04-28 Fuji Photo Film Co Ltd 感光材料
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
JPS63153889A (ja) 1986-12-17 1988-06-27 日立プラント建設株式会社 プリント基板のパタ−ン形成方法
US5138438A (en) 1987-06-24 1992-08-11 Akita Electronics Co. Ltd. Lead connections means for stacked tab packaged IC chips
KR970003915B1 (ko) 1987-06-24 1997-03-22 미다 가쓰시게 반도체 기억장치 및 그것을 사용한 반도체 메모리 모듈
US4781601A (en) 1987-07-06 1988-11-01 Motorola, Inc. Header for an electronic circuit
US4804132A (en) 1987-08-28 1989-02-14 Difrancesco Louis Method for cold bonding
JPS6486527A (en) 1987-09-29 1989-03-31 Hitachi Cable Ccb tape carrier
US5198888A (en) 1987-12-28 1993-03-30 Hitachi, Ltd. Semiconductor stacked device
US5028986A (en) 1987-12-28 1991-07-02 Hitachi, Ltd. Semiconductor device and semiconductor module with a plurality of stacked semiconductor devices
US5116456A (en) 1988-04-18 1992-05-26 Solon Technologies, Inc. Apparatus and method for growth of large single crystals in plate/slab form
US4991290A (en) 1988-07-21 1991-02-12 Microelectronics And Computer Technology Flexible electrical interconnect and method of making
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH02174255A (ja) 1988-12-27 1990-07-05 Mitsubishi Electric Corp 半導体集積回路装置
US5068714A (en) 1989-04-05 1991-11-26 Robert Bosch Gmbh Method of electrically and mechanically connecting a semiconductor to a substrate using an electrically conductive tacky adhesive and the device so made
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
US5077598A (en) 1989-11-08 1991-12-31 Hewlett-Packard Company Strain relief flip-chip integrated circuit assembly with test fixturing
AU637874B2 (en) 1990-01-23 1993-06-10 Sumitomo Electric Industries, Ltd. Substrate for packaging a semiconductor device
CA2034703A1 (en) 1990-01-23 1991-07-24 Masanori Nishiguchi Substrate for packaging a semiconductor device
US5083697A (en) 1990-02-14 1992-01-28 Difrancesco Louis Particle-enhanced joining of metal surfaces
US4975079A (en) 1990-02-23 1990-12-04 International Business Machines Corp. Connector assembly for chip testing
US5046238A (en) 1990-03-15 1991-09-10 Rogers Corporation Method of manufacturing a multilayer circuit board
US5345205A (en) 1990-04-05 1994-09-06 General Electric Company Compact high density interconnected microwave system
JPH05501494A (ja) 1990-04-09 1993-03-18 アスコム テック エージー 光学的通信装置のアクセスノードのビットとフレームの同期ユニット
US5251806A (en) 1990-06-19 1993-10-12 International Business Machines Corporation Method of forming dual height solder interconnections
US5130779A (en) 1990-06-19 1992-07-14 International Business Machines Corporation Solder mass having conductive encapsulating arrangement
US5679977A (en) 1990-09-24 1997-10-21 Tessera, Inc. Semiconductor chip assemblies, methods of making same and components for same
US5148265A (en) 1990-09-24 1992-09-15 Ist Associates, Inc. Semiconductor chip assemblies with fan-in leads
US5148266A (en) 1990-09-24 1992-09-15 Ist Associates, Inc. Semiconductor chip assemblies having interposer and flexible lead
JPH04151843A (ja) 1990-10-16 1992-05-25 Casio Comput Co Ltd Icチップのボンディング方法
US5117282A (en) 1990-10-29 1992-05-26 Harris Corporation Stacked configuration for integrated circuit devices
US5172303A (en) 1990-11-23 1992-12-15 Motorola, Inc. Electronic component assembly
US5116459A (en) 1991-03-06 1992-05-26 International Business Machines Corporation Processes for electrically conductive decals filled with organic insulator material
US5541525A (en) 1991-06-04 1996-07-30 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
JPH0513967A (ja) 1991-07-03 1993-01-22 Mitsubishi Electric Corp 半導体記憶制御装置及びその高密度実装方法
WO1993004375A1 (en) 1991-08-23 1993-03-04 Nchip, Inc. Burn-in technologies for unpackaged integrated circuits
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US5397916A (en) 1991-12-10 1995-03-14 Normington; Peter J. C. Semiconductor device including stacked die
US5281852A (en) 1991-12-10 1994-01-25 Normington Peter J C Semiconductor device including stacked die
US5224023A (en) 1992-02-10 1993-06-29 Smith Gary W Foldable electronic assembly module
US5222014A (en) 1992-03-02 1993-06-22 Motorola, Inc. Three-dimensional multi-chip pad array carrier
JP2894071B2 (ja) 1992-03-09 1999-05-24 株式会社日立製作所 半導体装置
JP3215424B2 (ja) 1992-03-24 2001-10-09 ユニシス・コーポレイション 微細自己整合特性を有する集積回路モジュール
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US5422435A (en) 1992-05-22 1995-06-06 National Semiconductor Corporation Stacked multi-chip modules and method of manufacturing
US5247423A (en) 1992-05-26 1993-09-21 Motorola, Inc. Stacking three dimensional leadless multi-chip module and method for making the same
US5820770A (en) 1992-07-21 1998-10-13 Seagate Technology, Inc. Thin film magnetic head including vias formed in alumina layer and process for making the same
AU4782293A (en) 1992-07-24 1994-02-14 Tessera, Inc. Semiconductor connection components and methods with releasable lead support
US6054756A (en) 1992-07-24 2000-04-25 Tessera, Inc. Connection components with frangible leads and bus
EP0586888B1 (en) 1992-08-05 2001-07-18 Fujitsu Limited Three-dimensional multichip module
US5324892A (en) 1992-08-07 1994-06-28 International Business Machines Corporation Method of fabricating an electronic interconnection
JP3105089B2 (ja) 1992-09-11 2000-10-30 株式会社東芝 半導体装置
US5334804A (en) 1992-11-17 1994-08-02 Fujitsu Limited Wire interconnect structures for connecting an integrated circuit to a substrate
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
JP2716336B2 (ja) 1993-03-10 1998-02-18 日本電気株式会社 集積回路装置
US5455740A (en) 1994-03-07 1995-10-03 Staktek Corporation Bus communication system for stacked high density integrated circuit packages
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
US5811982A (en) 1995-11-27 1998-09-22 International Business Machines Corporation High density cantilevered probe for electronic devices
US5390844A (en) 1993-07-23 1995-02-21 Tessera, Inc. Semiconductor inner lead bonding tool
US5398863A (en) 1993-07-23 1995-03-21 Tessera, Inc. Shaped lead structure and method
US5397921A (en) 1993-09-03 1995-03-14 Advanced Semiconductor Assembly Technology Tab grid array
JP2560625B2 (ja) 1993-10-29 1996-12-04 日本電気株式会社 半導体装置およびその製造方法
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
US5454160A (en) 1993-12-03 1995-10-03 Ncr Corporation Apparatus and method for stacking integrated circuit devices
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5442235A (en) 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5457879A (en) 1994-01-04 1995-10-17 Motorola, Inc. Method of shaping inter-substrate plug and receptacles interconnects
JP3378334B2 (ja) 1994-01-26 2003-02-17 株式会社東芝 半導体装置実装構造体
US5455390A (en) 1994-02-01 1995-10-03 Tessera, Inc. Microelectronics unit mounting with multiple lead bonding
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
US5448511A (en) 1994-06-01 1995-09-05 Storage Technology Corporation Memory stack with an integrated interconnect and mounting structure
US5466635A (en) 1994-06-02 1995-11-14 Lsi Logic Corporation Process for making an interconnect bump for flip-chip integrated circuit including integral standoff and hourglass shaped solder coating
US5615824A (en) 1994-06-07 1997-04-01 Tessera, Inc. Soldering with resilient contacts
US5802699A (en) 1994-06-07 1998-09-08 Tessera, Inc. Methods of assembling microelectronic assembly with socket for engaging bump leads
US5798286A (en) 1995-09-22 1998-08-25 Tessera, Inc. Connecting multiple microelectronic elements with lead deformation
US5518964A (en) 1994-07-07 1996-05-21 Tessera, Inc. Microelectronic mounting with multiple lead deformation and bonding
US6177636B1 (en) 1994-12-29 2001-01-23 Tessera, Inc. Connection components with posts
US5989936A (en) 1994-07-07 1999-11-23 Tessera, Inc. Microelectronic assembly fabrication with terminal formation from a conductive layer
JP3348528B2 (ja) 1994-07-20 2002-11-20 富士通株式会社 半導体装置の製造方法と半導体装置及び電子回路装置の製造方法と電子回路装置
US5539153A (en) 1994-08-08 1996-07-23 Hewlett-Packard Company Method of bumping substrates by contained paste deposition
US5656550A (en) 1994-08-24 1997-08-12 Fujitsu Limited Method of producing a semicondutor device having a lead portion with outer connecting terminal
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
US5491302A (en) 1994-09-19 1996-02-13 Tessera, Inc. Microelectronic bonding with lead motion
US5659952A (en) 1994-09-20 1997-08-26 Tessera, Inc. Method of fabricating compliant interface for semiconductor chip
JP2570628B2 (ja) 1994-09-21 1997-01-08 日本電気株式会社 半導体パッケージおよびその製造方法
US5574747A (en) 1995-01-04 1996-11-12 Interdigital Technology Corporation Spread spectrum adaptive power control system and method
US5587342A (en) 1995-04-03 1996-12-24 Motorola, Inc. Method of forming an electrical interconnect
JP2606177B2 (ja) 1995-04-26 1997-04-30 日本電気株式会社 印刷配線板
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
US5985692A (en) 1995-06-07 1999-11-16 Microunit Systems Engineering, Inc. Process for flip-chip bonding a semiconductor die having gold bump electrodes
JPH0997791A (ja) 1995-09-27 1997-04-08 Internatl Business Mach Corp <Ibm> バンプ構造、バンプの形成方法、実装接続体
JP3297254B2 (ja) 1995-07-05 2002-07-02 株式会社東芝 半導体パッケージおよびその製造方法
US5777379A (en) 1995-08-18 1998-07-07 Tessera, Inc. Semiconductor assemblies with reinforced peripheral regions
JP3549294B2 (ja) 1995-08-23 2004-08-04 新光電気工業株式会社 半導体装置及びその実装構造
US5810609A (en) 1995-08-28 1998-09-22 Tessera, Inc. Socket for engaging bump leads on a microelectronic device and methods therefor
US5861666A (en) 1995-08-30 1999-01-19 Tessera, Inc. Stacked chip assembly
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5674785A (en) 1995-11-27 1997-10-07 Micron Technology, Inc. Method of producing a single piece package for semiconductor die
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5646446A (en) 1995-12-22 1997-07-08 Fairchild Space And Defense Corporation Three-dimensional flexible assembly of integrated circuits
US5731709A (en) 1996-01-26 1998-03-24 Motorola, Inc. Method for testing a ball grid array semiconductor device and a device for such testing
US6001671A (en) 1996-04-18 1999-12-14 Tessera, Inc. Methods for manufacturing a semiconductor package having a sacrificial layer
US5789815A (en) 1996-04-23 1998-08-04 Motorola, Inc. Three dimensional semiconductor package having flexible appendages
ATE204251T1 (de) 1996-05-14 2001-09-15 Degussa Verfahren zur herstellung von trimethylhydrochinon
JPH1013003A (ja) 1996-06-26 1998-01-16 Casio Comput Co Ltd 半導体装置
US5689091A (en) 1996-09-19 1997-11-18 Vlsi Technology, Inc. Multi-layer substrate structure
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JPH10125734A (ja) 1996-10-24 1998-05-15 Matsushita Electric Ind Co Ltd 半導体ユニットおよびその製造方法
US5762845A (en) 1996-11-19 1998-06-09 Packard Hughes Interconnect Company Method of making circuit with conductive and non-conductive raised features
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
WO1998028788A1 (en) 1996-12-24 1998-07-02 Nitto Denko Corporation Manufacture of semiconductor device
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5929521A (en) 1997-03-26 1999-07-27 Micron Technology, Inc. Projected contact structure for bumped semiconductor device and resulting articles and assemblies
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH1140694A (ja) 1997-07-16 1999-02-12 Oki Electric Ind Co Ltd 半導体パッケージおよび半導体装置とその製造方法
US6335571B1 (en) 1997-07-21 2002-01-01 Miguel Albert Capote Semiconductor flip-chip package and method for the fabrication thereof
EP1030369B1 (en) 1997-08-19 2007-12-12 Hitachi, Ltd. Multichip module structure and method for manufacturing the same
CA2213590C (en) 1997-08-21 2006-11-07 Keith C. Carroll Flexible circuit connector and method of making same
JPH1187556A (ja) 1997-09-08 1999-03-30 Hitachi Ltd 半導体装置
JPH1197576A (ja) 1997-09-22 1999-04-09 Matsushita Electric Ind Co Ltd 半導体装置
JP3937265B2 (ja) 1997-09-29 2007-06-27 エルピーダメモリ株式会社 半導体装置
JPH11111886A (ja) 1997-10-07 1999-04-23 Sony Corp 実装基板およびその製造方法
US6217972B1 (en) 1997-10-17 2001-04-17 Tessera, Inc. Enhancements in framed sheet processing
US6222136B1 (en) 1997-11-12 2001-04-24 International Business Machines Corporation Printed circuit board with continuous connective bumps
JPH11163022A (ja) 1997-11-28 1999-06-18 Sony Corp 半導体装置、その製造方法及び電子機器
US6052287A (en) 1997-12-09 2000-04-18 Sandia Corporation Silicon ball grid array chip carrier
US5973391A (en) 1997-12-11 1999-10-26 Read-Rite Corporation Interposer with embedded circuitry and method for using the same to package microelectronic units
US6329594B1 (en) 1998-01-16 2001-12-11 Bae Systems Information And Electronic Systems Integration, Inc. Integrated circuit package
US5956234A (en) 1998-01-20 1999-09-21 Integrated Device Technology, Inc. Method and structure for a surface mountable rigid-flex printed circuit board
US6061245A (en) 1998-01-22 2000-05-09 International Business Machines Corporation Free standing, three dimensional, multi-chip, carrier package with air flow baffle
US6235996B1 (en) 1998-01-28 2001-05-22 International Business Machines Corporation Interconnection structure and process module assembly and rework
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6300679B1 (en) 1998-06-01 2001-10-09 Semiconductor Components Industries, Llc Flexible substrate for packaging a semiconductor component
US6414391B1 (en) 1998-06-30 2002-07-02 Micron Technology, Inc. Module assembly for stacked BGA packages with a common bus bar in the assembly
US6218302B1 (en) 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US5854507A (en) 1998-07-21 1998-12-29 Hewlett-Packard Company Multiple chip assembly
US6147000A (en) 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
US6515355B1 (en) 1998-09-02 2003-02-04 Micron Technology, Inc. Passivation layer for packaged integrated circuits
JP2000100869A (ja) 1998-09-22 2000-04-07 Hitachi Ltd 半導体装置およびその製造方法
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
JP3407275B2 (ja) 1998-10-28 2003-05-19 インターナショナル・ビジネス・マシーンズ・コーポレーション バンプ及びその形成方法
US6332270B2 (en) 1998-11-23 2001-12-25 International Business Machines Corporation Method of making high density integral test probe
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6123825A (en) 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3137186B2 (ja) 1999-02-05 2001-02-19 インターナショナル・ビジネス・マシーンズ・コーポレ−ション 層間接続構造体、多層配線基板およびそれらの形成方法
US6965166B2 (en) 1999-02-24 2005-11-15 Rohm Co., Ltd. Semiconductor device of chip-on-chip structure
US6326555B1 (en) 1999-02-26 2001-12-04 Fujitsu Limited Method and structure of z-connected laminated substrate for high density electronic packaging
US6980017B1 (en) 1999-03-10 2005-12-27 Micron Technology, Inc. Test interconnect for bumped semiconductor components and method of fabrication
US6348709B1 (en) 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP2000277649A (ja) 1999-03-26 2000-10-06 Matsushita Electric Works Ltd 半導体装置及びその製造方法
US6177729B1 (en) 1999-04-03 2001-01-23 International Business Machines Corporation Rolling ball connector
JP3446825B2 (ja) 1999-04-06 2003-09-16 沖電気工業株式会社 半導体装置およびその製造方法
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6225206B1 (en) 1999-05-10 2001-05-01 International Business Machines Corporation Flip chip C4 extension structure and process
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US6782610B1 (en) 1999-05-21 2004-08-31 North Corporation Method for fabricating a wiring substrate by electroplating a wiring film on a metal base
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
JP2001085470A (ja) 1999-09-16 2001-03-30 Fujitsu Ltd 半導体装置及びその製造方法
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP3973340B2 (ja) 1999-10-05 2007-09-12 Necエレクトロニクス株式会社 半導体装置、配線基板、及び、それらの製造方法
TW512467B (en) 1999-10-12 2002-12-01 North Kk Wiring circuit substrate and manufacturing method therefor
JP2001118872A (ja) 1999-10-18 2001-04-27 Daiwa Kogyo:Kk バンプの形成方法
US6333120B1 (en) 1999-10-27 2001-12-25 International Business Machines Corporation Method for controlling the texture and microstructure of plated copper and plated structure
US6869750B2 (en) 1999-10-28 2005-03-22 Fujitsu Limited Structure and method for forming a multilayered structure
US6882045B2 (en) 1999-10-28 2005-04-19 Thomas J. Massingill Multi-chip module and method for forming and method for deplating defective capacitors
US6362525B1 (en) 1999-11-09 2002-03-26 Cypress Semiconductor Corp. Circuit structure including a passive element formed within a grid array substrate and method for making the same
US6534861B1 (en) 1999-11-15 2003-03-18 Substrate Technologies Incorporated Ball grid substrate for lead-on-chip semiconductor package
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6216941B1 (en) 2000-01-06 2001-04-17 Trw Inc. Method for forming high frequency connections to high temperature superconductor circuits and other fragile materials
JP2001196381A (ja) 2000-01-12 2001-07-19 Toyo Kohan Co Ltd 半導体装置、半導体上の回路形成に用いる金属積層板、および回路形成方法
JP3865989B2 (ja) 2000-01-13 2007-01-10 新光電気工業株式会社 多層配線基板、配線基板、多層配線基板の製造方法、配線基板の製造方法、及び半導体装置
US20030001286A1 (en) 2000-01-28 2003-01-02 Ryoichi Kajiwara Semiconductor package and flip chip bonding method therein
US6469394B1 (en) 2000-01-31 2002-10-22 Fujitsu Limited Conductive interconnect structures and methods for forming conductive interconnect structures
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP3752949B2 (ja) 2000-02-28 2006-03-08 日立化成工業株式会社 配線基板及び半導体装置
KR100817646B1 (ko) 2000-03-10 2008-03-27 스태츠 칩팩, 엘티디. 플립칩 상호연결 구조물
JP2001284783A (ja) 2000-03-30 2001-10-12 Shinko Electric Ind Co Ltd 表面実装用基板及び表面実装構造
US6565441B1 (en) 2000-04-07 2003-05-20 Arista Enterprises Inc. Dedicated wireless digital video disc (DVD) controller for video game consoles
JP2001308095A (ja) 2000-04-19 2001-11-02 Toyo Kohan Co Ltd 半導体装置およびその製造方法
US6578754B1 (en) 2000-04-27 2003-06-17 Advanpack Solutions Pte. Ltd. Pillar connections for semiconductor chips and method of manufacture
US6592019B2 (en) 2000-04-27 2003-07-15 Advanpack Solutions Pte. Ltd Pillar connections for semiconductor chips and method of manufacture
WO2001084617A1 (en) 2000-04-27 2001-11-08 Nu Tool Inc. Conductive structure for use in multi-level metallization and process
US6522018B1 (en) 2000-05-16 2003-02-18 Micron Technology, Inc. Ball grid array chip packages having improved testing and stacking characteristics
US6647310B1 (en) 2000-05-30 2003-11-11 Advanced Micro Devices, Inc. Temperature control of an integrated circuit
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP2002016096A (ja) 2000-06-27 2002-01-18 Citizen Watch Co Ltd 半導体装置とその製造方法
US6560117B2 (en) 2000-06-28 2003-05-06 Micron Technology, Inc. Packaged microelectronic die assemblies and methods of manufacture
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
JP2002289768A (ja) 2000-07-17 2002-10-04 Rohm Co Ltd 半導体装置およびその製法
JP3653452B2 (ja) 2000-07-31 2005-05-25 株式会社ノース 配線回路基板とその製造方法と半導体集積回路装置とその製造方法
US6592109B2 (en) 2000-07-31 2003-07-15 Toyo Tire & Rubber Co., Ltd. Liquid sealing type body mount
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6462575B1 (en) 2000-08-28 2002-10-08 Micron Technology, Inc. Method and system for wafer level testing and burning-in semiconductor components
US6583460B1 (en) 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
JP3874062B2 (ja) 2000-09-05 2007-01-31 セイコーエプソン株式会社 半導体装置
JP3735526B2 (ja) 2000-10-04 2006-01-18 日本電気株式会社 半導体装置及びその製造方法
JP2002124548A (ja) 2000-10-17 2002-04-26 Hitachi Cable Ltd テープキャリア及びそれを用いた半導体装置
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
JP2002151551A (ja) 2000-11-10 2002-05-24 Hitachi Ltd フリップチップ実装構造、その実装構造を有する半導体装置及び実装方法
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
US6734539B2 (en) 2000-12-27 2004-05-11 Lucent Technologies Inc. Stacked module package
US6800169B2 (en) 2001-01-08 2004-10-05 Fujitsu Limited Method for joining conductive structures and an electrical conductive article
US6388322B1 (en) 2001-01-17 2002-05-14 Aralight, Inc. Article comprising a mechanically compliant bump
JP2002261204A (ja) 2001-03-02 2002-09-13 Hitachi Aic Inc インターポーザ基板及びその電子部品実装体
US6648213B1 (en) 2001-03-05 2003-11-18 Saturn Electronics & Engineering, Inc. Manufacturing method for attaching components to a substrate
US7242099B2 (en) 2001-03-05 2007-07-10 Megica Corporation Chip package with multiple chips connected by bumps
TWI313507B (en) 2002-10-25 2009-08-11 Megica Corporatio Method for assembling chips
JP4141135B2 (ja) 2001-03-28 2008-08-27 テセラ・インターコネクト・マテリアルズ,インコーポレイテッド 多層配線基板の製造方法
US20050097727A1 (en) 2001-03-28 2005-05-12 Tomoo Iijima Multi-layer wiring board, method for producing multi-layer wiring board, polishing machine for multi-layer wiring board, and metal sheet for producing wiring board
JP3851517B2 (ja) 2001-04-18 2006-11-29 カシオマイクロニクス株式会社 半導体装置およびその製造方法並びにその接合構造
JP2002313996A (ja) 2001-04-18 2002-10-25 Toshiba Chem Corp 半導体パッケージ用基板およびその製造方法
CN1383197A (zh) 2001-04-25 2002-12-04 松下电器产业株式会社 半导体装置的制造方法及半导体装置
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP2003051665A (ja) 2001-05-31 2003-02-21 Fujikura Ltd 電子部品の実装方法
US6547124B2 (en) 2001-06-14 2003-04-15 Bae Systems Information And Electronic Systems Integration Inc. Method for forming a micro column grid array (CGA)
JP2003007768A (ja) 2001-06-25 2003-01-10 Sumitomo Metal Mining Co Ltd 層間接続材、その製造方法及び使用方法
JP4663165B2 (ja) 2001-06-27 2011-03-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP3692978B2 (ja) 2001-07-24 2005-09-07 日立電線株式会社 配線基板の製造方法
US6550666B2 (en) 2001-08-21 2003-04-22 Advanpack Solutions Pte Ltd Method for forming a flip chip on leadframe semiconductor package
US6992379B2 (en) 2001-09-05 2006-01-31 International Business Machines Corporation Electronic package having a thermal stretching layer
US6767819B2 (en) 2001-09-12 2004-07-27 Dow Corning Corporation Apparatus with compliant electrical terminals, and methods for forming same
JP2003092472A (ja) 2001-09-19 2003-03-28 Hitachi Metals Ltd 多層配線板形成用積層箔及びそれを用いた多層配線板の製造方法
JP4080827B2 (ja) 2001-09-24 2008-04-23 富士通株式会社 接合方法および導電性回路構造
AU2002337834A1 (en) 2001-10-09 2003-04-22 Tessera, Inc. Stacked packages
US6977440B2 (en) 2001-10-09 2005-12-20 Tessera, Inc. Stacked packages
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
JP3787295B2 (ja) 2001-10-23 2006-06-21 ローム株式会社 半導体装置
JP3583396B2 (ja) 2001-10-31 2004-11-04 富士通株式会社 半導体装置の製造方法、薄膜多層基板及びその製造方法
JP3875077B2 (ja) 2001-11-16 2007-01-31 富士通株式会社 電子デバイス及びデバイス接続方法
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
TWI245402B (en) 2002-01-07 2005-12-11 Megic Corp Rod soldering structure and manufacturing process thereof
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
SG115456A1 (en) 2002-03-04 2005-10-28 Micron Technology Inc Semiconductor die packages with recessed interconnecting structures and methods for assembling the same
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
TWI284973B (en) 2002-04-03 2007-08-01 Advanced Semiconductor Eng Flip-chip joint structure, and fabricating process thereof
JP2003318545A (ja) * 2002-04-22 2003-11-07 Sony Corp 多層型プリント配線基板及び多層型プリント配線基板の製造方法
US6744142B2 (en) 2002-06-19 2004-06-01 National Central University Flip chip interconnection structure and process of making the same
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
US6803303B1 (en) 2002-07-11 2004-10-12 Micron Technology, Inc. Method of fabricating semiconductor component having encapsulated, bonded, interconnect contacts
US20040007779A1 (en) 2002-07-15 2004-01-15 Diane Arbuthnot Wafer-level method for fine-pitch, high aspect ratio chip interconnect
US7449099B1 (en) 2004-04-13 2008-11-11 Novellus Systems, Inc. Selectively accelerated plating of metal features
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4107932B2 (ja) 2002-10-03 2008-06-25 唯知 須賀 電子部品実装装置の製造方法
JP2005026645A (ja) 2002-10-15 2005-01-27 Shinko Electric Ind Co Ltd 回路基板及びその製造方法
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7087458B2 (en) 2002-10-30 2006-08-08 Advanpack Solutions Pte. Ltd. Method for fabricating a flip chip package with pillar bump and no flow underfill
JP2004179232A (ja) 2002-11-25 2004-06-24 Seiko Epson Corp 半導体装置及びその製造方法並びに電子機器
US20040108136A1 (en) 2002-12-04 2004-06-10 International Business Machines Corporation Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW200423344A (en) 2002-12-31 2004-11-01 Texas Instruments Inc Composite metal column for mounting semiconductor device
JP4534984B2 (ja) 2003-01-17 2010-09-01 凸版印刷株式会社 金属フォトエッチング製品の製造方法
JP2004221450A (ja) 2003-01-17 2004-08-05 Toppan Printing Co Ltd プリント配線板およびその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US20040155358A1 (en) 2003-02-07 2004-08-12 Toshitsune Iijima First and second level packaging assemblies and method of assembling package
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
TW584934B (en) 2003-03-05 2004-04-21 Au Optronics Corp Method of forming a contact and structure thereof
JP3823318B2 (ja) 2003-03-11 2006-09-20 セイコーエプソン株式会社 半導体チップの回路基板への実装方法、半導体装置、電子デバイスおよび電子機器
GB2399605B (en) 2003-03-20 2006-05-17 Anthony L Peck Reciprocating rod driven continuously variable transmission.
JP3891133B2 (ja) 2003-03-26 2007-03-14 セイコーエプソン株式会社 電子部品の製造方法および電子部品の実装方法
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
TW200507218A (en) 2003-03-31 2005-02-16 North Corp Layout circuit substrate, manufacturing method of layout circuit substrate, and circuit module
JP2005045191A (ja) 2003-07-04 2005-02-17 North:Kk 配線回路基板の製造方法、及び多層配線基板の製造方法
JP4036786B2 (ja) 2003-04-24 2008-01-23 唯知 須賀 電子部品実装方法
TWI234252B (en) 2003-05-13 2005-06-11 Siliconware Precision Industries Co Ltd Flash-preventing window ball grid array semiconductor package and chip carrier and method for fabricating the same
JP2004342802A (ja) 2003-05-15 2004-12-02 Sharp Corp 突起電極付きプリント基板およびその製造方法
JP4389471B2 (ja) 2003-05-19 2009-12-24 パナソニック株式会社 電子回路の接続構造とその接続方法
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP4104490B2 (ja) 2003-05-21 2008-06-18 オリンパス株式会社 半導体装置の製造方法
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6888255B2 (en) 2003-05-30 2005-05-03 Texas Instruments Incorporated Built-up bump pad structure and method for same
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US7005241B2 (en) 2003-06-09 2006-02-28 Shinko Electric Industries Co., Ltd. Process for making circuit board or lead frame
US20050124091A1 (en) 2003-06-09 2005-06-09 Shinko Electric Industries Co., Ltd. Process for making circuit board or lead frame
US7242097B2 (en) 2003-06-30 2007-07-10 Intel Corporation Electromigration barrier layers for solder joints
JP4056001B2 (ja) 2003-07-11 2008-03-05 テセラ・インターコネクト・マテリアルズ,インコーポレイテッド 配線回路基板の製造方法
JP2005072270A (ja) * 2003-08-25 2005-03-17 Seiko Epson Corp 回路基板およびその製造方法、電気光学装置、電子機器
JP2005077955A (ja) 2003-09-02 2005-03-24 Sanyo Electric Co Ltd エッチング方法およびそれを用いた回路装置の製造方法
JP4190989B2 (ja) 2003-09-12 2008-12-03 テセラ・インターコネクト・マテリアルズ,インコーポレイテッド 配線回路基板の製造方法及び多層配線基板の製造方法
JP2005123547A (ja) 2003-09-24 2005-05-12 Ibiden Co Ltd インターポーザ、多層プリント配線板
US8641913B2 (en) 2003-10-06 2014-02-04 Tessera, Inc. Fine pitch microcontacts and method for forming thereof
US7462936B2 (en) 2003-10-06 2008-12-09 Tessera, Inc. Formation of circuitry with modification of feature height
US7495179B2 (en) 2003-10-06 2009-02-24 Tessera, Inc. Components with posts and pads
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US8368223B2 (en) 2003-10-24 2013-02-05 International Rectifier Corporation Paste for forming an interconnect and interconnect formed from the paste
US7315081B2 (en) 2003-10-24 2008-01-01 International Rectifier Corporation Semiconductor device package utilizing proud interconnect material
JP2005183904A (ja) * 2003-12-22 2005-07-07 Rohm & Haas Electronic Materials Llc 電子部品にはんだ領域を形成する方法及びはんだ領域を有する電子部品
US7176043B2 (en) 2003-12-30 2007-02-13 Tessera, Inc. Microelectronic packages and methods therefor
JP3997991B2 (ja) * 2004-01-14 2007-10-24 セイコーエプソン株式会社 電子装置
JP2005216696A (ja) 2004-01-30 2005-08-11 Ngk Spark Plug Co Ltd 中継基板、中継基板付き基板
JP2005243761A (ja) 2004-02-25 2005-09-08 Ngk Spark Plug Co Ltd 中継基板、中継基板付き樹脂製基板
JP2005285986A (ja) 2004-03-29 2005-10-13 Daiwa Kogyo:Kk 柱状金属体の形成方法及び柱状金属体
KR100606441B1 (ko) 2004-04-30 2006-08-01 엘지.필립스 엘시디 주식회사 클리체 제조방법 및 이를 이용한 패턴 형성방법
TWI230989B (en) 2004-05-05 2005-04-11 Megic Corp Chip bonding method
JP4661122B2 (ja) 2004-05-18 2011-03-30 ソニー株式会社 部品実装配線基板および配線基板への部品の実装方法
US7556189B2 (en) 2004-05-26 2009-07-07 Georgia Tech Research Corporation Lead-free bonding systems
WO2005122706A2 (en) 2004-05-31 2005-12-29 Joon-Mo Kang Method of aligning semiconductor device and semiconductor structure thereof
US7453157B2 (en) 2004-06-25 2008-11-18 Tessera, Inc. Microelectronic packages and methods therefor
JP5329083B2 (ja) 2004-06-25 2013-10-30 テッセラ,インコーポレイテッド ポストおよびパッドを有する部品
US6956165B1 (en) 2004-06-28 2005-10-18 Altera Corporation Underfill for maximum flip chip package reliability
US7393771B2 (en) * 2004-06-29 2008-07-01 Hitachi, Ltd. Method for mounting an electronic part on a substrate using a liquid containing metal particles
KR100618855B1 (ko) 2004-08-02 2006-09-01 삼성전자주식회사 금속 콘택 구조체 형성방법 및 이를 이용한 상변화 메모리제조방법
US20060055032A1 (en) 2004-09-14 2006-03-16 Kuo-Chin Chang Packaging with metal studs formed on solder pads
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060091538A1 (en) 2004-11-04 2006-05-04 Kabadi Ashok N Low profile and tight pad-pitch land-grid-array (LGA) socket
JP4908750B2 (ja) 2004-11-25 2012-04-04 ローム株式会社 半導体装置
US7317249B2 (en) 2004-12-23 2008-01-08 Tessera, Inc. Microelectronic package having stacked semiconductor devices and a process for its fabrication
US8294279B2 (en) 2005-01-25 2012-10-23 Megica Corporation Chip package with dam bar restricting flow of underfill
JP4542926B2 (ja) 2005-03-15 2010-09-15 株式会社東芝 接合方法
TWI253697B (en) * 2005-04-08 2006-04-21 Phoenix Prec Technology Corp Method for fabricating a flip chip package
US7902639B2 (en) 2005-05-13 2011-03-08 Siluria Technologies, Inc. Printable electric circuits, electronic components and method of forming the same
US7998335B2 (en) 2005-06-13 2011-08-16 Cabot Microelectronics Corporation Controlled electrochemical polishing method
JP2007023338A (ja) 2005-07-15 2007-02-01 Shinko Electric Ind Co Ltd 金属板パターン及び回路基板の形成方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
TWI273667B (en) 2005-08-30 2007-02-11 Via Tech Inc Chip package and bump connecting structure thereof
US7749806B2 (en) 2005-09-22 2010-07-06 Chipmos Technologies Inc. Fabricating process of a chip package structure
JP5279180B2 (ja) 2005-10-03 2013-09-04 ローム株式会社 半導体装置
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
KR100892935B1 (ko) 2005-12-14 2009-04-09 신꼬오덴기 고교 가부시키가이샤 칩 내장 기판 및 칩 내장 기판의 제조방법
JP4742844B2 (ja) 2005-12-15 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7550846B2 (en) 2005-12-21 2009-06-23 Palo Alto Research Center Conductive bump with a plurality of contact elements
TWI286829B (en) 2006-01-17 2007-09-11 Via Tech Inc Chip package
US7763034B2 (en) 2006-01-24 2010-07-27 Medtronic, Inc. Transobturator lead implantation for pelvic floor stimulation
DE102006006825A1 (de) 2006-02-14 2007-08-23 Infineon Technologies Ag Halbleiterbauelement und Verfahren zum Herstellen eines Halbleiterbauelements
JP4672576B2 (ja) 2006-03-09 2011-04-20 富士通株式会社 電子デバイス及びその製造方法
JP4661657B2 (ja) 2006-03-30 2011-03-30 株式会社デンソー バンプ接合体の製造方法
CN101479839A (zh) 2006-04-24 2009-07-08 株式会社村田制作所 电子元件、使用该电子元件的电子元件装置及其制造方法
US7964800B2 (en) 2006-05-25 2011-06-21 Fujikura Ltd. Printed wiring board, method for forming the printed wiring board, and board interconnection structure
JP4839138B2 (ja) 2006-06-20 2011-12-21 新光電気工業株式会社 配線基板の製造方法
TW200801513A (en) 2006-06-29 2008-01-01 Fermiscan Australia Pty Ltd Improved process
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP4901384B2 (ja) 2006-09-14 2012-03-21 パナソニック株式会社 樹脂配線基板とそれを用いた半導体装置および積層型の半導体装置
US8241995B2 (en) 2006-09-18 2012-08-14 International Business Machines Corporation Bonding of substrates including metal-dielectric patterns with metal raised above dielectric
US20080073795A1 (en) 2006-09-24 2008-03-27 Georgia Tech Research Corporation Integrated circuit interconnection devices and methods
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
CN101542705B (zh) * 2006-11-28 2011-10-12 松下电器产业株式会社 电子部件安装结构体及其制造方法
KR100825648B1 (ko) 2006-11-29 2008-04-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US9343330B2 (en) 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
KR100763136B1 (ko) 2006-12-11 2007-10-02 동부일렉트로닉스 주식회사 시스템 인 패키지의 웨이퍼 본딩 방법
JP2008153470A (ja) 2006-12-18 2008-07-03 Renesas Technology Corp 半導体装置および半導体装置の製造方法
JP2010514217A (ja) 2006-12-19 2010-04-30 テセラ・インターコネクト・マテリアルズ,インコーポレイテッド チップ・コンデンサ組み込み型pwb
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP4361572B2 (ja) 2007-02-28 2009-11-11 株式会社新川 ボンディング装置及び方法
KR100834515B1 (ko) 2007-03-07 2008-06-02 삼성전기주식회사 금속 나노입자 에어로졸을 이용한 포토레지스트 적층기판의형성방법, 절연기판의 도금방법, 회로기판의 금속층의표면처리방법 및 적층 세라믹 콘덴서의 제조방법
US7964961B2 (en) 2007-04-12 2011-06-21 Megica Corporation Chip package
KR100850212B1 (ko) 2007-04-20 2008-08-04 삼성전자주식회사 균일한 무전해 도금 두께를 얻을 수 있는 반도체 소자의제조방법
US7939939B1 (en) 2007-06-11 2011-05-10 Texas Instruments Incorporated Stable gold bump solder connections
US7911805B2 (en) 2007-06-29 2011-03-22 Tessera, Inc. Multilayer wiring element having pin interface
WO2009017756A1 (en) 2007-07-30 2009-02-05 Applied Process Technology, Inc. Innovative treatment technologies for reclaimed water
US8551815B2 (en) 2007-08-03 2013-10-08 Tessera, Inc. Stack packages using reconstituted wafers
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
US20090071707A1 (en) 2007-08-15 2009-03-19 Tessera, Inc. Multilayer substrate with interconnection vias and method of manufacturing the same
EP2186132B1 (en) 2007-08-15 2019-11-06 Tessera, Inc. Interconnection element with posts formed by plating
EP2637202A3 (en) 2007-09-28 2014-03-12 Tessera, Inc. Flip chip interconnection with etched posts on a microelectronic element joined to etched posts on a substrate by a fusible metal and corresponding manufacturing method
US20090115047A1 (en) 2007-10-10 2009-05-07 Tessera, Inc. Robust multi-layer wiring elements and assemblies with embedded microelectronic elements
TWI389290B (zh) 2007-11-08 2013-03-11 Ind Tech Res Inst 晶片結構及其製程、晶片堆疊結構及其製程
US8168532B2 (en) 2007-11-14 2012-05-01 Fujitsu Limited Method of manufacturing a multilayer interconnection structure in a semiconductor device
US8435421B2 (en) 2007-11-27 2013-05-07 Cabot Microelectronics Corporation Metal-passivating CMP compositions and methods
JP2009158593A (ja) 2007-12-25 2009-07-16 Tessera Interconnect Materials Inc バンプ構造およびその製造方法
KR20090080623A (ko) 2008-01-22 2009-07-27 삼성전기주식회사 포스트 범프 및 그 형성방법
DE102008007001B4 (de) 2008-01-31 2016-09-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
US20090200668A1 (en) 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
EP2246881A4 (en) 2008-02-22 2014-07-30 Barun Electronics Co Ltd LINK STRUCTURE AND METHOD OF BONDING SUBSTRATES USING THE STRUCTURE
JP4483969B2 (ja) 2008-03-31 2010-06-16 セイコーエプソン株式会社 基板及びその製造方法、半導体装置の製造方法
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
JP5217640B2 (ja) 2008-05-30 2013-06-19 富士通株式会社 プリント配線板の製造方法およびプリント基板ユニットの製造方法
JP2009302095A (ja) 2008-06-10 2009-12-24 Seiko Epson Corp 半導体装置及び半導体装置の製造方法
US20100006987A1 (en) 2008-07-09 2010-01-14 Rajen Murugan Integrated circuit package with emi shield
US20100044860A1 (en) 2008-08-21 2010-02-25 Tessera Interconnect Materials, Inc. Microelectronic substrate or element having conductive pads and metal posts joined thereto using bond layer
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010103329A (ja) 2008-10-24 2010-05-06 Toshiba Corp 半導体装置の製造方法及び半導体装置
US7569935B1 (en) 2008-11-12 2009-08-04 Powertech Technology Inc. Pillar-to-pillar flip-chip assembly
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
KR20100076800A (ko) 2008-12-26 2010-07-06 삼성전자주식회사 전계방출소자 및 그 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP5456545B2 (ja) 2009-04-28 2014-04-02 昭和電工株式会社 回路基板の製造方法
US8242600B2 (en) 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US8415784B2 (en) 2009-06-02 2013-04-09 Napra Co., Ltd. Electronic device, conductive composition, metal filling apparatus, and electronic device manufacturing method
US8115310B2 (en) 2009-06-11 2012-02-14 Texas Instruments Incorporated Copper pillar bonding for fine pitch flip chip devices
US8460794B2 (en) 2009-07-10 2013-06-11 Seagate Technology Llc Self-aligned wafer bonding
JP5465942B2 (ja) 2009-07-16 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8039966B2 (en) 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US8101517B2 (en) 2009-09-29 2012-01-24 Infineon Technologies Ag Semiconductor device and method for making same
US8482132B2 (en) * 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
EP2654075B1 (de) 2010-03-31 2016-09-28 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
US8603862B2 (en) 2010-05-14 2013-12-10 International Business Machines Corporation Precise-aligned lock-and-key bonding structures
US8330272B2 (en) 2010-07-08 2012-12-11 Tessera, Inc. Microelectronic packages with dual or multiple-etched flip-chip connectors
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2963158B1 (fr) * 2010-07-21 2013-05-17 Commissariat Energie Atomique Procede d'assemblage par collage direct entre deux elements comprenant des portions de cuivre et de materiaux dielectriques
US8580607B2 (en) * 2010-07-27 2013-11-12 Tessera, Inc. Microelectronic packages with nanoparticle joining
MX2013001351A (es) 2010-08-05 2013-08-29 Newcastle Innovation Ltd Proceso para preparar dispositivos y peliculas en base a nanoparticulas conductivas.
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174332A (ja) 2011-02-17 2012-09-10 Fujitsu Ltd 導電性接合材料、導体の接合方法、及び半導体装置の製造方法
JP2012174988A (ja) 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
US8580100B2 (en) 2011-02-24 2013-11-12 Massachusetts Institute Of Technology Metal deposition using seed layers
CN103415918A (zh) 2011-03-10 2013-11-27 富士电机株式会社 电子组件以及制造电子组件的方法
JP5882069B2 (ja) 2011-03-29 2016-03-09 エスアイアイ・セミコンダクタ株式会社 半導体装置及びその製造方法
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8426964B2 (en) * 2011-04-29 2013-04-23 Industrial Technology Research Institute Micro bump and method for forming the same
KR102235927B1 (ko) 2011-05-24 2021-04-05 소니 주식회사 반도체 장치
US20120305298A1 (en) 2011-05-31 2012-12-06 Industrial Technology Research Institute Bump with nanolaminated structure, package structure of the same, and method of preparing the same
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) * 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
JP6222909B2 (ja) * 2011-10-07 2017-11-01 キヤノン株式会社 積層型半導体装置、プリント回路板、及びプリント配線板の接合構造
US8916781B2 (en) 2011-11-15 2014-12-23 Invensas Corporation Cavities containing multi-wiring structures and devices
US9269612B2 (en) 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US9040837B2 (en) * 2011-12-14 2015-05-26 Ibiden Co., Ltd. Wiring board and method for manufacturing the same
WO2013094477A1 (ja) 2011-12-19 2013-06-27 パナソニック株式会社 透明導電膜、透明導電膜付き基材及びその製造方法
US8796851B2 (en) 2012-01-05 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad and method of making same
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
FR2987626B1 (fr) 2012-03-05 2015-04-03 Commissariat Energie Atomique Procede de collage direct utilisant une couche poreuse compressible
JP2013206765A (ja) 2012-03-29 2013-10-07 Tanaka Kikinzoku Kogyo Kk ダイボンド用導電性ペースト及び該導電性ペーストによるダイボンド方法
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8772946B2 (en) 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
WO2014004888A2 (en) 2012-06-27 2014-01-03 Sourcing Network International, Llc. Support pillow
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9024205B2 (en) * 2012-12-03 2015-05-05 Invensas Corporation Advanced device assembly structures and methods
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9356066B2 (en) 2013-03-15 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for stacked device and method
CN105190858B (zh) 2013-04-25 2018-11-06 富士电机株式会社 半导体装置及半导体装置的制造方法
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
FR3006236B1 (fr) 2013-06-03 2016-07-29 Commissariat Energie Atomique Procede de collage metallique direct
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
WO2015040798A1 (ja) 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
WO2015079582A1 (ja) * 2013-11-29 2015-06-04 富士通株式会社 基体の接合方法
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9865523B2 (en) 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
FR3021455B1 (fr) 2014-05-21 2017-10-13 St Microelectronics Crolles 2 Sas Procede d'aplanissement d'evidements remplis de cuivre
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
TWI560914B (en) 2014-06-09 2016-12-01 Prolight Opto Technology Corp Improvement structure for light emitting diode package
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US10541152B2 (en) 2014-07-31 2020-01-21 Skyworks Solutions, Inc. Transient liquid phase material bonding and sealing structures and methods of forming same
US9793243B2 (en) 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
KR102267168B1 (ko) 2014-12-02 2021-06-21 삼성전자주식회사 반도체 장치의 제조 방법
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US10294567B2 (en) 2014-12-11 2019-05-21 The Research Foundation For The State University Of New York Electroless copper plating polydopamine nanoparticles
JP6165127B2 (ja) 2014-12-22 2017-07-19 三菱重工工作機械株式会社 半導体装置及び半導体装置の製造方法
US9888584B2 (en) 2014-12-31 2018-02-06 Invensas Corporation Contact structures with porous networks for solder connections, and methods of fabricating same
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US9633971B2 (en) 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10211160B2 (en) 2015-09-08 2019-02-19 Invensas Corporation Microelectronic assembly with redistribution structure formed on carrier
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) * 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10483434B2 (en) 2017-01-03 2019-11-19 Innolux Corporation Display devices and methods for forming display devices
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
JP6680705B2 (ja) 2017-02-10 2020-04-15 キオクシア株式会社 半導体装置及びその製造方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
JP7211722B2 (ja) 2018-06-25 2023-01-24 株式会社ミツトヨ 計測用x線ct装置
US10937755B2 (en) 2018-06-29 2021-03-02 Advanced Micro Devices, Inc. Bond pads for low temperature hybrid bonding
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
CN115943489A (zh) 2020-03-19 2023-04-07 隔热半导体粘合技术公司 用于直接键合结构的尺寸补偿控制
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202236439A (zh) 2020-10-29 2022-09-16 美商英帆薩斯邦德科技有限公司 直接接合方法及結構
WO2022094587A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022147429A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
US20220208650A1 (en) 2020-12-28 2022-06-30 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024504035A (ja) 2020-12-30 2024-01-30 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 直接接合構造体
US20220208702A1 (en) 2020-12-30 2022-06-30 Invensas Bonding Technologies, Inc. Structure with conductive feature and method of forming same
WO2022187402A1 (en) 2021-03-03 2022-09-09 Invensas Bonding Technologies, Inc. Contact structures for direct bonding
EP4315399A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of carrier
EP4315411A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
WO2022212594A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
KR20240028356A (ko) 2021-06-30 2024-03-05 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 결합층에서 라우팅 구조체를 갖는 소자
KR20240036032A (ko) 2021-07-16 2024-03-19 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 접합된 구조물의 광학적 차단 보호 요소
WO2023014616A1 (en) 2021-08-02 2023-02-09 Invensas Bonding Technologies, Inc. Protective semiconductor elements for bonded structures
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
WO2023044308A1 (en) 2021-09-14 2023-03-23 Adeia Semiconductor Bonding Technologies Inc. Method of bonding thin substrates
US20230100032A1 (en) 2021-09-24 2023-03-30 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with active interposer
WO2023069323A1 (en) 2021-10-18 2023-04-27 Adeia Semiconductor Technologies Llc Reduced parasitic capacitance in bonded structures
US20230123423A1 (en) 2021-10-19 2023-04-20 Adeia Semiconductor Bonding Technologies Inc Stacked inductors in multi-die stacking
WO2023070033A1 (en) 2021-10-22 2023-04-27 Adeia Semiconductor Technologies Llc Radio frequency device packages
US20230187412A1 (en) 2021-10-25 2023-06-15 Adeia Semiconductor Bonding Technologies Inc. Power distribution for stacked electronic devices
US20230125395A1 (en) 2021-10-27 2023-04-27 Adeia Semiconductor Bonding Technologies Inc. Stacked structures with capacitive coupling connections
US20230140107A1 (en) 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
US20230132632A1 (en) 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barriers and method of forming same
US20230142680A1 (en) 2021-10-28 2023-05-11 Adeia Semiconductor Bonding Technologies Inc. Stacked electronic devices
US20230207437A1 (en) 2021-11-05 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Multi-channel device stacking
US20230154816A1 (en) 2021-11-17 2023-05-18 Adeia Semiconductor Bonding Technologies Inc. Thermal bypass for stacked dies
US20230154828A1 (en) 2021-11-18 2023-05-18 Adeia Semiconductor Bonding Technologies Inc. Fluid cooling for die stacks
US20230187317A1 (en) 2021-12-13 2023-06-15 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US20230187264A1 (en) 2021-12-13 2023-06-15 Adeia Semiconductor Technologies Llc Methods for bonding semiconductor elements
WO2023114878A1 (en) 2021-12-17 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature for direct bonding and method of forming same
WO2023122513A1 (en) 2021-12-20 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of elements
US20230197560A1 (en) 2021-12-20 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Thermoelectric cooling in microelectronics
WO2023122509A1 (en) 2021-12-20 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Thermoelectric cooling for die packages
WO2023122559A1 (en) 2021-12-22 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Low stress direct hybrid bonding
WO2023122732A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Direct bonding on package substrates
US20230207474A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with interconnect assemblies
WO2023122687A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Apparatuses and methods for die bond control
WO2023129901A1 (en) 2021-12-27 2023-07-06 Adeia Semiconductor Bonding Technologies Inc. Directly bonded frame wafers
WO2023147502A1 (en) 2022-01-31 2023-08-03 Adeia Semiconductor Bonding Technologies Inc. Heat dissipating system for electronic devices
US20230268300A1 (en) 2022-02-24 2023-08-24 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
WO2023178112A1 (en) 2022-03-16 2023-09-21 Adeia Semiconductor Bonding Technologies Inc. Expansion control for bonding
US20230343734A1 (en) 2022-04-25 2023-10-26 Adeia Semiconductor Bonding Technologies Inc. Expansion controlled structure for direct bonding and method of forming same
US20230360950A1 (en) 2022-05-05 2023-11-09 Adeia Semiconductor Bonding Technologies Inc. Gang-flipping of dies prior to bonding
US20230361074A1 (en) 2022-05-05 2023-11-09 Adeia Semiconductor Bonding Technologies Inc. Low temperature direct bonding
US20230369136A1 (en) 2022-05-13 2023-11-16 Adeia Semiconductor Bonding Technologies Inc. Bonding surface validation on dicing tape
WO2023229976A1 (en) 2022-05-23 2023-11-30 Adeia Semiconductor Bonding Technologies Inc. Testing elements for bonded structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN203013712U (zh) * 2013-01-14 2013-06-19 陆伟 一种三维芯片的金属键合结构
WO2016136064A1 (ja) * 2015-02-26 2016-09-01 日本航空電子工業株式会社 電気接続構造および電気接続部材

Also Published As

Publication number Publication date
US11973056B2 (en) 2024-04-30
EP3533083A1 (en) 2019-09-04
TW201830537A (zh) 2018-08-16
CN116825749A (zh) 2023-09-29
CN116960098A (zh) 2023-10-27
US20230132060A1 (en) 2023-04-27
CN109844934A (zh) 2019-06-04
CN116825750A (zh) 2023-09-29
TWI822659B (zh) 2023-11-21
WO2018081293A1 (en) 2018-05-03
US20230335531A1 (en) 2023-10-19
EP3533083A4 (en) 2020-07-01
KR20190062532A (ko) 2019-06-05

Similar Documents

Publication Publication Date Title
US10892246B2 (en) Structures and methods for low temperature bonding using nanoparticles
US9818713B2 (en) Structures and methods for low temperature bonding using nanoparticles
US11973056B2 (en) Methods for low temperature bonding using nanoparticles
EP2243161B1 (en) Semiconductor package and its manufacture
US20120313238A1 (en) Semiconductor chip package assembly and method for making same
CN110600438A (zh) 嵌入式多芯片及元件sip扇出型封装结构及其制作方法
KR20010060304A (ko) 전자기판, 전도성 소자의 형성방법 및 3차원 회로의형성방법, 칩-스케일 패키지의 형성방법, 웨이퍼 레벨패키지의 형성방법, ic 칩/리드 프레임 패키지의형성방법 및 칩-온-플렉스 패키지의 형성방법
TW201631715A (zh) 佈線基板、製造佈線基板之方法及電子組件裝置
JP2017050310A (ja) 電子部品装置及びその製造方法
US20060097400A1 (en) Substrate via pad structure providing reliable connectivity in array package devices
US20090236024A1 (en) Method of manufacturing wiring substrate, and method of manufacturing semiconductor device
TW202414634A (zh) 用於低溫接合的結構和方法
CN219917164U (zh) 半导体封装装置
US11948899B2 (en) Semiconductor substrate structure and manufacturing method thereof
US11917758B2 (en) Substrate structure and manufacturing method thereof, electronic device
TW202320276A (zh) 半導體基板結構及其製造方法
CN115939075A (zh) 半导体封装装置及其制造方法
CN115706058A (zh) 半导体封装装置及其制造方法
JP2012221975A (ja) インターポーザおよびその製造方法
JP2001358173A (ja) 半導体装置及びその製造方法、回路基板並びに電子機器

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: No. 3025 California 95134 Jose fruit Park Avenue

Applicant after: Edya Semiconductor Technology Co.,Ltd.

Address before: No. 3025 California 95134 Jose fruit Park Avenue

Applicant before: Yingfansasa Co.,Ltd.

Address after: No. 3025 California 95134 Jose fruit Park Avenue

Applicant after: Yingfansasa Co.,Ltd.

Address before: No. 3025 California 95134 Jose fruit Park Avenue

Applicant before: INVENSAS Corp.

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant