KR101812389B1 - Pmos 집적을 위한 컬럼 iv 트랜지스터 - Google Patents

Pmos 집적을 위한 컬럼 iv 트랜지스터 Download PDF

Info

Publication number
KR101812389B1
KR101812389B1 KR1020157012262A KR20157012262A KR101812389B1 KR 101812389 B1 KR101812389 B1 KR 101812389B1 KR 1020157012262 A KR1020157012262 A KR 1020157012262A KR 20157012262 A KR20157012262 A KR 20157012262A KR 101812389 B1 KR101812389 B1 KR 101812389B1
Authority
KR
South Korea
Prior art keywords
germanium
concentration
liner
cap
transistor
Prior art date
Application number
KR1020157012262A
Other languages
English (en)
Other versions
KR20150058552A (ko
Inventor
글렌 에이 글라스
아난드 에스 머시
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20150058552A publication Critical patent/KR20150058552A/ko
Application granted granted Critical
Publication of KR101812389B1 publication Critical patent/KR101812389B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

고 농도의 게르마늄을 갖는 소스/드레인 영역을 갖춘 컬럼 IV 트랜지스터를 형성하는 기술이 개시되며, 이 기술은 종래 소자들에 비해 감소된 기생 저항을 나타낸다. 일부 예시적인 실시예에서, 소스/드레인 영역 각각은 박막의 p형 실리콘이나 게르마늄 혹은 SiGe 디포지션을 포함하는데, 이때 소스/드레인 물질 디포지션의 나머지 부분은 p형 게르마늄이나 혹은 게르마늄 합금(예컨대 게르마늄:주석이거나 다른 적절한 변형 유발자로서, 적어도 80원자%의 게르마늄 함량과 20원자% 미만의 다른 성분을 가짐)이다. 일부 실시예에서, 변형 완화(strain relaxation)의 증거는 불합치 전위(misfit dislocation) 및/또는 스레딩 전위(threading dislocation) 및/또는 쌍정(twins)을 포함하는 게르마늄이 풍부한 캡 층에서 관찰될 수 있다. 평면 및 비평면 트랜지스터 구조(예컨대 FinFET 및 나노와이어 트랜지스터) 뿐만 아니라 변형 및 비변형 채널 구조를 포함한 다양한 트랜지스터 구성이 이용될 수 있다.

Description

PMOS 집적을 위한 컬럼 IV 트랜지스터{COLUMN IV TRANSISTORS FOR PMOS INTEGRATION}
관련 출원
본 출원은 2010년 12월 21일 출원된 미국 출원 번호 제12/975,278호의 일부 계속 출원이며, 그 우선권을 주장한다.
트랜지스터, 다이오드, 저항, 캐패시터, 그리고 반도체 기판 위에 형성된 그 밖의 수동 및 능동 전자 소자들을 포함하는 회로 소자의 성능 향상은 전형적으로 이 소자들의 설계, 제조 및 동작 동안에 고려되는 주요 요소이다. 예를 들어, 상보형 금속 산화물 반도체(CMOS)에 이용되는 금속 산화물 반도체(MOS) 트랜지스터 반도체 소자의 설계, 제조 또는 형성 동안에는 종종 외부 저항 Rext로 알려진 콘택트 관련 기생 저항을 최소화시키는 것이 바람직하다. Rext를 감소시키면, 등가 트랜지스터 설계에서도 더 높은 전류가 가능하다.
도 1은 소스 및 드레인 팁 영역을 포함하는 전형적인 MOS 트랜지스터의 저항의 성분들을 개략적으로 예시한다.
도 2는 본 발명의 일 실시예에 따른 컬럼 IV 트랜지스터를 형성하는 방법이다.
도 3a 내지 도 3f는 본 발명의 일 실시예에 따라 도 2의 방법을 수행할 때 형성되는 구조들을 예시한다.
도 4a 내지 도 4g는 각각 본 발명의 일 실시예에 따라 형성된 FinFet 트랜지스터 구조들의 투시도를 도시한다.
도 5a 및 도 5b는 각각 본 발명의 일 실시예에 따라 형성된 나노와이어 트랜지스터 구조의 투시도를 도시한다.
도 6은 본 발명의 예시적인 일 실시예에 따라 하나 이상의 트랜지스터 구조로 구현된 컴퓨터 시스템을 예시한다.
이해할 수 있듯이, 도면들이 반드시 정규 규격대로 그려지거나 또는 특허청구된 발명을 도시된 특정 구성으로 한정하려는 의도로 그려진 것은 아니다. 예를 들어, 몇몇 도면이 전반적으로 직선, 직각 및 평활면을 나타내고 있지만, 실제 트랜지스터 구조의 구현물은 완벽한 직선과 직각이 아닐 수도 있으며, 이용되는 현실적인 처리 장비 및 기술의 제한으로, 일부 특징들은 표면 토폴로지(surface topology)를 가질 수 있거나 평활하지 않을 수도 있다. 요컨대, 도면들은 단지 예시적인 구조를 보여주기 위해 제공된다.
고 농도의 게르마늄을 갖는 소스/드레인 영역을 갖춘 컬럼 IV 트랜지스터를 형성하는 기술이 개시되며, 이 기술은 종래 소자들에 비해 감소된 기생 저항을 나타낸다. 일부 예시적인 실시예에서, 최종 트랜지스터 구조의 소스/드레인 영역의 각각은 박막의 p형 실리콘 혹은 게르마늄 혹은 실리콘 게르마늄(SiGe) 라이너 층을 포함하는데, 이때 소스/드레인 재료의 나머지 부분은 p형 게르마늄이나 혹은 예컨대 적어도 80원자%의 게르마늄 함량(그리고 20원자% 미만의 다른 성분, 예컨대 주석 및/또는 다른 적절한 변형 유발자)을 갖는 예컨대 게르마늄과 주석을 포함하는 게르마늄 합금이다. 일부 실시예에서, 변형 완화(strain relaxation)의 증거는 불합치 전위(misfit dislocation) 및/또는 스레딩 전위(threading dislocation)를 포함하는 이러한 게르마늄 충만 층(germanium rich layer)에서 관찰될 수 있다. 변형된 채널(strained channel) 구조와 비변형된 채널(unstrained channel) 구조 뿐만 아니라 평면 트랜지스터 구조 및 비평면 트랜지스터 구조(예컨대 FinFETs 및 나노와이어 트랜지스터)를 포함하는 다양한 트랜지스터 구성 및 적절한 제조 공정이 본 개시물의 견지에서 명확해질 것이다. 비록 다른 트랜지스터 구성도 본 기술로부터 마찬가지의 이점을 가질 수 있겠지만, 본 기술은 특히 p형 MOS(PMOS) 소자를 구현하는데 특히 적합하다.
개요
전술한 것처럼, 트랜지스터의 구동 전류 증가는 소자 외부 저항 Rext을 줄임으로써 달성될 수 있다. 그러나, 도 1에서 알 수 있는 바와 같이, PMOS 트랜지스터 성능은 소자 내부의 다양한 구성요소의 저항들의 함수이다. 채널 저항 R1은 캐리어 이동도를 통해 조절되는데, 이것은 채널 내부의 압축 변형(compressive strain)의 함수이다. 소자의 외부 저항 Rext은 팁 저항 R2(팁 영역은 소스/드레인 확장부로도 불림), 소스/드레인 저항 R3 및 (금속 대 반도체) 콘택트 저항 R4을 포함한다. 이러한 분할된 저항들 모두는 물질적 요소(예컨대 경계면 양단의 에너지 장벽, 캐리어 농도 및 이동도), 기하학적 구조 요소(예컨대 길이, 폭 등등) 및 동적 전기 부하 요소(전류 폭주(current crowding))를 갖는다.
따라서, 본 발명의 일부 실시예에 따르면, 소스/드레인 영역의 전형적인 실리콘 또는 SiGe 합금 물질을 p형 박막 라이너(p-type thin linear) 및 고 함량(매우 높은 p형 도핑 농도)의 게르마늄으로 대체함으로써 외부 저항 요소들(R2, R3 및 R4)을 최소화시킨다. 또한, 높은 압축 변형 물질을 도입함으로써, 채널 홀 이동도가 최대화되거나 그렇지 않으면 증가되어, 결과적으로 채널 저항(R1)을 감소시킨다. 감소된 채널, 팁, 소스/드레인 및 콘택트 저항의 최종 영향은 소정 전압에 대해(임계 전압 Vt에 대해, 즉, V-Vt) 트랜지스터 전류가 개선되는 것이다.
일부 실시예에서, 박막 라이너는 p형 도핑된 실리콘 혹은 게르마늄 혹은 SiGe 합금이며, 일반적으로 소스/드레인 디포지션 층의 총 두께의 50% 미만이다. 나머지 소스/드레인 디포지션 층의 두께는 전체 소스/드레인 디포지션 층 두께의 50%보다 더 큰데, 이 나머지 층은 예를 들면 p형 도핑된 게르마늄이나 혹은 예컨대 적어도 80원자%의 게르마늄과 20원자% 이하의 다른 성분(예컨대 주석 및/또는 다른 적절한 변형 유발자 및/또는 다른 부차적인 의도하지 않은 성분)을 갖는 게르마늄:주석 혹은 게르마늄:주석:x(이 x는 실리콘이나 다른 부차적인 성분 혹은 프로세스/확산-기반 인공 산물임)같은 게르마늄 합금일 수 있다. 일부 특정 실시예로, 고 농도 게르마늄 캡에 대한 소스/드레인 라이너의 두께 비율은 약 1:5 이하이다(여기에서 라이너는 전체 소스/드레인 디포지션 층 두께의 약 20% 이하를 구성한다). 일부 경우, 라이너 두께는 한 개 내지 수 개의 모노층(monolayers)이다.
이 기술은 많은 장치 및 시스템에서 트랜지스터 소자를 형성하는데 이용될 수 있다. n형 MOS(NMOS) 트랜지스터와 PMOS 트랜지스터를 둘 다 포함하는 CMOS 소자같은 일부 실시예에서, 다양한 방식으로 선택도(selectivity)가 달성될 수 있다. 일 실시예로, 예를 들면, NMOS 소스/드레인 위치 상의 디포지션은 PMOS 디포지션 동안에 NMOS 영역을 마스크 오프함으로써 방지될 수 있다. 다른 실시예에서, 선택도는 자연발생적인 선택도를 포함할 수도 있다. 예를 들면, 보론 도핑된 게르마늄이 p형 SiGe(혹은 실리콘) 소스/드레인 영역 위에서 성장하는 동안, 이것은 실리콘 다이옥사이드(SiO2) 혹은 실리콘 나이트라이드(SiN)같은 절연체 표면 위에는 성장하지 않으며, 예컨대 n형 영역내의 인이 강하게 도핑된 노출된 실리콘 위에도 성장하지 않는다.
본원에 제시된 기술은 변형된 및 비변형된 채널 구조 뿐만 아니라 평면형, 평탄형 또는 융기형 소스/드레인, 비평면형(예컨대 이중 게이트 및 3차원 게이트 트랜지스터 구조같은 핀형(finned) 트랜지스터 및 나노와이어 트랜지스터)를 포함한 많은 트랜지스터 구조와 구성에서 소자 저항을 개선하기 위해 채용될 수 있다. 소스/드레인 영역은 (예컨대 에치 프로세스를 이용하여) 함몰될 수도 있고 혹은 함몰되지 않을 수도 있다(예컨대 기판의 최상부 표면상에 형성됨). 또한, 트랜지스터 소자는 짧은 채널 효과(SCE;short channel effect)를 개선하는 동시에 트랜지스터의 총 저항을 감소시키도록 설계된 소스 및 드레인 팁 영역을 선택적으로 포함할 수도 있지만, 이 팁 영역이 필수적인 것은 아니다. 또한 트랜지스터 소자는 많은 게이트 구성을 포함할 수 있는데, 예를 들면, 폴리게이트, 하이-k 유전 금속 게이트, 대체 금속 게이트(RMG;replacement metal gate) 공정 게이트 또는 임의의 다른 게이트 구조를 포함할 수 있다. 많은 구조적 특징이 본원에 설명된 저 저항 트랜지스터 기술과 함께 이용될 수 있다.
일부 실시예에 따르면, 실리콘과 SiGe의 에피택셜 합금의 프로파일은 고 농도 게르마늄 프로파일로부터 쉽게 구별될 수 있으므로, 게이트 라인에 수직인 투과 전자 현미경(TEM;transmission electron microscopy) 단면 또는 2차 이온 질량 분석(SIMS;secondary ion mass spectroscopy) 프로파일이 구조내의 게르마늄 농도를 보여주기 위해 이용될 수 있다. 이러한 실리콘 함유 기판인 경우, 변형된(전위가 없는(dislocation-free)) 소스/드레인 영역을 유지하기 위한 전형적인 조건을 포기함으로써, 소스/드레인 충진재와 실리콘 채널 사이의 격자 디멘젼 불일치는 순수 게르마늄에 비해 적어도 2X 만큼 증가될 수 있고, 게르마늄-주석 합금의 경우에는그 이상일 수 있다. 게르마늄이 충분한 캡 층에 전위가 존재하는 경우에는 100%가 아닌 변형을 채널로 이동시킬 수 있는 반면, 디포지션 후 열처리는 변형된 SiGe 제어와 비교해 (본원에 설명된 것처럼) 완화막에 대해서도 선명한 트랜지스터 성능(V-V1의 조건에서 전류) 이득을 제공하는데 이용될 수 있다. 알 수 있듯이, 완화라는 것은 일반적으로 막이 불합치 전위를 제공할 수 있음을 의미하지만, 이것은 또한 전위 형성 및 전파와 연관된 가소성 완화 메커니즘(plastic relaxation mechanism)을 말할 수도 있다. 탄성 완화 프로세스(process of elastic relaxation)는 변형된 재료가 기판에 의해 완전히 억제되지 않는 예컨대 FinFET(예를 들면 3차원 게이트)와 나노와이어 구조같은 비평면 구성에서 가능해진다. 따라서, 평면내 격자 상수는 기판과 무관하게 확장 혹은 수축시키는데 보다 더 융통성을 가지며, 이 프로세스는 불합치 전위의 형성 및 전파를 요구하지 않는다. 본원에서는 이후부터 완화라는 단어가 가소성 완화의 의미로 이용되며, 탄성 완화의 의미로 사용되지 않는다. 본원에 설명된 것처럼 고 농도 게르마늄 캡을 합금하기 위해 주석 또는 다른 적절한 변형 유발자를 사용하는 것은 채널 영역 내의 변형을 중가시키기 위해 선택적으로 이용될 수 있고, 이로써 도 1의 저항 R1의 감소를 통해 총 소자 저항을 더욱 줄일 수 있다. 보다 더 잘 이해될 수 있듯이, 결함이 없는 순수 게르마늄이 바람직할 것이지만, 예컨대 실리콘 기판 또는 소위 50원자% 게르마늄을 갖는 SiGe 기판일지라도 디포지션에 결함이 없도록 성장시키는 것은 일반적으로 어렵다. 그러나 의외로 만약 전형적으로 완전히 변형된 SiGe 층과 일부 결함을 갖는(예컨대 불합치 및/또는 스레딩 전위를 갖는) 게르마늄 충만 층의 성능을 비교해 보면, 결함이 있는 게르마늄 충만 층의 성능이 더 낫다. 이해할 수 있듯이, 이것은 박막에 대한 통상적인 수준의 해석과 상반되므로, 이 결과는 일반적으로 직관에 의한 것이 아니다. 어쨌든, 본 발명의 일부 실시예가 불합치 전위, 스레딩 전위 및 쌍정(쌍정면을 가로질러 격자 배열의 변화로 인해 초래되는 결함)같은 결정 특성을 갖추지 못한 게르마늄이 충만 캡을 포함하는 반면, 다른 실시예들은 이러한 결정 특정을 하나 이상 갖는 게르마늄 충만 층을 포함할 수 있다.
아키텍처 및 방법론
도 2는 본 발명의 일 실시예에 따른 컬럼 IV 트랜지스터를 형성하는 방법이다. 도 3a 내지 도 3f는 본 발명의 다양한 실시예에 따라 도 2의 방법을 수행할 때 형성되는 예시적인 구조들을 예시한다. 하나 이상의 이러한 트랜지스터는 예컨대 프로세서 또는 통신 칩 또는 메모리 칩의 제조시에 형성될 수 있을 것이다. 이때 이 집적회로들은 다양한 전자 장치 및 시스템내에 이용될 수 있다.
예시적인 방법은 하나 이상의 게이트 스택을 MOS 소자가 형성될 반도체 기판 위에 형성하는 단계(202)를 포함한다. MOS 소자는 예를 들면 PMOS 트랜지스터를 포함하거나, 혹은 (예컨대 CMOS 소자인 경우) NMOS 트랜지스터와 PMOS 트랜지스터 모두를 포함할 수 있다. 도 3a는 기판(300) 위에 형성괸 PMOS 트랜지스터를 포함하는 예시적인 구조를 도시한다. 도면에서 알 수 있듯이, 게이트 스택은 채널 영역 위에 형성되며, 게이트 유전층(302), 게이트 전극(304) 및 선택적 하드 마스크(306)를 포함한다. 스페이서(310)는 게이트 스택에 인접하여 형성된다.
게이트 유전층(302)은 예컨대 실리콘 옥사이드(SiO2)같은 임의의 적절한 산화물이거나 또는 하이-k 게이트 유전 물질일 수 있다. 하이-k 유전 물질의 예로서, 예컨대 하프늄 옥사이드(hafnium oxide), 하프늄 실리콘 옥사이드, 란타늄 옥사이드(lanthanum oxide), 란타늄 알루미늄 옥사이드(lanthanum aluminum oxide), 지르코늄 옥사이드(zirconium oxide), 지르코늄 실리콘 옥사이드, 탄탈룸 옥사이드(tantalum oxide), 티타늄 옥사이드(titanium oxide), 바륨 스트론튬 티타늄 옥사이드(barium strontium titanium oxide), 바륨 티타늄 옥사이드(barium titanium oxide), 스트론튬 티타늄 옥사이드(strontium titanium oxide), 이트륨 옥사이드(yttrium oxide), 알루미늄 옥사이드(aluminum oxide), 리드 스칸듐 탄탈룸 옥사이드(lead scandium tantalum oxide) 및 리드 징크 니오베이트(lead zinc niobate) 등이 있다. 일부 실시예에서, 하이-k 물질이 이용될 때 어닐링 프로세스가 게이트 유전층(302)에 수행되어 그 품질을 개선시킬 수도 있다. 일부 특정 실시예에서, 하이-k 게이트 유전층(302)은 5Å 내지 약 100Å 범위의 두께를 가질 수 있다(예를 들면, 10Å). 다른 실시예에서, 게이트 유전층(302)은 모노층 두께의 산화물일 수 있다. 일반적으로, 게이트 유전층(302)의 두께는 게이트 전극(304)을 소스 및 드레인 콘택트로부터 절연시키기에 충분해야 한다. 일부 실시예에서, 하이-k 물질의 품질을 개선하기 위해 어닐링 프로세스같은 추가적인 공정이 하이-k 게이트 유전층(302)에 대해 수행될 수도 있다.
게이트 전극(304)의 재료는 다른 적절한 게이트 전극 재료가 마찬가지로 이용될 수 있다 하더라도 예를 들면 폴리실리콘, 실리콘 나이트라이드, 실리콘 카바이드(silicon carbide) 또는 금속층(예컨대 텅스텐, 티타늄 나이트라이드, 탄탈륨, 탄탈룸 나이트라이드)일 수 있다. 이후에 RMG 프로세스 동안 제거되는 희생 물질일 수도 있는 게이트 전극(304)의 재료는 일부 실시예에서 약 10Å 내지 약 500Å 범위의 두께를 갖는다(예를 들면, 10Å).
선택적 게이트 하드 마스크 층(306)은 공정동안 이용하거나 특정 이점을 제공하기 위해 이용되는데, 예컨대 후속 에치 및/또는 이온 주입 프로세스시에 게이트 전극(304)을 보호하기 위해 이용될 수 있다. 하드 마스크 층(306)은 예컨대 실리콘 다이옥사이드, 실리콘 나이트라이드 및/또는 다른 종래의 절연물처럼 전형적인 하드 마스크 재료를 이용하여 형성될 수 있다.
게이트 스택은 통상적인 방법이나 모든 적절한 맞춤형 기술에 의해 형성될 수 있다(예컨대 도 2a에 도시된 것처럼 게이트 스택을 형성하기 위해 게이트 전극과 게이트 유전층의 일부들을 에칭으로 제거하는 종래의 패터닝 프로세스). 게이트 유전층(302)의 재료와 게이트 전극(304)의 재료 각각은 예컨대 화학 기상 증착(CVD), 원자층 증착(ALD), 스핀-온 증착(SOD) 혹은 물리 기상 증착(PVD)같은 종래의 디포지션 프로세스를 이용하여 형성될 수 있다. 대안적인 디포지션 기술도 마찬가지로 이용될 수 있는데, 예를 들면, 게이트 유전층(302)과 게이트 전극(304) 물질이 열적으로 성장될 수도 있다. 본 개시물의 견지에서 잘 이해될 수 있듯이, 본원에 설명된 것처럼 저 저항 트랜지스터 소자 또는 구조를 제공하기 위해 많은 다른 적절한 물질, 기하학적 구조 및 형성 프로세스가 본 발명의 실시예를 구현하는데 이용될 수 있다.
스페이서(310)는 예컨대 실리콘 옥사이드, 실리콘 나이트라이드 또는 다른 적절한 스페이서 물질같은 종래의 재료들을 이용하여 형성될 것이다. 스페이서(310)의 폭은 일반적으로 형성되는 트랜지스터와 관련한 설계 요건에 기반하여 선정될 것이다. 그러나 일부 실시예에서, 소스/드레인 팁 영역에 충분히 높은 p형 도핑된 게르마늄 성분(예컨대 보론 도핑된 게르마늄) 또는 SiGe 합금 라이너가 제공될 경우, 스페이스(310)의 폭은 소스 및 드레인 팁의 형성에 의해 부과되는 설계 제약에 영향을 받지 않는다.
벌크 기판, 절연층 상의 반도체 기판(XOI, 여기에서 X는 실리콘, 게르마늄 혹은 게르마늄 충만 실리콘이다), 그리고 후속 게이트 패터닝 프로세스 이전에 핀이나 나노와이어가 형성될 수 있는 기판을 포함하는 다층 구조 등을 포함한 많은 적절한 기판이 기판(300)을 구현하는데 이용될 수 있다. 일부 특정 실시예로, 기판(300)은 게르마늄 혹은 실리콘 혹은 SiGe 벌크 기판이거나, 또는 옥사이드 기판 상의 게르마늄 혹은 실리콘 혹은 SiGe 기판이다. 비록 예시적인 몇 개의 물질로부터 기판(300)이 형성되는 것처럼 본원에 설명되어 있을지라도, 저 저항 트랜지스터 소자가 구축될 수 있는 토대의 역할을 할 수 있는 다른 적절한 재료들도 청구된 발명의 사상과 범주에 속하는 것이다.
도 3a를 다시 참조하면, 하나 이상의 게이트 스택이 형성된 이후에, 본 방법은 일부 선택적인 프로세싱으로 진행하는데, 본 실시예에서는 트랜지스터 구조의 소스/드레인 영역을 에칭하는 단계(204)와, (만약 존재한다면) 이 구조의 NMOS 소스/드레인 영역을 마스크-오프하는 단계(206)를 포함한다. 인지할 수 있듯이, 소스/드레인 영역이 반드시 함몰되거나 에칭될 필요는 없다. 이런 경우에, 비함몰된 소스/드레인 영역은 에칭없이 기판(300)에 형성될 수 있다. 이러한 비함몰형 소스/드레인 영역은 채널 저항에 영향을 주지 않는 반면, 일부 실시예에 따라서 얇은 라이너와 고 농도 게르마늄 캡을 갖는 이중층 소스/드레인 구조는 여전히 낮은 콘택트 저항을 제공하도록 구현될 수 있다. 또한 인지될 수 있듯이, 모든 실시예가 n형 영역을 포함하는 것은 아닐 것이다. 일부 실시예의 경우, 예컨대 제조중인 회로가 PMOS 소자만 포함할 수도 있을 것이다. 이러한 일부 실시예인 경우에는, 마스트-오프될 n형 소스/드레인 영역이 존재하지 않을 수 있다. n형 영역이 제공될 때, p형 프로세싱동안에 n형 영역을 보호하도록 임의의 적절한 마스킹 기술이 이용될 수 있다.
예시적인 실시예에서, 소스/드레인 영역이 에칭되는 경우, 소스/드레인 캐비티(312/314)가 도 3a에 잘 도시된 것처럼 초래된다. 이 캐비티는 소스/드레인 영역의 위치를 효과적으로 정의한다. 도면에 보이는 바와 같이, 기판(300)이 에칭 처리되어 소스/드레인 캐비티(312/314)만 제공하는 것이 아니라 게이트 유전층(302)을 언더컷한 각각의 팁 영역(312A/314A)도 제공하고 있다. 캐비티(312/314)와 각각의 팁 영역(312A/314A)은 많은 적절한 프로세스를 이용하여 종래처럼 처리되어 형성될 수 있다. 일부 실시예의 경우, 이 처리 과정으로서, 게이트 스택에 인접한 기판(300)의 부분을 고 농도로 도핑하도록 이온 주입을 하고 도펀트가 기판(300)의 먼 곳까지 퍼지도록 어닐링함으로써 의도된 소스/드레인 영역의 에칭률을 개선하는 것을 포함한다. 이후, 기판(300)의 도핑된 영역을 에치하여 캐비티(312/314)와 각각의 팁 영역(312A/314A)을 형성화도록 건식 에치 프로세스가 이용될 수 있다. 건식 에치 프로세스가 완료된 이후에는, 예컨대 캐비티(312/314)와 각각의 팁 영역(312A/314A)을 정리하고 더 에칭하도록 습식 에치가 이용될 수도 있다. 종래의 습식 에칭 화학 물질 혹은 맞춤형 습식 에칭 화학 물질을 이용하여 수행될 수 있는 이러한 습식 에칭은 예를 들면 탄소, 불소(fluorine), 염화불화탄소(chlorofluorocarbon) 및 예컨대 실리콘 옥사이드같은 산화물 등의 오염물질을 제거하여 후속 처리가 수행될 깨끗한 표면을 제공하는데 이용될 수 있다. 또한, 단결정 실리콘 기판을 가정하면, 고품질의 에피택셜 디포지션이 발생할 매끄로운 표면을 제공하기 위해 <111> 및 <001> 결정면과 함께 기판(300)의 박막부분을 제거하도록 습식 에칭이 이용될 수도 있다. 일부 예시적인 경우, 에칭으로 제거되는 기판(300)의 박막부분은 예를 들면 최대 5nm의 두께까지이고, 잔여 오염물질도 제거될 수 있다. 습식 에칭은 일반적으로 캐비티(312/314)와 각각의 팁 영역(312A/314A)의 에지(edges)가 <111> 및 <001> 결정면을 따르도록 만든다.
도 2를 다시 참조하면, 본 방법은 p형 실리콘 혹은 게르마늄 혹은 p형 소스/드레인 영역내의 SiGe 라이너(313/315)를 디포지트하는 단계(208)로 진행한 다음, 라이너(313/315) 위 p형 소스/드레인 영역 내부에 p형 게르마늄 혹은 게르마늄 합금을 디포지트하는 단계(210)로 진행한다. 이러한 디포지트 단계의 각각은 비록 임의의 적절한 디포지션 프로세스가 이용될 수 있다하더라도 예컨대 선택적 에피택셜 디포지션을 이용하여 수행될 수 있다. 도 3b를 참조하여 알 수 있듯이, p형 실리콘 혹은 게르마늄 혹은 SiGe 라이너(313/315)가 캐비티(312/314)와 그 각각의 팁 영역(312A/314A) 내부에 디포지트된다. 또한, 도 3c에 잘 도시된 바와 같이, 캐비티(312/314)와 그 각각의 팁 영역(312A/314A)은 p형 라이너(313/315) 위에 p형 게르마늄 혹은 게르마늄 합금(318/320)으로 이루어진 후막의 덮개층이 제공되도록 충진된다. 예시적인 p형 도펀트로서, 예컨대 보론, 갈륨 혹은 다른 임의의 적절한 p형 도펀트를 들 수 있으며, 인지될 수 있듯이, 청구된 본 발명은 임의의 특정한 도펀트를 한정하도록 의도되지 않았다.
기판(300)이 실리콘 혹은 SiGe 벌크 기판이거나 혹은 절연층 상의 반도체 기판(XOI, 여기에서 X는 실리콘 혹은 SiGe이다)인 특정한 일부 예시적인 실시예에 따르면, 소스 및 드레인 캐비티(312/314)와 함께 그들의 각각의 팁 영역(312A/314A)은 인 시추 보론 도핑된 실리콘 혹은 SiGe로 충진되고, 이로써 대응하는 라이너(313/315)가 형성된 다음, 인 시추 도핑된 게르마늄 혹은 게르마늄 충만 합금으로 충진되어 캡(318/320)을 제공하게 된다. 기판(300)이 게르마늄 벌크 기판이거나 혹은 절연층 상의 게르마늄 기판인 다른 예시적인 실시예에서, 소스 및 드레인 캐비티(312/314)와 함께 그들의 각각의 팁 영역(312A/314A)은 인 시추 보론 도핑된 게르마늄으로 충진되고, 이로써 대응하는 라이너(313/315)가 형성된 다음, 인 시추 도핑된 게르마늄 충만 합금(예컨대 게르마늄:주석)으로 충진되어 캡(318/320)을 제공하게 된다. 본 개시물의 견지에서 인지될 수 있듯이, 라이너(313/315)와 캡(318/320)의 각각의 게르마늄 및 p형 도펀트 농도는 기판(300)의 성분, 격자 정합/양립 가능성을 위한 구배형 농도(grading)의 이용 및 전체 소스/드레인 디포지션의 바람직한 총 두께 등과 같은 요인에 따라 가변될 수 있다. 본 개시물의 견지에서 인지될 수 있듯이, 다양한 물질계와 P형 도핑 구성이 구현될 수 있다.
예를 들어, 실리콘 혹은 게르마늄 혹은 SiGe 기판을 갖는 일부 실시예에서, 라이너(313/315)의 게르마늄 농도는 20원자% 내지 100원자%의 범위내일 수 있으며, 보론 농도는 1E20cm-3 내지 2E21cm-3의 범위내이다. 하부 실리콘-함유 기판과의 격자 부정합을 피하기 위해, 라이너(313/315)의 게르마늄 농도는 일부 실시예에 따라서 구배형일 수 있다. 예를 들면, 일부 실시예로, 라이너(313/315)는 게르마늄 성분이 하부 실리콘 혹은 SiGe 기판(300)과 호환 가능한(compatible) 기준 레벨 농도에서부터 최대 100원자%까지(혹은 예컨대 90원자%, 95원자% 혹은 98원자%를 초과하는 거의 100원자%에 근접하도록) 점차적으로 변하는 구배형 보론 도핑된 SiGe 층일 수 있다. 이러한 일부 특정 실시예로, 게르마늄 농도 범위는 40원자% 이하에서부터 98원자%를 초과하는 범위일 수 있다. 라이너(313/315) 내부의 보론 농도는 예컨대 고 레벨로 고정될 수 있으며, 혹은 선택적으로 구배형일 수 있다. 예컨대, 라이너(313/315) 내부의 보론 농도는 기준 농도 혹은 하부 기판(300)과 호환 가능한 농도에서부터 원하는 고 농도(예를 들면, 1E20cm-3 초과, 2E20cm-3 초과 혹은 5E20cm-3 초과)까지 점차적으로 변할 수 있다. 일부 이러한 실시예로, 보론 도핑된 게르마늄 캡(318/320)은 1E20cm-3를 초과하는 보론 농도, 예컨대 2E20cm-3 초과 혹은 2E21cm-3 초과하거나 더 높은 보론 농도를 갖는다. 이러한 캡(318/320)의 보론 농도는 라이너(313/315)를 참조하여 설명된 것과 유사한 방식으로 점차적으로 변할 수 있다. 보다 더 일반적인 의미로, 본 개시물의 견지에서 인지될 수 있듯이, 보론 농도는 원하는 도전성 정도를 제공하기 위해 필요에 따라 조정될 수 있다. 캡(318/320)의 게르마늄 농도는 예컨대 100원자%로 고정될 수 있다. 선택적으로, 본 개시물의 견지에서 인지될 수 있듯이, 라이너(313/315) 사이의 격자 부정합과 캡(318/320)의 원하는 피크 게르마늄 농도를 고려하여 캡(318/320)의 게르마늄 농도는 저 농도에서 고 농도(예컨대 20원자%에서 100원자%)로 점차적으로 변할 수 있다. 또다른 실시예로, 캡(318/320)은 최대 80원자%의 게르마늄과 최대 20원자%의 합금 재료가 혼합된 게르마늄 합금으로 구현될 수 있는데, 일부 실시예에서 합금재료는 주석이다. 이해될 수 있듯이, 주석 농도(혹은 다른 합금 재료)도 구배형일 수 있음을 유의하라. 이런 경우에, 캡(318/320)속의 3 내지 8원자% 범위의 주석 농도(사실상 게르마늄과 임의의 구배형 물질인 캡(318/320)의 평형 원자 백분률)로 채널 변형이 증가된다. 완화(relaxation)에도 불구하고, 격자 상수는 여전히 크며 인접 채널에 상당한 변형을 줄 수 있다. 다른 적절한 주석 농도가 명확해질 것이며, 다른 적절한 변형 유발자도 명확해질 것이다.
순수 게르마늄 기판이라면 라이너(313/315)는 게르마늄으로 구현될 수 있으며, 구배형이지 않아도 됨을 유의하라. 이런 경우, 라이너(313/315)의 게르마늄 농도는 고정될 수 있으며(예컨대 100원자%), 캡(318/320)은 게르마늄 합금(예컨대 게르마늄:주석, 혹은 전술한 것처럼 다른 적절한 게르마늄 합금)으로 구현될 수 있다. 전술한 바와 같이, 캡(318/320)의 게르마늄 농도(혹은 주석이나 다른 합금 재료 농도)는 원하는 채널 변형을 일으키도록 구배형일 수 있다. 이런 실시예에서, 게르마늄 라이너(313/315)는 게르마늄 합금 캡(318/320)에 실질적으로 집적될 수 있으며, 그렇지 않으면 소스/드레인 영역 디포지션과 구별되지 않는 성분일 수 있다.
구배형 농도와 관련하여, 본원에서 이용되는 양립 가능성이 반드시 농도 레벨의 중첩을 필요로 하는 것은 아님을 주의하라(예를 들면, 하부 기판의 게르마늄 농도가 0에서 20원자%일 수 있고, 라이너(313/315)의 초기 게르마늄 농도가 30 내지 40원자%일 수 있다). 또한, 본원에 사용되듯이, 농도 레벨과 관련하여 "고정(fixed)"이라는 용어는 비교적 일정한 농도 레벨을 나타내기 위한 것이다(예를 들면, 이 층에서 최저 농도 레벨은 그 층의 최고 농도 레벨의 10% 이내이다). 더 일반적으로, 고정된 농도 레벨은 의도적으로 점차 변하는 농도 레벨이 없다는 것을 나타내기 위한 것이다.
라이너(313/315)와 캡(318/320)의 두께는 또한 기판(300)의 성분, 격자 정합/양립 가능성을 위한 구배형 농도의 이용 및 전체 소스/드레인 디포지션의 바람직한 총 두께 등과 같은 요인에 따라 가변될 수 있다. 일반적으로, 라이너(313/315)는, 게르마늄 성분을 거의 갖지 않거나 혹은 조금 갖는 기판(300)과 양립 가능하게 하기 위해서 점증형 게르마늄 성분으로 구성되는 경우에 더 두껍다. 기판(300)이 게르마늄 기판이거나 혹은 비교적 고 농도의 게르마늄을 포함하는 다른 경우에는, 라이너(313/315)가 점증형일 필요가 없으며, 그러므로 비교적 박막이다(1 내지 수 개의 모노층). 기판이 게르마늄 성분을 갖지 않거나 조금 갖는 또다른 경우에는, 라이너(313/315)가 비교적 박막의 실리콘이나 저 농도의 게르마늄 성분 물질을 갖도록 구현될 수 있고, 캡(318/320)은 양립 가능성의 필요에 따라 구배형일 수 있다. 이러한 경우, 라이너(313/315)는 일반적으로 전체 소스/드레인 디포지션 층 두께의 50% 미만을 구성하고, 나머지 소스/드레인 디포지션 층 두께는 일반적으로 전체 소스/드레인 디포지션 층 두께의 50%를 초과한다. 라이너(313/315)가 구배형이 아닌 예시적인 실시예에 따르면, 라이너(313/315) 대 캡(318/320)의 두께비는 약 2:5 이하이다(즉, 라이너가 전체 소스/드레인 디포지션 층 두께의 약 40% 이하를 구성한다). 일부 특정 실시예에서, 라이너(313/315) 대 캡(318/320)의 두께비는 약 1:5 이하이다(즉, 라이너가 전체 소스/드레인 디포지션 층 두께의 약 20% 이하를 구성한다). 특정 일 실시예에서, 라이너(313/315)의 두께는 1 내지 수 개의 모노층에서 약 10nm까지의 범위내이고, 전체 소스/드레인 디포지션 층 두께는 50 내지 500nm의 범위내이다. 소스/드레인 라이너와 캡의 다양한 기하학적 구조와 물질 구성은 본 개시물의 견지에서 명확할 것이다.
본 개시물의 견지에서 인지될 수 있듯이, 많은 다른 트랜지스터 특징들이 본 발명의 실시예로 구현될 수 있다. 예를 들면, 채널은 변형 또는 비변형일 수 있고, 소스/드레인 영역은 대응하는 소스/드레인 영역과 채널 영역 사이에 형성되는 팁 영역을 포함할 수도 포함하지 않을 수도 있다. 이런 점에서, 트랜지스터 구조가 변형 혹은 비변형 채널을 갖는지 혹은 소스/드레인 팁 영역이 갖는지 혹은 소스/드레인 팁 영역을 갖지 않는지 등은 본 발명의 다양한 실시예와 특별한 관련성이 없으며, 청구된 발명은 이러한 임의의 특별한 구조적 특징에 제한되도록 의도되지 않는다. 그 보다는, 많은 트랜지스터 구조 및 유형, 특히 p형 소스/드레인 트랜지스터 영역을 갖거나 n형과 p형의 소스/드레인 트랜지스터 영역을 모두 갖는 트랜지스터 구조는 본원에 설명된 것처럼 라이너와 고 농도 게르마늄 캡을 갖는 이중층 소스/드레인 구성을 채용함으로써 이점을 가질 수 있다.
CVD 프로세스 혹은 다른 적절한 디포지션 기술이 디포지트 단계(208, 210)에서 이용될 수 있다. 예를 들어, 디포지트 단계(208, 210)는 CVD 리액터, LPCVD 리액터 혹은 초고압 CVD(UHVCVD)에서 수행될 수 있다. 일부 예로서, 리액터 온도는 예컨대 600℃와 800℃ 사이이고, 리액터 압력은 예컨대 1Torr와 760Torr 사이이다. 캐리어 가스는 예를 들면 10SLM과 50SLM 사이의 적절한 유속을 갖는 수소 혹은 헬륨을 포함할 수 있다. 일부 특정 실시예로, 디포지션은 예컨대 H2에 희석된 GeH4처럼(예컨대, GeH4가 1-20%로 희석될 수 있음) 게르마늄 공급원 전구체 가스를 이용하여 수행될 수 있다. 예를 들어, 희석된 GeH4는 1% 농도에서 50 내지 300SCCM 사이 범위의 유속으로 이용될 수 있다. 보론의 인 시추 도핑의 경우, 희석된 B2H6가 이용된다(B2H6는 1-20% 농도로 H2에 희석될 수 있다). 예를 들어, 희석된 B2H6는 3% 농도로 10 내지 100SCCM 사이 범위의 유속으로 이용될 수 있다. 이러한 특정 실시예의 경우, 디포지션의 선택성을 증가시키기 위해 에칭제가 첨가될 수 있다. 예를 들면, HCl 또는 Cl2제가 예컨대 50 내지 300SCCM 사이의 유속 범위로 첨가될 수 있다.
소스/드레인 이중층 구성에 대한 다양한 변형들이 본 개시물의 견지에서 명확할 것이다. 예를 들면, 일부 실시예로, 라이너(313/315)는 에피택셜적으로 디포지트된 보론 도핑된 SiGe로 구현되는데, 이것은 하나 이상의 증일 수 있으며, 30 내지 70원자% 범위내 혹은 그 이상의 게르마늄 농도를 가질 수 있다. 전술한 바와 같이, SiGe 라이너의 이러한 게르마늄 농도는 고정될 수도 있고, 혹은 (기판(300)에 거의 근접하는) 기준 레벨에서 (예컨대, 캡(318/320)의 게르마늄 농도의 기준 농도 근처인 50원자%를 초과하여 게르마늄 농도 기울기가 100원자%까지 계속되는) 고 레벨까지 증가하도록 구배형일 수도 있다. 이러한 실시예에서 보론 농도는 1E20cm-3을 초과할 수 있는데, 예컨대 5E20cm-3 혹은 2E21cm-3보다 높을 수 있으며, 또한 기판(300)에 근접한 기준 레벨에서부터 고 레벨(예컨대 1E20cm-3 초과, 혹은 2E20cm-3 초과, 혹은 3E20cm-3 초과 등등 캡(318/320)에 근접함)까지 증가하도록 점차적으로 변할 수도 있다. 보론 도핑된 SiGe 라이너(313/315)의 게르마늄 농도가 고정되는 실시예에서, 박막의 구배형 완충층이 라이너(313/315)와 보론 도핑된 캡(318/320) 사이를 더 잘 접속하도록 이용될 수도 있다. 이러한 완충층은 중간층이거나 혹은 캡(318/320)의 성분으로 집적될 수 있음에 유의하라. 본 개시물의 목적상, 이러한 완충층은 캡(318/320)의 일부로서 취급된다. 본 개시물의 견지에서 명확하듯이, 비록 선택적인 실시에가 다른 라이너 및 캡 두께를 가질 수 있다 하더라도, 일부 특정 실시예에 따르면, 보론 도핑된 SiGe 디포지트된 층(혹은 층들의 집합)(313/315)의 두께는 예컨대 모노층에서부터 50nm까지의 범위일 수 있고, 층(혹은 층들의 집합)(318/320)은 예컨대 51 내지 500nm의 범위내의 두께를 가질 수 있다. 일부 실시예에서, 순환하는 디포지션-에치 프로세싱동안에 스페이서 하부에는 캐비티(312/314)가 생성될 수도 있으며, 이러한 캐비티(312/314)는 마찬가지로 에피택셜 캡 층에 의해 다시 충진될 수 있다(이것은 예컨대 보론 도핑된 게르마늄 캡(318/320)과 동일한 구성을 가질 수 있다).
본 개시물의 견지에서 인지될 수 있듯이, 고 농도의 게르마늄(예컨대 50원자% 초과하고 순수 게르마늄에 이르기까지) 및 고 농도의 보론(예컨대 1E20cm-3을 초과)의 조합이 본원에 설명된 거처럼 PMOS 트랜지스터 소자에서 소스 및 드레인 영역(도 1의 R3) 뿐만 아니라 그들 각각의 팁 영역(도 1의 R2)에 상당히 큰 도전성을 실현하기 위해 이용될 수 있다. 더욱이, 전술한 바와 같이, 보론 확산은 적은 게르마늄 성분 층보다 많은 게르마늄 성분 층에서 크게 억제되므로, 디포지트된 스트레스 유발막에서의 고 도핑 레벨에도 불구하고 동일한 p형 도펀트 종과 도핑 레벨을 이용해 적은 게르마늄 성분 층과 비교할 때 SCE 열화가 더 작아진다. 콘택트 표면에 고 농도의 게르마늄을 추가하여 도 1에서 콘택트 저항 R4을 낮춤으로써 장벽 높이를 낮추는 것도 가능해진다. 예시적인 일부 실시예에서, 80원자%를 초과하고 최대 순수 게르마늄(100원자%)에 이르는 게르마늄 농도가 이러한 이점을 성취하기 위해 이용될 수 있다. 그러나 순수 게르마늄이 반드시 필요한 것은 아님을 유의하라. 예를 들어, 일부 실시예는 순수하지는 않지만 90 혹은 95원자%를 초과하는 게르마늄 농도를 가질 수도 있다.
도 3c를 다시 참조하면, 채널 영역에 비교적 근접하여 소스/드레인 팁(318A/320A)을 형성하는 것은 채널에 더 큰 등방 스트레스(hydrostatic stress)를 가한다. 이러한 스트레스는 채널 내의 변형을 증가시켜서 채널의 이동도를 증가시키고 구동 전류를 증가시킨다. 이러한 스트레스는 또한 실리콘 함유 기판인 경우에 소스/드레인 팁(318A/320A)의 게르마늄 농도를 증가시키고 게르마늄 기판인 경우에는 주석 농도를 증가시킴으로써 증폭될 수 있다. 이것은 팁 영역이 일반적으로 채널 영역에 변형을 유발하지 않는 확산 기반 프로세스보다 개선된 것이다.
일단 소스 및 드레인 영역이 본 발명의 일 실시예에 따라 충진되면, 트랜지스터를 수정하고/하거나 필요한 전기 접속을 제공할 수 있는 예컨대 대체 게이트 산화물 공정, 대체 금속 게이트 공정, 어닐링 및 살리사이드 형성(salicidation) 공정같은 다양한 종래의 MOS 프로세싱이 수행되어 MOS 트랜지스터의 제조를 완성한다. 예컨대 소스/드레인 영역과 그 각각의 팁을 에피택셜 디포지트한 이후에, 도 2를 참조하면, 본 방법은 n형 영역에서 마스킹을 제거하고 이들 영역을 원하는대로(만약 적용가능하다면, CMOS 프로세스로) 처리하는 단계(212)와, 트랜지스터 위에 절연체를 디포지트한 다음 이 절연층을 통상적으로 하듯이 평탄화하는 단계(214)로 진행한다. 이 절연층은 예컨대 로우-k 유전(절연) 물질처럼 집적회로 구조와 관련한 절연층에 적용가능한 공지된 물질을 이용하여 형성될 수 있다. 이러한 절연 물질로서, 예를 들면, 실리콘 다이옥사이드(SiO2)와 탄소 도핑된 옥사이드(CDO)같은 옥사이드, 실리콘 나이트라이드, 퍼플루오로시클로부탄(perfluorocyclobutane)이나 폴리테트라플루오르에틸렌(polytetrafluoroethylene)같은 유기 폴리머, FSG (fluorosilicate glass) 및 예컨대 실세스키옥산(silsequioxane), 실록산(siloxane) 또는 OSG(organosilicate glass)같은 유기 실리케이트(organosilicate)를 들 수 있다. 일부 예시적인 구성에서, 절연층은 자신의 유전 상수를 더 줄일 수 있는 공극(pores)이나 다른 공동(voids)을 포함할 수도 있다. 도 3d는 디포지트된 다음에 하드 마스크(306)에 맞춰 평탄화된 예시적인 절연층(322)을 예시한다.
도 3d'를 참조하여 알 수 있듯이, 본 발명의 일부 실시에는 RMG 프로세스를 이용하고, 본 발명은 종래에 행해지던 에칭 프로세스를 이용하여 게이트 스택(하이-k 게이트 유전층(302), 희생 게이트 전극(304) 및 하드 마스크 층(306)을 포함함)을 제거하는 단계를 포함할 수 있다. 대안적인 실시예에서는, 희생 게이트(304)만 제거된다. 만약 게이트 유전층(302)이 제거되면, 본 방법은 트렌치 개구부를 통해 새로운 게이트 유전층을 디포지트하는 단계를 포함할 수도 있다. 예컨대 하프늄 옥사이드처럼 이전에 설명된 것같은 임의의 적절한 하이-k 유전 물질이 이용될 수도 있다. 동일한 디포지션 프로세스가 이용될 수 있다. 게이트 유전층의 대체는 예컨대 건식 및 습식 에치 프로세스를 적용하는 동안에 원래의 게이트 유전층에 발생할 가능성이 있는 모든 손상을 처리하고/하거나 로우-k 혹은 희생 유전 물질을 하이-k 혹은 다른 바람직한 게이트 유전 물질로 대체하기 위해 이용될 수 있다. 다음에 본 발명은 트렌치를 통해 게이트 유전층 위에 금속 게이트 전극층을 디포지트하는 단계로 진행한다. 예컨대 CVD, ALD, PVD, 무전해 도금(electroless plating) 또는 전기 도금(electroplating)같은 종래의 금속 디포지션 프로세스가 금속 게이트 전극층을 형성하는데 이용될 수 있다. 금속 게이트 전극층은 예를 들면, 루테늄(ruthenium), 팔라듐(palladium), 플래티넘, 코발트, 니켈 및 루테늄 옥사이드같은 도전성 금속 옥사이드같은 p형 일함수 금속(p-type workfunction metal)을 포함할 수 있다. 일부 예시적인 구성에서, 두 개 이상의 금속 게이트 전극층이 디포지트될 수 있다. 예컨대, 일함수 금속이 디포지트된 다음에는 알루미늄같은 적절한 금속 게이트 전극 충진용 금속이 디포지트될 수 있다. 도 3d'는 일 실시예에 따라서 트렌치 개구부를 통해 디포지트되는 하이-k 게이트 유전층(324)과 금속 게이트 전극(326)을 예시한다. 이러한 RMG 프로세스는 필요하다면 프로세스 동안 서로 다른 시기에 수행될 수도 있음을 유의하라.
도 2를 다시 참조하면, 절연층(322)이 제공된 이후에(그리고 원하는 임의의 사전 콘택트 형성 RMG 프로세스 이후에), 본 방법은 소스/드레인 콘택트 트렌치를 형성하는 에칭 단계(216)로 진행한다. 임의의 적절한 건식 및/또는 습식 에치 프로세스가 이용될 수 있다. 도 3e는 예시적인 실시예에 따라서 에칭 이후의 소스/드레인 콘택트 트렌치를 도시한다.
이후, 본 방법은 콘택트 저항 감소 금속을 디포지트 및 어닐링하는 단계(218)와, 소스/드레인 콘택트 플러그를 디포지트하는 단계(220)로 진행한다. 도 3f는 일부 실시예에서 은, 니켈, 알루미늄, 티타늄, 금, 금-게르마늄, 니켈-플래티넘 혹은 니켈-알루미늄 및/또는 다른 이러한 저항 감소 금속 혹은 합금을 포함하는 콘택트 저항 감소 금속(325)을 도시한다. 종래의 디포지션 프로세스를 이용하여 예컨대 은, 니켈-플래티넘 혹은 니켈-알루미늄, 또는 니켈과 알루미늄 혹은 티타늄의 합금처럼 비록 임의의 적절한 도전성 콘택트 금속 혹은 합금이 콘택트 플러그 금속에 이용될 수 있을지라도, 도 3f는 또한 일부 실시예로 알루미늄 혹은 텅스텐을 포함하는 콘택트 플러그 금속(329)를 도시한다. 소스/드레인 콘택트의 금속화는 예컨대 저마나이드 형성 프로세스(germanidation process)(일반적으로, 콘택트 금속의 디포지션 이후의 어닐링)를 이용하여 수행될 수 있다. 예컨대 니켈, 알루미늄, 니켈-플래티넘, 혹은 니켈-알루미늄, 혹은 니켈과 알루미늄의 합금, 혹은 게르마늄 사전 비정질화 주입물을 갖거나 혹은 갖지 않는 티타늄을 이용한 저마나이드 형성이 낮은 저항성 저마나이드를 형성하기 위해 이용될 수 있다. 보론 도핑된 게르마늄 캡(318/320)은 금속-저마나이드 형성(예컨대 니켈-게르마늄)을 허용한다. 저마나이드는 쇼트키 장벽 높이를 상당히 낮출 수 있고, 종래의 금속-실리사이드 시스템의 콘택트 저항보다 개선된 콘택트 저항을 허용한다. 예를 들어, 종래의 트랜지스터는 통상적으로 30-40원자%의 범위에 있는 게르마늄 농도로 소스/드레인 SiGe 에피 프로세스를 이용한다. 이러한 종래의 시스템은 에피/실리사이드 계면 저항에 의해 제한되는 약 140Ω-㎛의 Rext 값을 나타내는데, 이것은 높은 값으로, 차후의 게이트 피치 스케일링을 막을 수 있다. 본 발명의 일부 실시예는 PMOS 소자에서 Rext를 크게 개선시킬 수 있어(예컨대 약 70Ω-㎛의 Rext처럼 대략 2x 개선하거나 좋아짐), PMOS 소자 스케일링을 더 잘 지원할 수 있다. 따라서, 본원에 설명된 것처럼 이중층 소스/드레인 구조로 구성된 소스/드레인을 갖는 트랜지스터는 종래의 트랜지스터에 비해 비교적 낮은 Rext값을 나타낼 수 있다.
비평면 구성
비평면 아키텍처가 예컨대 FinFET 혹은 나노와이어 구성을 이용하여 구현될 수 있다. FinFET은 얇은 반도체 재료 띠(일반적으로 핀(fin)으로 불림) 주변에 만들어진 트랜지스터이다. 이 트랜지스터는 게이트, 게이트 유전체, 소스 영역 및 드레인 영역을 포함하는 표준 FET 노드들을 포함한다. 소자의 도전성 채널은 게이트 유전체 아래의 핀의 바깥면 상/내부에 있다. 구체적으로, 전류는 핀의 측벽(기판 표면에 수직인 면)과 핀의 최상부(기판 표면에 평행한 면)를 따라서 흐른다. 이러한 구성의 도전성 채널은 반드시 3개의 상이한 바깥면, 즉 핀의 평면 영역을 따라 상주하므로, 이러한 FinFET 설계는 때때로 3차원 게이트(tri-gate) FinFET으로도 불린다. 소위 이중 게이트 FinFET으로 불리는 다른 유형의 FinFET 구성도 이용 가능한데, 이것은 도전성 채널이 원칙적으로 핀의 두 개의 측벽을 따라서만 상주한다(핀의 최상부에는 없음).
도 4a 내지 도 4g는 각각 본 발명의 일 실시예에 따라 형성된 FinFET 트랜지스터 구조의 투시도를 도시한다. 도 2 내지 도 3f와 관련한 이전 설명들이 여기에도 똑같이 적용될 수 있으며, 이것은 인지될 수 있을 것이다. 알 수 있는 바와 같이, 도 4a에 도시된 예시적인 비평면 구성은 핀 구조로 구현되는데, 이것은 반도체 몸체를 갖는 기판(400)과, 기판(400)으로부터 얕은 트렌치 분리(STI) 층(420)을 통해 뻗어있는 핀(410)을 포함한다. 기판은 예컨대 실리콘, 게르마늄 혹은 SiGe 일 수 있다.
도 4b는 3개의 게이트를 형성하기 위해 핀(410)의 3개 표면 위에 형성된 게이트 전극(440)을 도시한다. 게이트 유전 물질(430)은 핀(410)과 게이트 전극(440) 사이에 제공되며, 하드 마스크(450)는 게이트 전극(440)의 최상부에 형성된다. 도 4c는 절연 물질을 디포지트한 후, 스페이서(460)를 제공하기 위해 모든 수직 표면상에 절연 물질의 코팅을 남겨두도록 에칭한 이후에 만들어진 구조를 예시한다.
도 4d는 핀(410)의 측벽으로부터 과잉 절연/스페이서 물질을 제거하기 위해 추가적인 에치 처리를 한 이후의 구조를 예시하는 것으로, 게이트 전극(440)의 측벽에 대향하는 스페이서(460)만 남겨진 구조이다. 도 4e는 기판(400)의 소스/드레인 영역내의 핀(410)을 제거하는 함몰 에치를 진행하여 함몰부(470)를 형성한 구조를 예시한다. 다른 실시예들은 함몰되지 않을 수도 있음을 유의하라(예컨대 소스/드레인 영역이 STI 층(420)과 동일한 높이임).
도 4f는 에피택셜 라이너(480)의 성장 이후의 구조를 예시하는 것으로, 이 라이너는 박막의 p형으로, 상당한 비율의 실리콘(예컨대 실리콘이나 혹은 70원자% 실리콘을 갖는 SiGe)을 포함하거나 또는 순수 게르마늄(예컨대 별개의 게르마늄 층이거나 혹은 캡(318/320)의 성분에 집적되거나 포함되어 구별되지 않는 층)일 수 있다. 도 4g는 에피택셜 소스/드레인 캡(490)의 성장 이후의 구조를 예시하는 것으로, 이 캡은 p형으로, 전술한 바와 같이 주로 게르마늄이긴 하지만 20원자% 미만의 주석이나 다른 적절한 합금 물질을 포함할 수도 있다. 본 개시물의 견지에서 인지될 수 있듯이, 종래의 프로세스와 형성 기술들이 본원에 설명된 이중층 소스/드레인 구조를 갖는 FinFET 트랜지스터 구조를 제조하는데 이용될 수 있다.
또한 인지될 수 있듯이, 도시된 3차원 게이트 구성에 대한 대안이 이중 게이트 아키텍처이며, 이것은 핀(410)의 최상부에 유전/절연층을 포함하는 것임을 유의하라. 또한, 도 4g에 도시된 소스/드레인 영역을 구성하는 라이너(480)와 캡(490)의 예시적인 형상은 청구의 발명을 임의의 특별한 소스/드레인 유형이나 형성 프로세스로 한정하려는 의도가 아니며, 다른 소스/드레인 형상도 본 개시물의 견지에서 명확할 것이다(예컨대 정사각형 혹은 직사각형 소스/드레인 영역이 구현될 수도 있다).
도 5a는 본 발명의 일 실시예에 따라 형성된 나노와이어 트랜지스터 구조의 투시도를 도시한다. 나노와이어 트랜지스터(때때로 GAA(gate-all-around) FET로도 불림)는 핀 기반 트랜지스터와 유사한 구성이지만, 핀 대신에 나노와이어가 이용되며 게이트 물질이 일반적으로 채널을 사방으로 포위하고 있다. 특별한 설계에 따라서, 일부 나노와이어 트랜지스터는 예컨대 4개의 유효 게이트를 갖는다. 도 5a는 두 개의 나노와이어(510)을 갖는 나노와이어 채널 아키텍처를 예시하는데, 다른 실시예들은 임의 개수의 와이어를 가질 수 있다. 나노와이어(510)는 예컨대 p형 실리콘 혹은 게르마늄 혹은 SiGe 나노와이어로 구현될 수 있다. 도면에서 알 수 있듯이, 하나의 나노와이어(510)는 기판(400)의 함몰부내에 형성 및 제공되며, 다른 나노와이어(510)는 라이너(580)와 캡(590)을 포함하는 소스/드레인 물질 이중층 구조내에 효과적으로 부유하고 있다. 핀 구성에서처럼, 나노와이어(510)는 소스/드레인 영역에서 전술한 것처럼 이중층 구성의 소스/드레인 물질(예를 들면, 비교적 박막의 실리콘 혹은 게르마늄 혹은 SiGe 라이너 및 비교적 후막의 고 농도 게르마늄 캡)과 대체될 수 있음을 유의하라. 선택적으로, 이중층 구성은 도시된 것처럼 원래 형성된 나노와이어(510)의 둘레에 제공될 수 있다(라이너(580)가 나노와이어(510)의 둘레에 제공되고, 다음에 캡(590)이 라이너(580)의 둘레에 제공된다). 도 5b는 또한 다중 나노와이어(510)를 갖는 나노와이어 구성을 예시하는 것이지만, 이 실시예에서는 본 개시물의 견지에서 인지될 수 있듯이 종래의 다양한 기술을 이용해 수행될 수 있는 나노와이어 형성 프로세스 동안에 개별 나노와이어 사이의 비활성 물질(511)이 제거되지 않았다. 따라서, 하나의 나노와이어(510)는 기판(500)의 함몰부에 제공되고, 다른 나노와이어(510)는 물질(511)의 최상부에 효과적으로 자리를 잡고 있다. 나노와이어(510)는 채널을 통해 활성화되지만 물질(511)은 그렇지 않음을 유의하라. 도면에서 알 수 있듯이, 이중층 소스/드레인 구성의 라이너(580)와 캡(590)은 나노와이어(510)의 다른 모든 노출된 표면 둘레에 제공된다.
예시적인 시스템
도 6은 본 발명의 예시적인 실시예에 따라 구성된 하나 이상의 트랜지스터 구조로 구현된 컴퓨터 시스템(1000)을 예시한다. 도면에서 알 수 있는 바와 같이, 컴퓨터 시스템(1000)은 머더 보드(motherboard)(1002)를 수용한다. 머더 보드(1002)는 다수의 구성요소들을 포함하는데, 제한적인 것은 아니지만, 프로세서(1004)와 적어도 하나의 통신 칩(1006)을 포함하고 있고, 이들 각각은 물리적 및 전기적으로 머더 보드(1002)에 접속되거나 그렇지 않으면 머더 보드에 집적된다. 인지할 수 있듯이, 머더 보드(1002)는 예를 들면 임의의 인쇄회로기판(PCB;printed circuit board)으로서, 메인 보드나 혹은 메인 보드에 실장된 보조 보드나 혹은 시스템(1000)의 유일한 보드 등일 수 있다. 애플리케이션에 따라서, 컴퓨터 시스템(1000)은 머더 보드(10002)에 전기적 및 물리적으로 접속되지 않을 수도 있는 하나 이상의 다른 구성요소를 포함할 수 있다. 다른 구성요소로서, 제한적인 것은 아니지만, 휘발성 메모리(예컨대 DRAM), 비휘발성 메모리(예컨대 ROM), 그래픽 프로세서, 디지털 신호 처리기, 암호 보조 처리기(cryptoprocessor), 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS 장치, 컴파스(compass), 가속도계, 자이로스코프(gyroscope), 스피커, 카메라 및 대용량 기억 장치(예컨대 하드 디스크 드라이브, CD, DVD 등등)를 포함할 수 있다. 컴퓨터 시스템(1000)에 포함된 구성요소 중 어떤 것은 본원에 설명된 것같은 하나 이상의 트랜지스터 구조(예컨대 비교적 박막인 p형 실리콘 혹은 게르마늄 혹은 SiGe 라이너와 비교적 후막인 p형 고 게르마늄 성분 캡을 포함하는 이중층 소스/드레인 구조)를 포함할 수 있다. 이러한 트랜지스터 구조는 예컨대 온-보드 프로세서 캐시 혹은 메모리 어레이를 구현하는데 이용될 수 있다. 일부 실시예에서, 하나 이상의 칩에 다기능이 통합될 수 있다(예를 들면, 통신 칩(1006)이 프로세서(1004)에 통합되거나 그 일부일 수 있음을 유의하라).
통신 칩(1006)은 컴퓨터 시스템(1000)과 관련한 데이터 전송을 위해 무선 통신을 가능하게 한다. "무선'이라는 용어와 그 파생어들은 비고형 매체를 통해 변조된 전자기 방사선를 이용하여 데이터를 통신할 수 있는 회로, 장치, 시스템, 방법, 기술, 통신 채널 등등을 설명하는데 이용될 수 있다. 비록 그 가능성을 배제할 수 없는 일부 실시예가 있지만, 이 용어가 관련 장치들이 유선을 전혀 포함하고 있지 않음을 의미하지는 않는다. 통신 칩(1006)은, 제한적인 것은 아니지만, Wi-Fi(IEEE 802.11 계열), WiMAX(IEEE 802.16 계열), IEEE 802.20, LTE, Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스 및 그들의 파생 기술과 함께 3G, 4G, 5G 등으로 표시되는 그 밖의 무선 프로토콜과 그보다 더 진보한 프로토콜을 포함한 다수의 무선 규격 혹은 프로토콜을 구현할 수 있다. 컴퓨터 시스템(1000)은 복수의 통신 칩(1006)을 포함할 수 있다. 예를 들어, 제 1 통신 칩(1006)은 예컨대 Wi-Fi 및 블루투스같은 단거리 무선 통신 전용일 수 있고, 제 2 통신 칩(1006)은 예컨대 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 장거리 무선 통신 전용일 수 있다.
컴퓨터 시스템(1000)의 프로세서(1004)는 프로세서(1004) 내부에 패키지된 IC 다이(die)를 포함한다. 본 발명의 일부 실시예에서, 프로세서의 IC 다이는 본원에 설명된 것같은 하나 이상의 트랜지스터 구조(예컨대 PMOS 혹은 CMOS)로 구현되는 온-보드 메모리 회로를 포함한다. "프로세서"라는 용어는 예컨대 레지스터 및/또는 메모리로부터 전자 데이터를 처리하여 그 전자 데이터를 레지스터 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 장치나 장치의 일부분을 지칭할 수 있다.
통신 칩(1006)은 또한 통신 칩(1006) 내부에 패키지된 IC 다이를 포함할 수 있다. 이러한 예시적인 일부 실시예에 따르면, 통신 칩의 IC 다이는 본원에 설명된 것과 같은 하나 이상의 트랜지스터 구조로 구현된 하나 이상의 회로를 포함한다(예를 들면, 온-칩 프로세서 혹은 메모리). 본 개시물의 견지에서 이해되듯이, 다중의 규격 무선 능력이 프로세서(1004)에 바로 통합될 수 있음을 유의하라(예를 들면, 별도의 통신 칩을 구비하지 않고 임의의 칩(1006)의 기능이 프로세서(1004)에 통합된다). 또한, 프로세서(1004)는 이러한 무선 능력을 갖는 칩 셋일 수 있음도 유의하라. 요약하면, 다수의 프로세서(1004) 및/또는 통신 칩(1006)이 이용될 수 있다. 마찬가지로, 임의의 하나의 칩이나 칩 셋이 그 속에 통합된 다중 기능을 갖는 것도 가능하다.
다양한 실시예에서, 컴퓨터 시스템(1000)은 랩탑, 넷북, 노트북, 스마트폰, 태블릿, PDA, 울트라 모바일 PC, 모바일 폰, 데스크탑 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋탑 박스, 오락용 제어기, 디지털 카메라, 휴대용 음악 플레이어 또는 디지털 비디오 녹화기일 수 있다. 또다른 구현으로서, 시스템(1000)은 데이터를 처리하거나 본원에 설명된 것같은 저 저항 트랜지스터 소자를 채용하는 임의의 다른 전자 장치일 수 있다(예컨대 PMOS 또는 CMOS 회로).
다양한 실시예들이 본 개시물의 견지에서 명확해질 것이며, 본원에 설명된 특징들은 많은 구성에서 조합될 수 있다. 본 발명의 일 실시예는 트랜지스터 소자를 제공한다. 이 소자는 채널 영역과 채널 영역 상부의 게이트 전극을 갖는 기판을 포함하고, 이때 기판의 상부 혹은 기판 내에는 채널 영역과 인접하여 소스 및 드레인 영역이 형성되어 있다. 소스 및 드레인 영역의 각각은 실리콘 혹은 게르마늄 혹은 실리콘 게르마늄의 P형 라이너와 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡을 포함하는 총 두께를 갖는데, 이때 라이너는 총 두께의 50% 미만이다. 일부 경우, 소자는 평면, FinFET 혹은 나오와이어 PMOS 트랜지스터중 하나이다. 일부 경우, 이 소자는 또한 금속-저마나이드 소스 및 드레인 콘택트도 포함한다. 일부 경우, 라이너 두께 대 캡 두께의 두께비는 2:5 이하이다(라이너가 총 두께의 40% 이하이다). 일부 경우에, 라이너 두께 대 캡 두께의 두께비는 1:5 이하이다(라이너가 총 두께의 20%이하이다). 일부 경우, 각각의 라이너는 약 한 개 모노층 내지 10nm의 범위내의 두께를 갖고, 캡의 두께는 약 50nm 내지 500nm의 범위내의 두께를 갖는다. 일부 경우, 라이너와 캡 중 적어도 하나는 구배형 농도의 게르마늄 및/또는 p형 도펀트 중 적어도 하나를 갖는다. 예를 들어, 일부 경우, 라이너 중 적어도 하나는 기판과 양립가능한 기준 레벨 농도에서부터 50원자%를 초과하는 고 농도까지 점차적으로 변하는 게르마늄 농도를 갖는다. 이러한 일 실시예로, 고 농도는 90원자%를 초과한다. 일부 경우, 라이너 중 적어도 하나는 기판과 호환 가능한 기준 레벨 농도에서부터 1E20cm-3을 초과하는 고 농도까지 점차로 변하는 p형 도펀트 농도를 갖는다. 이러한 일 실시예로, 하나 이상의 라이너의 p형 도펀트는 보론이다. 일부 경우, 캡 중 적어도 하나는 95원자%를 초과하는 게르마늄 농도를 갖는다. 일부 경우, 캡 중 적어도 하나는 대응하는 라이너와 호환 가능한 기준 레벨 농도에서부터 80원자%를 초과하는 고 농도까지 점차 변하는 게르마늄 농도를 갖는다. 일부 경우, 캡 중 적어도 하나는 대응하는 라이너와 호환 가능한 기준 레벨 농도에서부터 1E20cm-3을 초과하는 고 농도까지 점차 변하는 p형 도펀트 농도를 갖는다. 이러한 일 실시예로, 하나 이상의 캡의 p형 도펀트는 보론이다. 일부 경우, 캡 중 적어도 하나는 주석도 포함한다. 다양한 변형이 명확할 것이다. 예를 들어, 일부 예시적인 경우에, 기판은 실리콘 함유 기판이다. 이러한 실시예에서, p형 라이너는 실리콘 혹은 실리콘 게르마늄을 포함한다. 다른 예시적인 경우, 기판은 게르마늄 기판이다. 이러한 실시에에서, p형 라이너는 p형 게르마늄이다. 일부 예시적인 실시예에서, 각각의 라이너는 (별개의 구별되는 라이너 층이 별개의 구별되는 캡 층으로부터 식별되지 않도록) 대응하는 캡의 구성에 포함된다. 일부 경우, 캡 중 적어도 하나는 또한 불합치 전위 및/또는 스레딩 전위 및/또는 쌍정(twins)을 더 포함하는 반면, 다른 경우에는 캡이 불합치 전위, 스레딩 전위 및 쌍정으로부터 자유롭다. 본 발명의 다른 실시예는 본 문단에 다양하게 정의된 것과 같은 하나 이상의 트랜지스터 소자를 포함하는 IC를 갖는 PCB를 포함한는 전자 장치를 포함한다. 이러한 실시예에서, IC는 통신 칩 및/또는 프로세서 중 적어도 하나를 포함한다. 일부 경우, 전자 장치는 컴퓨터 장치이다.
본 발명의 다른 실시예는 집적 회로를 제공한다. 이 회로는 채널 영역, 채널 영역 위의 게이트 전극, 채널 영역에 인접하여 기판 위 혹은 기판 내에 형성된 소스 및 드레인 영역 및, 금속-저마나이드 소스 및 드레인 콘택트를 갖는 기판(예컨대 실리콘, SiGe 혹은 게르마늄)을 포함한다. 소스 및 드레인 영역의 각각은 실리콘 또는 게르마늄 또는 실리콘 게르마늄의 p형 라이너와 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡으로 이루어진 총 두께를 가지며, 여기에서 라이너는 총 두께의 40% 이하이다. 일부 경우, 라이너 두께 대 캡 두께의 두께비는 1:5 이하이다. 일부 경우, 캡 중 적어도 하나는 주석도 포함한다.
본 발명의 다른 실시예는 트랜지스터 소자를 형성하는 방법을 제공한다. 이 방법은 채널 영역을 갖는 기판을 제공하는 단계와, 채널 영역 위에 게이트 전극을 제공하는 단계와, 채널 영역에 인접하여 기판 상에 혹은 기판 내에 소스 및 드레인 영역을 제공하는 단계를 포함한다. 소스 및 드레인 영역의 각각은 실리콘 또는 게르마늄 또는 실리콘 게르마늄의 p형 라이너와 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡으로 이루어진 총 두께를 가지며, 여기에서 라이너는 총 두께의 50%미만이다. 일부 경우, 본 방법은 금속-저마나이드 소스 및 드레인 콘택트를 제공하는 단계를 포함한다. 일부 경우, 라이너 두께 대 캡 두께의 두께비는 2:5 이하이다. 일부 경우, 라이너 및/또는 캡 중 적어도 하나는 구배형 농도의 게르마늄 및/또는 p형 도펀트 중 적어도 하나를 갖는다. 이룹 경우, 캡 중 적어도 하나는 주석(혹은 다른 적절한 변형 유발자)도 포함한다.
본 발명의 예시적인 실시예의 전술한 설명은 예시와 설명을 목적으로 제공되었다. 개시된 것과 같은 그 형태로 본 발명을 한정하거나 발명을 빠뜨림없이 설명하고자 한 것은 아니다. 본 개시물의 견지에서 많은 수정과 변형이 가능하다. 예를 들어, 본 발명의 일부 실시예가 인 시추 보론 도핑의 게르마늄을 활용하더라도, 다른 실시예는 진성 게르마늄을 이용하여 디포지트한 이후에 p형 도펀트 주입하고 어닐링 처리를 함으로써 원하는 p형 도핀 농도를 제공할 수도 있을 것이다. 더욱이, 일부 실시예는 본원에 설명된 것처럼 제조된 소스 및 드레인 영역을 포함할 수도 있지만, 소스 및 드레인 영역의 팁을 형성하기 위해서는 여전히 종래의 공정을 이용할 수도 있다. 이러한 실시예에서, 팁은 주요 소스/드레인 영역보다 낮은 게르마늄 및/또는 p형 도펀트 농도를 가질 수 있고, 이것은 일부 애플리케이션에서 수용가능할 것이다. 또다른 실시에에서, 소스 및 드레인 영역의 팁만이 높은 게르마늄 및 p형 도펀트 농도로 구성될 수 있고, 소스 및 드레인 영역의 주요 부분은 종래와 같이 낮은 게르마늄/도펀트 농도를 가질 수도 있다. 본 발명의 범주는 상세한 설명에 의해 제한되는 것이 아니라 첨부된 특허청구범위에 의해 제한되도록 의도되었다.

Claims (39)

  1. 트랜지스터 소자로서,
    실리콘 채널 영역을 갖는 기판과,
    상기 실리콘 채널 영역 위의 게이트 전극과,
    상기 실리콘 채널 영역에 인접하여 상기 기판 위 또는 상기 기판 내에 형성된 소스 영역 및 드레인 영역을 포함하되,
    상기 소스 영역 및 드레인 영역의 각각은
    상기 실리콘 채널 영역보다 높게 뻗어 있고,
    상기 게이트 전극의 하부로 뻗어 있는 팁 영역(tip region)을 포함하며,
    실리콘 또는 실리콘 게르마늄의 p형 라이너(liner)와, 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡(cap)을 포함하는 총 두께―상기 라이너는 상기 총 두께의 50% 미만이고, 상기 게이트 전극의 하부로 뻗어 있는 상기 팁 영역을 채우고(line), 상기 캡의 적어도 일부 영역은 게르마늄 농도가 상기 라이너보다 높음―를 갖는
    트랜지스터 소자.
  2. 제1항에 있어서,
    상기 트랜지스터 소자는 평면 트랜지스터, FinFET 또는 나노와이어 PMOS 트랜지스터 중 하나인
    트랜지스터 소자.
  3. 제1항에 있어서,
    금속-저마나이드(metal-germanide) 소스 콘택트 및 금속-저마나이드 드레인 콘택트를 더 포함하는
    트랜지스터 소자.
  4. 제1항에 있어서,
    라이너 두께 대 캡 두께의 두께비는 2:5 이하인
    트랜지스터 소자.
  5. 제1항에 있어서,
    라이너 두께 대 캡 두께의 두께비는 1:5 이하인
    트랜지스터 소자.
  6. 제1항에 있어서,
    상기 라이너의 각각은 한 개의 모노층(monolayer) 내지 10nm의 범위의 두께를 가지며, 상기 캡의 각각은 50nm 내지 500nm 범위의 두께를 갖는
    트랜지스터 소자.
  7. 제1항에 있어서,
    상기 라이너 및 캡 중 적어도 하나는 구배형 농도의 게르마늄 및 p형 도펀트 중 적어도 하나를 갖는
    트랜지스터 소자.
  8. 제7항에 있어서,
    상기 라이너 중 적어도 하나는 30원자%의 초기 농도에서부터 50원자%를 초과하는 고 농도까지 점차적으로 변하는 게르마늄 농도를 갖는
    트랜지스터 소자.
  9. 제8항에 있어서,
    상기 고 농도는 90원자%를 초과하는 것인
    트랜지스터 소자.
  10. 제7항에 있어서,
    상기 라이너 중 적어도 하나는 30원자%의 초기 농도에서부터 1E20cm-3을 초과하는 고 농도까지 점차적으로 변하는 p형 도펀트 농도를 갖는
    트랜지스터 소자.
  11. 제10항에 있어서,
    하나 이상의 상기 라이너의 p형 도펀트는 보론(boron)인
    트랜지스터 소자.
  12. 제7항에 있어서,
    상기 캡 중 적어도 하나는 95원자%를 초과하는 게르마늄 농도를 갖는
    트랜지스터 소자.
  13. 제7항에 있어서,
    상기 캡 중 적어도 하나는 30원자%의 초기 농도에서부터 80원자%를 초과하는 고 농도까지 점차적으로 변하는 게르마늄 농도를 갖는
    트랜지스터 소자.
  14. 제7항에 있어서,
    상기 캡 중 적어도 하나는 30원자%의 초기 농도에서부터 1E20cm-3을 초과하는 고 농도까지 점차적으로 변하는 p형 도펀트 농도를 갖는
    트랜지스터 소자.
  15. 제14항에 있어서,
    하나 이상의 상기 캡의 p형 도펀트는 보론인
    트랜지스터 소자.
  16. 제1항에 있어서,
    상기 캡 중 적어도 하나는 주석(tin)을 더 포함하는
    트랜지스터 소자.
  17. 제1항에 있어서,
    상기 캡은 불합치 전위, 스레딩 전위 및 쌍정이 존재하지 않는
    트랜지스터 소자.
  18. 제1항에 정의된 하나 이상의 트랜지스터 소자를 포함하는 집적 회로를 갖는 인쇄 회로 기판을 포함하는
    전자 장치.
  19. 제18항에 있어서,
    상기 집적 회로가 통신 칩 및 프로세서 중 적어도 하나를 포함하는
    전자 장치.
  20. 제18항에 있어서,
    상기 전자 장치가 컴퓨터 장치인
    전자 장치.
  21. 제1항의 트랜지스터 소자―상기 라이너는 상기 총 두께의 40% 미만임―와,
    금속 저마나이드 소스 콘택트 및 금속 저마나이드 드레인 콘택트를 포함하되,
    상기 라이너 두께 대 캡 두께의 두께비는 1:5 이하인 것 및 상기 캡 중 적어도 하나는 주석을 더 포함하는 것 중 적어도 하나인
    집적 회로.
  22. 실리콘 채널 영역을 갖는 기판을 제공하는 단계와,
    상기 실리콘 채널 영역 위에 게이트 전극을 제공하는 단계와,
    상기 실리콘 채널 영역에 인접하여 상기 기판 위에 혹은 상기 기판내에 형성된 소스 영역 및 드레인 영역을 제공하는 단계를 포함하되, 상기 소스 영역 및 드레인 영역의 각각은
    상기 실리콘 채널 영역보다 높게 뻗어 있고,
    상기 게이트 전극의 하부로 뻗어 있는 팁 영역(tip region)을 포함하며,
    실리콘 또는 실리콘 게르마늄의 p형 라이너와 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡을 포함하는 총 두께―상기 라이너는 상기 총 두께의 50% 미만이고, 상기 게이트 전극의 하부로 뻗어 있는 상기 팁 영역을 채우고(line), 상기 캡의 적어도 일부 영역은 게르마늄 농도가 상기 라이너보다 높음―를 갖는
    트랜지스터 소자 형성 방법.
  23. 채널 영역을 갖는 실리콘 함유 기판과,
    상기 채널 영역 위의 게이트 전극과,
    상기 채널 영역에 인접하여 상기 기판 위 또는 상기 기판 내에 형성된 소스 영역 및 드레인 영역을 포함하되, 상기 소스 영역 및 드레인 영역의 각각은
    상기 채널 영역보다 높게 뻗어 있고,
    상기 게이트 전극의 하부로 뻗어 있는 팁 영역을 포함하며,
    실리콘 또는 실리콘 게르마늄의 p형 라이너와 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡을 포함하는 총 두께―상기 라이너는 상기 총 두께의 50% 미만이고, 상기 게이트 전극의 하부로 뻗어 있는 상기 팁 영역을 채우고(line), 상기 캡의 적어도 일부 영역은 게르마늄 농도가 상기 라이너보다 높음―를 갖는
    트랜지스터 소자.
  24. 삭제
  25. 삭제
  26. 집적 회로 소자로서,
    채널 영역을 포함하는 핀(fin)을 갖는 기판―상기 핀은 상기 기판으로부터 뻗어 있음―과,
    상기 채널 영역 위의 게이트 전극과,
    상기 채널 영역에 인접하여 상기 기판 위 및 상기 기판 내 중 적어도 하나에 형성된 소스 영역 및 드레인 영역을 포함하되, 상기 소스 영역 및 드레인 영역의 각각은, 실리콘 또는 실리콘 게르마늄의 p형 라이너와 80원자%를 초과하는 게르마늄 농도를 갖는 p형 캡을 포함하는 총 두께―상기 라이너는 상기 총 두께의 50% 미만이고, 상기 캡의 적어도 일부 영역은 게르마늄 농도가 상기 라이너보다 높음―를 갖는
    집적 회로 소자.
  27. 제26항에 있어서,
    상기 채널 영역은 하나 이상의 나노 와이어를 포함하는
    집적 회로 소자.
  28. 제26항에 있어서,
    금속-저마나이드(metal-germanide) 소스 콘택트 및 금속-저마나이드 드레인 콘택트를 더 포함하는
    집적 회로 소자.
  29. 제26항에 있어서,
    상기 라이너의 적어도 일부는 한 개의 모노층(monolayer) 내지 10nm의 범위의 두께를 가지며, 상기 캡의 적어도 일부는 50nm 내지 500nm 범위의 두께를 갖는
    집적 회로 소자.
  30. 제26항에 있어서,
    상기 라이너 및 캡 중 적어도 하나는 구배형 농도의 게르마늄 및 p형 도펀트 중 적어도 하나를 갖는
    집적 회로 소자.
  31. 제30항에 있어서,
    상기 라이너 중 적어도 하나는 30원자%의 초기 농도에서부터 50원자%를 초과하는 고 농도까지 점차적으로 변하는 게르마늄 농도를 갖는
    집적 회로 소자.
  32. 제31항에 있어서,
    상기 고 농도는 90원자%를 초과하는 것인
    집적 회로 소자.
  33. 제30항에 있어서,
    상기 라이너 중 적어도 하나는 30원자%의 초기 농도에서부터 1E20cm-3을 초과하는 고 농도까지 점차적으로 변하는 p형 도펀트 농도를 갖는
    집적 회로 소자.
  34. 제33항에 있어서,
    하나 이상의 상기 라이너의 p형 도펀트는 보론(boron)인
    집적 회로 소자.
  35. 제30항에 있어서,
    상기 캡 중 적어도 하나는 95원자%를 초과하는 게르마늄 농도를 갖는
    집적 회로 소자.
  36. 제30항에 있어서,
    상기 캡 중 적어도 하나는 30원자%의 초기 농도에서부터 80원자%를 초과하는 고 농도까지 점차적으로 변하는 게르마늄 농도를 갖는
    집적 회로 소자.
  37. 제30항에 있어서,
    상기 캡 중 적어도 하나는 30원자%의 초기 농도에서부터 1E20cm-3을 초과하는 고 농도까지 점차적으로 변하는 p형 도펀트 농도를 갖는
    집적 회로 소자.
  38. 제37항에 있어서,
    하나 이상의 상기 캡의 p형 도펀트는 보론인
    집적 회로 소자.
  39. 제26항에 있어서,
    상기 캡 중 적어도 하나는 주석(tin)을 더 포함하는
    집적 회로 소자.

KR1020157012262A 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터 KR101812389B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 2010-12-21
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
PCT/US2011/066129 WO2012088097A2 (en) 2010-12-21 2011-12-20 Column iv transistors for pmos integration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137015944A Division KR20130111592A (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177036360A Division KR101978085B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Publications (2)

Publication Number Publication Date
KR20150058552A KR20150058552A (ko) 2015-05-28
KR101812389B1 true KR101812389B1 (ko) 2017-12-26

Family

ID=46233263

Family Applications (12)

Application Number Title Priority Date Filing Date
KR1020137016072A KR101489611B1 (ko) 2010-12-21 2011-09-30 게르마늄 상부층 사전 콘택트 금속화를 이용한 콘택트 저항 감소
KR1020197004261A KR102079356B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020167036162A KR101784226B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 이용한 트랜지스터 소자, 반도체 소자 및 트랜지스터 소자를 형성하는 방법
KR1020157012018A KR101691115B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 포함하는 트랜지스터 소자 및 그 제조 방법
KR1020207016569A KR102168550B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020177027385A KR101949894B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016008A KR20130088179A (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020207004353A KR102123036B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016371A KR101510029B1 (ko) 2010-12-21 2011-12-07 고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터
KR1020177036360A KR101978085B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR1020157012262A KR101812389B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR1020137015944A KR20130111592A (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Family Applications Before (10)

Application Number Title Priority Date Filing Date
KR1020137016072A KR101489611B1 (ko) 2010-12-21 2011-09-30 게르마늄 상부층 사전 콘택트 금속화를 이용한 콘택트 저항 감소
KR1020197004261A KR102079356B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020167036162A KR101784226B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 이용한 트랜지스터 소자, 반도체 소자 및 트랜지스터 소자를 형성하는 방법
KR1020157012018A KR101691115B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 포함하는 트랜지스터 소자 및 그 제조 방법
KR1020207016569A KR102168550B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020177027385A KR101949894B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016008A KR20130088179A (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020207004353A KR102123036B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016371A KR101510029B1 (ko) 2010-12-21 2011-12-07 고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터
KR1020177036360A KR101978085B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020137015944A KR20130111592A (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Country Status (9)

Country Link
US (16) US8901537B2 (ko)
EP (9) EP3312886A1 (ko)
JP (7) JP5732142B2 (ko)
KR (12) KR101489611B1 (ko)
CN (8) CN105720091B (ko)
PL (1) PL3361512T3 (ko)
SG (4) SG190998A1 (ko)
TW (4) TWI544630B (ko)
WO (4) WO2012087404A1 (ko)

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) * 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
RU2561625C2 (ru) 2011-04-15 2015-08-27 АДИТИА БИРЛА САЙЕНС энд ТЕКНОЛОДЖИ КО. ЛТД. Способ отделения и очистки сульфида натрия
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
CN104136551B (zh) 2011-10-24 2016-09-21 埃迪亚贝拉努沃有限公司 生产碳黑的改进工艺
US9006069B2 (en) 2011-12-19 2015-04-14 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
JP6272295B2 (ja) 2012-03-30 2018-01-31 アディティア ビルラ サイエンス アンド テクノロジー カンパニー プライベート リミテッド 硫黄分を低減したカーボンブラック粉末を得る方法
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN103854981A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 鳍结构制造方法
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
CN105229258A (zh) 2013-01-04 2016-01-06 卡博陶粒有限公司 电气地导电的支撑剂以及用于检测、定位和特征化该电气地导电的支撑剂的方法
US8802512B2 (en) * 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US9184233B2 (en) * 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
JP2014222723A (ja) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 電界効果型半導体装置及びその製造方法
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (ko) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법
US10147793B2 (en) * 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
CN108365002B (zh) * 2013-09-27 2021-11-30 英特尔公司 具有ⅲ-ⅴ族材料有源区和渐变栅极电介质的半导体器件
EP3050103B1 (en) 2013-09-27 2020-03-18 Intel Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
CN104681535B (zh) * 2013-11-29 2017-09-29 台湾积体电路制造股份有限公司 半导体器件的接触件结构
KR102216424B1 (ko) * 2013-12-18 2021-02-17 인텔 코포레이션 유효 게이트 길이를 증가시킴으로써 트랜지스터 채널에 걸쳐 게이트 제어를 개선하는 기술들
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
WO2015099692A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Tensile source drain iii-v transistors for mobility improved n-mos
CN110610866B (zh) * 2013-12-27 2023-05-30 英特尔公司 扩散的尖端延伸晶体管
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9431492B2 (en) 2014-02-21 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
KR102167519B1 (ko) * 2014-03-21 2020-10-19 인텔 코포레이션 Ge-풍부 p-mos 소스/드레인 컨택트들의 집적을 위한 기술들
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
CN106463416A (zh) * 2014-06-13 2017-02-22 英特尔公司 用于晶圆键合的表面包封
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
JP6533237B2 (ja) 2014-06-20 2019-06-19 インテル・コーポレーション 高電圧トランジスタ及び低電圧非プレーナ型トランジスタのモノリシック集積
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
JP6529992B2 (ja) * 2014-07-25 2019-06-12 インテル・コーポレーション 半導体デバイスにおけるタングステン合金
KR102219295B1 (ko) * 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
EP3178115A4 (en) * 2014-08-05 2018-03-07 Intel Corporation Apparatus and methods to create microelectronic device isolation by catalytic oxide formation
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (zh) * 2014-08-28 2020-06-02 联华电子股份有限公司 半导体元件及其制作方法
MY188298A (en) * 2014-09-09 2021-11-25 Intel Corp Multi-gate high electron mobility transistors and methods of fabrication
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (zh) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 半導體元件、電子元件及電子元件端子結構
WO2016099570A1 (en) * 2014-12-19 2016-06-23 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
WO2016105348A1 (en) 2014-12-22 2016-06-30 Intel Corporation Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (ko) * 2015-03-16 2016-09-26 엘지전자 주식회사 전기제품, 전기제품의 펌웨어 업데이트 방법 및 네트워크 시스템
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
DE102015106397B4 (de) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9954107B2 (en) 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3311418A4 (en) * 2015-06-19 2019-01-09 Intel Corporation REDUCTION OF RESISTANCE IN TRANSISTORS HAVING SOURCE / DRAIN REGIONS OBTAINED BY EPITAXIAL GROWTH
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
US20180151733A1 (en) * 2015-06-19 2018-05-31 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US10103249B2 (en) 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
CN106548940A (zh) * 2015-09-16 2017-03-29 联华电子股份有限公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9947755B2 (en) * 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN106711214B (zh) * 2015-11-12 2023-08-22 蓝枪半导体有限责任公司 栅极全包覆式纳米线场效晶体管装置
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
WO2017099752A1 (en) * 2015-12-09 2017-06-15 Intel Corporation Stressors for compressively strained gan p-channel
US10079302B2 (en) * 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
KR102532202B1 (ko) 2016-01-22 2023-05-12 삼성전자 주식회사 반도체 소자
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (zh) 2016-05-12 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
JP6606020B2 (ja) * 2016-06-15 2019-11-13 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
US10903364B2 (en) * 2016-07-02 2021-01-26 Intel Corporation Semiconductor device with released source and drain
US10128187B2 (en) 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102259187B1 (ko) * 2016-09-15 2021-06-01 어플라이드 머티어리얼스, 인코포레이티드 콘택 집적 및 선택적 실리사이드 형성 방법들
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
KR102253546B1 (ko) * 2016-09-19 2021-05-18 어플라이드 머티어리얼스, 인코포레이티드 도핑된 게르마늄 형성 방법
JP6724685B2 (ja) * 2016-09-23 2020-07-15 住友電気工業株式会社 半導体装置
US10326019B2 (en) 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
WO2018063192A1 (en) 2016-09-28 2018-04-05 Intel Corporation Transistors with lattice matched gate structure
WO2018063280A1 (en) 2016-09-30 2018-04-05 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in mos transistors
US10468492B2 (en) * 2016-10-12 2019-11-05 Boe Technology Group Co., Ltd. Thin film transistor, array substrate and display apparatus having the same, and fabricating method thereof
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
KR102163383B1 (ko) * 2016-12-12 2020-10-08 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 형성을 위한 방법들
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
US11605556B2 (en) 2017-03-30 2023-03-14 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10043893B1 (en) 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102379707B1 (ko) * 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10319855B2 (en) 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671673B (zh) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) * 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (ko) 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6896305B2 (ja) * 2017-11-09 2021-06-30 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109817525B (zh) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10777663B2 (en) 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
US10504899B2 (en) * 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
CN108155101A (zh) * 2017-12-22 2018-06-12 中国科学院微电子研究所 一种堆叠纳米线及其制造方法
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (ko) 2018-03-21 2019-12-16 한국과학기술원 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (ja) 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
TWI827644B (zh) * 2018-08-28 2024-01-01 日商索尼半導體解決方案公司 半導體裝置及其製造方法
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) * 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
CN111211122B (zh) * 2018-11-21 2024-05-21 长鑫存储技术有限公司 半导体器件的制作方法与半导体器件
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium
CN110634866B (zh) * 2019-09-05 2021-09-14 中国科学院微电子研究所 一种cmos晶体管、cmos晶体管的制备方法及电子设备
KR20210032845A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (zh) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 金属氧化物半导体器件及其制造方法
CN112466932A (zh) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 晶体管外延结构及其制备方法
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (zh) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 基于内嵌空腔soi衬底的多栅mos器件及其制备方法
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN115148799B (zh) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 Rf ldmos器件及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080242037A1 (en) * 2007-03-28 2008-10-02 Bernhard Sell Semiconductor device having self-aligned epitaxial source and drain extensions
JP2010272859A (ja) * 2009-05-21 2010-12-02 Internatl Business Mach Corp <Ibm> 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ)

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (ja) * 1991-12-26 1993-07-23 Toshiba Corp 半導体装置及びその製造方法
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (ja) * 1993-12-16 1995-07-04 Nec Corp 半導体装置およびその製造方法
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (ja) 1997-03-18 1998-09-29 Hitachi Ltd 半導体装置およびその製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
JP2005504436A (ja) * 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP2005183160A (ja) 2003-12-19 2005-07-07 Jst Mfg Co Ltd 雌コンタクト
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
CN100490180C (zh) * 2004-10-04 2009-05-20 松下电器产业株式会社 纵向场效应晶体管及其制造方法
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (de) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8811915B2 (en) * 2005-03-04 2014-08-19 Psion Inc. Digital wireless narrow band radio
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
KR100733419B1 (ko) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 내부전원 생성장치
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
DE102005051994B4 (de) * 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
GB2444888B (en) * 2005-10-31 2009-05-13 Advanced Micro Devices Inc Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4536001B2 (ja) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 透光壁
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
CN101375380B (zh) * 2006-01-25 2010-12-22 Nxp股份有限公司 具有势垒的隧道晶体管
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP4960007B2 (ja) * 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
JP5130648B2 (ja) 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5018473B2 (ja) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5317483B2 (ja) 2008-01-29 2013-10-16 株式会社東芝 半導体装置
WO2009101944A1 (ja) * 2008-02-14 2009-08-20 Sharp Kabushiki Kaisha 半導体素子及び微細構造体配置基板の製造方法並びに表示素子
JP2009200090A (ja) 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (ja) 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (zh) * 2010-03-03 2014-09-11 Huang Chung Cheng 奈米結構的製造方法及奈米結構於三維結構之應用
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080242037A1 (en) * 2007-03-28 2008-10-02 Bernhard Sell Semiconductor device having self-aligned epitaxial source and drain extensions
JP2010272859A (ja) * 2009-05-21 2010-12-02 Internatl Business Mach Corp <Ibm> 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ)

Also Published As

Publication number Publication date
JP6329294B2 (ja) 2018-05-23
US20200144362A1 (en) 2020-05-07
WO2012087403A1 (en) 2012-06-28
US20180342582A1 (en) 2018-11-29
EP3726588A1 (en) 2020-10-21
EP3361512A1 (en) 2018-08-15
US20150333180A1 (en) 2015-11-19
KR20200070434A (ko) 2020-06-17
EP2656389B1 (en) 2019-07-10
WO2012087581A3 (en) 2012-09-07
CN103329274B (zh) 2016-03-23
US9627384B2 (en) 2017-04-18
US11387320B2 (en) 2022-07-12
TWI643342B (zh) 2018-12-01
WO2012087404A1 (en) 2012-06-28
EP3582265A1 (en) 2019-12-18
PL3361512T3 (pl) 2021-04-19
TWI756520B (zh) 2022-03-01
CN105932063B (zh) 2019-12-17
KR101949894B1 (ko) 2019-02-20
US20190259835A1 (en) 2019-08-22
KR20190018755A (ko) 2019-02-25
JP2017135399A (ja) 2017-08-03
SG190998A1 (en) 2013-07-31
US11251281B2 (en) 2022-02-15
KR101510029B1 (ko) 2015-04-08
WO2012088097A2 (en) 2012-06-28
KR101978085B1 (ko) 2019-05-13
KR20200018740A (ko) 2020-02-19
EP2656392A2 (en) 2013-10-30
US9722023B2 (en) 2017-08-01
JP5714721B2 (ja) 2015-05-07
WO2012088097A3 (en) 2012-10-26
CN103270597B (zh) 2016-06-22
SG191003A1 (en) 2013-07-31
TWI690084B (zh) 2020-04-01
US9437691B2 (en) 2016-09-06
US20150060945A1 (en) 2015-03-05
CN103270598A (zh) 2013-08-28
CN105826390B (zh) 2021-05-25
US20130264639A1 (en) 2013-10-10
EP2656391A2 (en) 2013-10-30
CN105932063A (zh) 2016-09-07
CN106684148B (zh) 2020-12-01
KR101784226B1 (ko) 2017-10-11
EP2656393A4 (en) 2014-09-10
US20220271125A1 (en) 2022-08-25
EP2656389A1 (en) 2013-10-30
KR101489611B1 (ko) 2015-02-04
CN105826390A (zh) 2016-08-03
EP2656391A4 (en) 2014-09-10
US10553680B2 (en) 2020-02-04
US10879353B2 (en) 2020-12-29
EP2656393A1 (en) 2013-10-30
KR20130111592A (ko) 2013-10-10
JP2014508396A (ja) 2014-04-03
US20200287011A1 (en) 2020-09-10
JP5732142B2 (ja) 2015-06-10
EP3312886A1 (en) 2018-04-25
JP2014504453A (ja) 2014-02-20
TWI544630B (zh) 2016-08-01
US20160322359A1 (en) 2016-11-03
EP2656391B1 (en) 2018-08-22
US10304927B2 (en) 2019-05-28
SG191005A1 (en) 2013-07-31
CN103329274A (zh) 2013-09-25
US10811496B2 (en) 2020-10-20
US10090383B2 (en) 2018-10-02
US20130240989A1 (en) 2013-09-19
US20160372547A1 (en) 2016-12-22
US20210005712A1 (en) 2021-01-07
KR20170116200A (ko) 2017-10-18
SG191004A1 (en) 2013-07-31
CN103270597A (zh) 2013-08-28
TW201242022A (en) 2012-10-16
US20120153387A1 (en) 2012-06-21
KR102168550B1 (ko) 2020-10-21
JP5714722B2 (ja) 2015-05-07
US20200127091A1 (en) 2020-04-23
CN106684148A (zh) 2017-05-17
US9117791B2 (en) 2015-08-25
KR20130088188A (ko) 2013-08-07
US9349810B2 (en) 2016-05-24
TW201824567A (zh) 2018-07-01
JP2014501452A (ja) 2014-01-20
JP2018113484A (ja) 2018-07-19
EP2656389A4 (en) 2014-09-10
US20170221724A1 (en) 2017-08-03
EP3361512B1 (en) 2020-09-02
US11508813B2 (en) 2022-11-22
KR20170000404A (ko) 2017-01-02
CN103270599A (zh) 2013-08-28
CN105720091B (zh) 2019-06-28
EP3588579A1 (en) 2020-01-01
EP2656392A4 (en) 2014-09-10
WO2012087581A2 (en) 2012-06-28
KR20180005251A (ko) 2018-01-15
CN103270598B (zh) 2016-06-15
US8901537B2 (en) 2014-12-02
EP2656393B1 (en) 2020-01-01
KR20150058552A (ko) 2015-05-28
US20170373147A1 (en) 2017-12-28
CN105720091A (zh) 2016-06-29
CN103270599B (zh) 2016-08-03
JP2014507792A (ja) 2014-03-27
KR20130088179A (ko) 2013-08-07
KR102079356B1 (ko) 2020-02-19
KR20150058546A (ko) 2015-05-28
TW201701481A (zh) 2017-01-01
JP2020074389A (ja) 2020-05-14
KR101691115B1 (ko) 2016-12-30
KR20130111595A (ko) 2013-10-10
KR102123036B1 (ko) 2020-06-15
TW201946283A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
KR101812389B1 (ko) Pmos 집적을 위한 컬럼 iv 트랜지스터
US10541334B2 (en) Techniques for integration of Ge-rich p-MOS source/drain
US9705000B2 (en) III-V layers for n-type and p-type MOS source-drain contacts
US9754940B2 (en) Self-aligned contact metallization for reduced contact resistance
US8896066B2 (en) Tin doped III-V material contacts

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant