CN105826390A - 晶体管器件、电子设备以及形成晶体管器件的方法 - Google Patents

晶体管器件、电子设备以及形成晶体管器件的方法 Download PDF

Info

Publication number
CN105826390A
CN105826390A CN201610313170.4A CN201610313170A CN105826390A CN 105826390 A CN105826390 A CN 105826390A CN 201610313170 A CN201610313170 A CN 201610313170A CN 105826390 A CN105826390 A CN 105826390A
Authority
CN
China
Prior art keywords
concentration
boron
drain region
sige layer
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610313170.4A
Other languages
English (en)
Other versions
CN105826390B (zh
Inventor
G·A·格拉斯
A·S·默西
T·加尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN105826390A publication Critical patent/CN105826390A/zh
Application granted granted Critical
Publication of CN105826390B publication Critical patent/CN105826390B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Abstract

本发明涉及一种晶体管器件、电子设备以及形成晶体管器件的方法。公开了用于形成晶体管器件的技术,其相对于常规器件具有减小的寄生接触电阻。该技术例如可以使用标准接触部堆叠体来实现,所述标准接触部堆叠体例如为在硅或硅锗(SiGe)源极/漏极区上的一系列金属。根据一个示例性的此类实施例,在源极/漏极与接触部金属之间提供中间硼掺杂锗层,以显著减小接触电阻。根据本公开内容,多种晶体管结构和适合的制造工艺会是显而易见的,包括平面和非平面晶体管结构(例如,FinFET),以及应变的和未应变的沟道结构。分级的缓冲部可以用于减小错配位错。这些技术尤其适合于实现p型器件,但如有需要也可以用于n型器件。

Description

晶体管器件、电子设备以及形成晶体管器件的方法
本申请为分案申请,其原申请是于2013年6月21日(国际申请日为2011年9月30日)向中国专利局提交的专利申请,申请号为201180062116.2,发明名称为“晶体管器件、电子设备以及形成晶体管器件的方法”。
相关申请
本申请是2010年12月21日提交的美国申请No.12/975278的部分继续申请。
技术领域
本发明涉及一种晶体管器件、电子设备以及形成晶体管器件的方法。
背景技术
包括形成于半导体衬底上的晶体管、二极管、电阻器、电容器及其他无源和有源电子器件的电路器件的提高的性能,通常是在这些器件的设计、制造和操作过程中考虑的主要因素。例如,在金属氧化物半导体(MOS)晶体管半导体器件(例如在互补金属氧化物半导体(CMOS)中所使用的那些)的设计和制造或形成的过程中,常常希望使得与接触部相关的寄生电阻(或者称为外电阻Rext)最小化。减小的Rext能够由相等的晶体管设计实现较高的电流。
发明内容
根据本发明的一个方面,提供了一种晶体管器件,包括:
具有沟道区的衬底;
与所述沟道区相邻的源极区和漏极区;以及
在所述源极区和所述漏极区的至少一部分上的硼掺杂锗层,该硼掺杂锗层具有超过90原子%的锗浓度和超过1E20cm-3的硼浓度。
根据本发明的另一方面,提供了一种晶体管器件,包括:
具有沟道区的衬底;
在所述沟道区上方的栅极电极,其中,在所述栅极电极与所述沟道区之间提供了栅极电介质层,在所述栅极电极的侧面上提供了间隔体;
与所述沟道区相邻的源极区和漏极区,所述源极区和所述漏极区中的每一个都包括尖端区,所述尖端区在所述栅极电介质层和/或对应的一个所述间隔体下方延伸;
在所述源极区和所述漏极区的至少一部分上的硼掺杂锗层,所述硼掺杂锗层具有超过95原子%的锗浓度和超过2E20cm-3的硼浓度;以及
在所述硼掺杂锗层上的第一金属-锗化物接触部和第二金属-锗化物接触部,所述第一金属-锗化物接触部和所述第二金属-锗化物接触部分别位于所述源极区和所述漏极区中的对应的一个之上;
其中,所述器件是平面晶体管或FinFET晶体管中的一种。
根据本发明的另一方面,提供了一种电子设备,包括:
印刷电路板,其具有一个或多个集成电路,其中,所述一个或多个集成电路中的至少一个集成电路包括根据本发明所述的晶体管器件。
根据本发明的另一方面,提供了一种用于形成晶体管器件的方法,包括:
提供具有沟道区的衬底;
在所述沟道区上方提供栅极电极,其中,在所述栅极电极与所述沟道区之间提供了栅极电介质层;以及
与所述沟道区相邻地提供源极区和漏极区;
在所述源极区和所述漏极区中的至少一部分上提供硼掺杂锗层,所述硼掺杂锗层具有超过90原子%的锗浓度和超过1E20cm-3的硼浓度;
在所述硼掺杂锗层上且在所述源极区之上提供第一金属-锗化物接触部;以及
在所述硼掺杂锗层上且在所述漏极区之上提供第二金属-锗化物接触部。
附图说明
图1A示出了根据本发明一个实施例的在源极/漏极层与接触部金属之间配置有硼掺杂锗层的MOS器件。
图1B示出了根据本发明另一个实施例的在源极/漏极层与接触部金属之间配置有硼掺杂锗层的MOS器件。
图1C示出了根据本发明另一个实施例的在源极/漏极层与接触部金属之间配置有硼掺杂锗层的MOS器件。
图2是根据本发明实施例的用于形成具有低接触电阻的晶体管结构的方法。
图3A到3I示出了根据本发明多个实施例的在实施图2的方法时形成的结构。
图4是根据本发明另一个实施例的用于形成具有低接触电阻的晶体管结构的方法。
图5A到5F示出了根据本发明多个实施例的在实施图4的方法时形成的结构。
图6示出了根据本发明一个实施例配置的FinFET晶体管架构的透视图。
图7示出了分批图(plotofasplitlot),该分批图示出根据本发明实施例配置的晶体管结构和没有配置帽层的标准晶体管结构的接触电阻。
图8示出了根据本发明的示例性实施例的实现有一个或多个晶体管结构的计算系统。
可以理解,附图不一定按照比例绘制,或者旨在将所要求保护的发明局限于所示出的特定结构。例如,尽管一些图形总体上表示直线、直角和平滑表面,但考虑到所用的处理设备和技术的现实世界的限制,晶体管结构的实际实施方式可以具有不太完美的直线、直角,一些特征可以具有表面拓扑,或者是非平滑的。总之,提供附图仅用于示出示例性结构。
具体实施方式
公开了用于形成晶体管器件的技术,其相对于常规器件具有减小的寄生接触电阻。所述技术例如可以使用标准接触部堆叠体来实现,所述标准接触部堆叠体例如为在硅或硅锗(SiGe)源极/漏极区上的一系列金属。根据一个示例性的此类实施例,在源极/漏极与接触部金属之间提供中间硼掺杂锗层,以显著减小接触电阻。根据本公开内容,多种晶体管结构和适合的制造工艺会是显而易见的,包括平面和非平面晶体管结构(例如,FinFET),以及应变的和未应变的沟道结构。所述技术尤其适合于实现p型器件,但如有需要也可以用于n型器件。
概述
如前解释的,可以通过减小器件电阻来实现晶体管中增大的驱动电流。接触电阻是器件的总电阻的一个分量。标准晶体管接触部堆叠体通常包括例如硅或SiGe源极/漏极层、硅化镍层、氮化钛粘附层、和钨接触部/焊盘。在这种结构中,接触电阻受到相对于金属中钉扎能级的硅或SiGe价带配准(alignment)的有效限制。通常,使用诸如镍的工业标准硅化物(或其他适合的硅化物,诸如钛、钴、或铂等),这会导致约0.5eV的带失配。这样,根据本发明的一个示例性实施例,在源极/漏极与接触部金属之间提供中间硼掺杂锗层,以显著减小带失配值与接触电阻。
在一个特定示例性实施例中,配置有中间硼掺杂锗层的接触部呈现了带失配值减小到小于0.2eV,以及接触电阻约3倍的对应减小(相对于类似配置的常规接触部堆叠体,但在源极/漏极区与接触部金属之间不具有中间硼掺杂锗层)。透射电子显微镜法(TEM)横截面或次级离子质谱法(SIMS)分布图(profile)可以用于示出遍及薄膜结构的垂直堆叠体的锗浓度,因为可以易于区分SiGe和硅的外延合金的分布图与锗浓度分布图。
这样,根据本发明实施例配置的晶体管结构就较低的接触电阻而言提供了对传统结构的改进。一些此类实施例有效地将锗的优异接触特性与Si和SiGe的优异半导体晶体管特性相融合,以提供下一代的低电阻接触部。
根据本公开内容,多种晶体管结构和适合的制造工艺会是显而易见的,包括平面和非平面晶体管结构(例如,双栅极和三栅极晶体管结构),以及应变的和未应变的沟道结构。许多这种结构特征和材料系统都可以结合如本文所述的锗覆盖层来使用。晶体管结构可以包括p型源极/漏极区、n型源极/漏极区,或者n型和p型源极/漏极区。在一些示例性实施例中,晶体管结构包括MOS结构中的硅、SiGe合金或名义上纯的锗膜(例如,具有小于10%硅的锗膜)的外延(或多晶)置换源极/漏极区或者掺杂剂-注入的源极/漏极区或。在任何此类实施方式中,根据本发明的实施例,可以直接在源极/漏极区上形成硼掺杂锗的覆盖层或帽层。随后可以沉积接触部金属(或一系列金属),并可以执行后续反应(退火),以形成金属锗化物源极和漏极接触部。如会理解的,接触部可以实现为堆叠体,包括一个或多个硅化物层、粘附层、和/或金属焊盘层。如果希望,也可以在晶体管结构的其他部分上直接形成硼掺杂锗覆盖层,例如多晶栅极和/或接地接头(tap)区。
众所周知,MOS晶体管可以包括源极和漏极尖端区,将其设计为减小晶体管的总体电阻,同时改善短沟道效应(SCE)。传统上,这些尖端区是衬底的使用注入和扩散技术注入诸如硼或碳的掺杂剂的部分。在源极区与沟道区之间的区域中形成源极尖端区。类似地,在漏极区与沟道区之间的区域中形成漏极尖端区。本发明的一些实施例配置有这种常规形成的尖端区。在其他示例性实施例中,使用制造技术来延伸自对准外延尖端(SET)晶体管,以实现极为接近单轴向应变的理论极限。例如,这可以通过以下方式来完成:在源极和漏极区以及其相应的尖端区中进行选择性外延沉积,以形成覆盖有硼掺杂锗层的覆盖层的硼掺杂硅或SiGe(用于源极/漏极区)的双层结构。锗和硼浓度可以改变,但在一些示例性实施例中,锗浓度在20原子%到100原子%范围中,硼浓度在1E20cm-3到2E21cm-3范围中(例如,锗浓度超过50原子%,硼浓度超过2E20cm-3)。注意,可以在尖端区中提供硼掺杂锗层,但在其他实施例中,仅在源极/漏极区之上(不在尖端区中)提供硼掺杂锗层。
在其他的示例性实施例中,可任选的具有分级的锗浓度和/或硼浓度的薄缓冲部可以用作下层衬底与源极/漏极层(例如,硅或SiGe)之间的分界面层。类似地,具有分级的锗浓度和/或硼浓度的薄缓冲部可以用作在源极/漏极层与硼掺杂锗帽层之间的分界面层。在其他的实施例中,以类似于可任选的缓冲部的方式,硼掺杂锗覆盖层或源极/漏极层自身可以具有分级的锗和/或硼浓度。在任何此类情况下,由于硼扩散在锗中受到抑制(浓度越高,相对抑制越大),高浓度的硼可以掺杂在锗中,这又导致较低的寄生电阻,且不会使尖端陡度(abruptness)降级。另外,通过降低肖特基势垒高度减小了接触电阻。
架构和方法
图1A示出了根据本发明的实施例的,形成在衬底102上且在源极/漏极层和接触部金属之间配置有硼掺杂锗层的MOS器件100A。具体地,在源极层110与接触部金属125之间提供硼掺杂锗层117,在漏极层112与接触部金属127之间提供硼掺杂锗层119。可以使用许多传统技术来形成源极区110与漏极区112。在该示例性实施例中,例如,通过蚀刻衬底,随后外延沉积硅或硅锗材料(例如,锗浓度范围为10到70原子%)来形成源极区110与漏极区112。
在晶体管100A的沟道区120之上形成栅极堆叠体122。如可以进一步看出的,栅极堆叠体122包括栅极电介质层106和栅极电极104,相邻于栅极堆叠体122形成间隔体108。在一些示例性情况下,并且根据技术节点,间隔体108在栅极电介质层106的边缘与源极和漏极区110/112中的每一个的边缘之间产生约10到20纳米(nm)的距离。在这个间隔内可以形成源极尖端区110A和漏极尖端区112A。在这个示例性实施例中,借助典型的基于注入-扩散的工艺来形成尖端区110A/112A,所述尖端区110A/112A与间隔体108重叠,且还可以在例如小于10nm的距离上与栅极电介质层106重叠或在栅极电介质层106下扩散。在形成基于注入-扩散的尖端区110A/112A的过程中,将诸如硼或碳的掺杂剂注入源极区110和漏极区112中。随后对晶体管100A进行退火,以使得掺杂剂向沟道区120扩散。成角度的离子注入技术也可以用于将掺杂剂进一步注入在栅极电介质层106与源极/漏极区110/112之间的这些区域中。这种基于注入-扩散的尖端形成工艺通常不会引起沟道区上的应变。
总之,根据本公开内容会理解的是,无论晶体管结构具有应变或未应变的沟道,还是具有源极-漏极尖端区或不具有源极-漏极尖端区并不特别地与本发明的各实施例相关,这种实施例并非旨在局限于任何特定的此类结构特征。相反,许多晶体管结构和类型都可以得益于使用本文所述的硼掺杂锗覆盖层。本文提供的技术适合于例如传统掺杂剂注入的硅、隆起的源极/漏极、应变的SiGe(或其他适合的材料)、及在栅极电极电介质下延伸的或与由栅极电极电介质定义的垂线间隔开的任何沉积的外延尖端(有时称为源极-漏极延伸部)。
通常在形成源极/漏极区110/112后且在形成接触部125/127前提供锗覆盖层117/119。该覆盖层117/119的厚度在实施例之间可以变化,但在一个示例性实施例中在50到150埃范围中。覆盖层117/119的硼浓度也可以变化,但在一个示例性实施例中在1E20cm-3到2E21cm-3范围中(例如超过2E20cm-3)。可以选择性地在源极/漏极区110/112(和/或所希望的其他区域,例如多晶栅极或接地接头区)上沉积覆盖层117/119。许多适合的沉积技术可以用于提供覆盖层117/119(例如,化学气相沉积、分子束外延等)。根据一个示例性实施例,接触部金属125和127均包括硅化镍层、氮化钛粘附层、和钨接触部/焊盘的堆叠体,但根据本公开内容会理解,可以使用许多接触部金属结构。标准沉积技术可以用于提供接触部金属125/127。
图1B示出了根据本发明另一个实施例的形成于衬底102上的示例性MOS器件100B,该MOS器件100B在源极/漏极层110/112与接触部金属125/127之间配置有硼掺杂锗层117/119。该示例性结构包括源极和漏极外延尖端(本文中通常称为外延尖端(epi-tip))。更具体地,MOS晶体管100B使用底切蚀刻,以允许源极区110和漏极区112在间隔体108下方延伸,在一些情况下,是在栅极电介质层106下方延伸。将在间隔体108(有可能在栅极电介质层106)下方延伸的源极/漏极区110/112的部分分别统称为源极外延尖端110B和漏极外延尖端112B。源极和漏极外延尖端110B/112B代替针对图1A所述的基于注入/扩散的尖端区110A/112A。根据一个实施例,如图1B所示,例如可以通过蚀刻衬底102,这包括底切间隔体108(有可能是栅极电介质层106),随后使用选择性外延沉积来例如提供原位掺杂硅、锗或SiGe,以填充源极/漏极区110/112和源极/漏极外延尖端110B/112B,来形成源极/漏极区110/112和源极/漏极外延尖端110B/112B。注意,外延填充部可以相对于衬底102的表面隆起,如图1B中进一步所示的,尽管也可以使用不隆起的结构。例如,如前针对图1A所述的,可以实现锗覆盖层117/119和接触部金属125/127。
图1C示出了根据本发明另一个实施例的形成于衬底102上且在相应的源极/漏极层110/112与接触部金属125/127之间配置有硼掺杂锗层117/119的MOS器件100C。通过将诸如硼的掺杂剂注入到衬底中来形成该示例性实施例中的源极区110和漏极区112。栅极堆叠体122在晶体管100C的沟道区120上形成,且在该示例性情况下不包括侧壁108。这个示例性晶体管结构也不包括类似于图1A和1B所示实施例的底切或尖端区。例如,如前针对图1A所述的,可以实现锗覆盖层117/119和接触部金属125/127。
可以针对根据本发明配置的晶体管结构实现许多其他变化和特征。例如,分级的缓冲部可以用于结构的一个或多个位置。例如,衬底102可以是硅衬底,或者绝缘体上硅(SOI)的硅膜衬底,或者多层衬底,其包括硅、硅锗、锗、和/或III-V族化合物半导体。因此,示例性地,在具有硅或硅锗衬底102,且原位硼掺杂SiGe填充源极/漏极区110/112和源/漏外延尖端110B/112B的实施例中,可以在下层衬底102与源极/漏极材料之间提供缓冲部。在一个此类实施例中,缓冲部可以是分级的硼掺杂的(或本征的)硅锗层,其具有从与下层衬底相容的基准级别(baselevel)到高达100原子%(或接近100原子%,诸如超过90原子%或95原子%或98原子%)分级的锗浓度。这个缓冲部内的硼浓度可以是固定的(例如在高级别)或分级的,例如从处于下层衬底的或与其相容的基准浓度到预期的高浓度(例如,超过2E20cm-3)。注意,本文所用的“相容性”并非必须要求浓度级别重叠(例如,下层衬底的锗浓度可以是0到20原子%,缓冲部的初始锗浓度可以是30到40原子%)。另外,本文所用的相对于浓度级别的词语“固定”旨在表示相对恒定的浓度级别(例如,层中最低浓度级别在该层内最高浓度级别的10%以内)。在更普遍的意义上,固定的浓度级别旨在表示缺少有意分级的浓度级别。缓冲部的厚度可以根据诸如缓冲的浓度的范围之类的因素而改变,但在一些实施例中,其在30到范围中,诸如50到 (例如,)。根据本公开内容将会意识到,这种分级的缓冲部有益地降低了肖特基势垒高度。
可替换地,不是使用在衬底102与源极/漏极区110/112和源极/漏极外延尖端110B/112B之间的薄缓冲部,可以以类似的方式将源极/漏极材料自身分级。例如,根据一个示例性实施例,可以以从与下层衬底相容的基准级别浓度(例如,在30到70原子%范围中)到高达100原子%分级的锗浓度来配置硼掺杂SiGe源极/漏极区110/112和源极/漏极外延尖端110B/112B。在一些这种实施例中,该硼掺杂锗层内的硼浓度范围例如可以是从处于下层衬底的或与之相容的基准浓度到预期的高浓度(例如,超过2E20cm-3)。
在其他实施例中,可以在源极/漏极材料与硼掺杂的锗覆盖层117/119之间提供缓冲部。在一个这种实施例中,源极/漏极材料是硼掺杂的SiGe层,具有固定的锗浓度(例如,在30到70原子%范围中);缓冲部可以是薄SiGe层(例如,30到诸如50到),具有从与下层硼掺杂的SiGe层相容的基准级别浓度到高达100原子%(或接近100原子%,诸如超过90原子%或95原子%或98原子%)分级的锗浓度。在一些这种情况下,该缓冲部内的硼浓度例如可以固定在预期的高级别,或者范围例如可以是从处于下层SiGe层的或与之相容的基准浓度到预期的高浓度(例如,超过1E20cm-3、2E20cm-3、3E20cm-3)。可替换地,不是使用在源极/漏极材料与硼掺杂的锗覆盖层117/119之间的缓冲部,可以以类似的方式对覆盖层117/119自身分级。例如,根据一个示例性实施例,可以以从与下层衬底和/或源极/漏极区相容的基准级别浓度(例如,在30到70原子%范围中)到高达100原子%(或者接近100原子%)分级的锗浓度来配置硼掺杂的覆盖层117/119。在这个覆盖层117/119内的硼浓度例如可以固定在高级别或者例如可以在从处于下层衬底和/或源极/漏极区的或与之相容的基准浓度到预期的高浓度(例如,超过2E20cm-3)的范围中。
因此,提供了用于多种晶体管器件的低接触电阻架构。可以部分地使用许多传统工艺来形成器件,例如通过源极/漏极区中的栅极氧化物、多晶栅极电极、薄间隔体、及各向同性底切蚀刻(或者氨蚀刻以在单晶衬底中形成有小面的(faceted)鳍凹槽,或者用以形成鳍凹槽的其他适合的蚀刻)来形成器件。根据一些实施例,选择性外延沉积可以用于提供原位掺杂硅,或者可替换地,充分应变的硅锗层,以形成具有或不具有尖端的源极/漏极区。可以如前所解释的那样使用可任选的缓冲部。也可以使用任何适合的高-k置换金属栅极(RMG)工艺流程,其中高-k电介质代替了传统的栅极氧化物。例如,利用镍、镍-铂、或者进行了或没有进行锗的预先非晶化注入的钛的硅化(silicidation)可以用于形成低电阻锗化物。本文提供的技术例如可应用以有益于任何技术节点(例如,90nm、65nm、45nm、32nm、22nm、14nm和10nm晶体管,及更低的),所要求保护的本发明并非旨在局限于器件几何尺寸的任何特定的此类节点或范围。根据本公开内容,其他优点将会是显而易见的。
图2是根据本发明实施例的用于形成具有低接触电阻的晶体管结构的方法。图3A到3I示出了根据本发明一些实施例的随着实施该方法而形成的示例性结构。
如图所示,方法以在半导体衬底上形成202栅极堆叠体开始,在该半导体衬底上可以形成诸如PMOS晶体管的MOS器件。例如可以以块硅或绝缘体上硅结构来实现半导体衬底。在其他实施方式中,可以使用可以结合或不结合硅的替换的材料来形成半导体衬底,所述替换的材料诸如锗、硅锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓。在更普遍的意义上,根据本发明的实施例,可以使用可以充当在其上可以构造半导体器件的基础的任何材料。可以如传统所实施的那样或者使用任何适合的定制技术来形成栅极堆叠体。在本发明的一些实施例中,可以通过沉积,随后对栅极电介质层和栅极电极层进行构图来形成栅极堆叠体。例如,在一个示例性情况下,可以使用传统沉积工艺在半导体衬底上均厚沉积栅极电介质层,所述传统沉积工艺例如为化学气相沉积(CVD)、原子层沉积(ALD)、旋涂沉积(SOD)、或物理气相沉积(PVD)。也可以使用替换的沉积技术,例如,可以热生长栅极电介质层。例如,可以由诸如氧化硅或高-k电介质材料的材料形成栅极电介质材料。高-k栅极电介质材料的实例例如包括二氧化铪、铪硅氧化物、氧化镧、镧铝氧化物、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物、和铌锌酸铅。在一些特定的示例性实施例中,高-k栅极电介质层的厚度可以在约到约之间(例如,)。通常,栅极电介质层的厚度应足以使得栅极电极与相邻的源极和漏极接触部电隔离。在进一步的实施例中,可以在高-k栅极电介质层上执行额外的处理,诸如退火工艺以改进高-k材料的质量。接下来,可以使用诸如ALD、CVD、或PVD的类似沉积技术在栅极电介质层上沉积栅极电极材料。在一些这种特定实施例中,栅极电极材料是多晶硅或金属层,尽管也可以使用其他适合的栅极电极材料。栅极电极材料可以是牺牲材料,稍后针对置换金属栅极(RMG)工艺将其去除,其在一些实施例中具有范围中(例如)的厚度。随后可以实施传统的构图工艺,以蚀刻掉栅极电极层和栅极电介质层的部分,从而形成栅极叠置体,如图3A所示。可以看出,图3A示出了衬底300,在其上形成栅极堆叠体。在这个示例性实施例中,栅极堆叠体包括栅极电介质层302(其可以是高-k栅极电介质材料)和牺牲栅极电极304。在一个特定示例性情况下,栅极堆叠体包括氧化硅栅极电介质层302和多晶硅栅极电极304。栅极堆叠体还可以包括栅极硬掩模层306,其在处理过程中提供某些益处或用途,诸如保护栅极电极304使其免于随后的离子注入工艺。可以使用诸如氧化硅、氮化硅、和/或其他常规电介质材料等典型的硬掩模材料来形成硬掩模层306。图3A进一步示出了形成于堆叠体任一侧上的间隔体310。例如可以使用诸如氧化硅、氮化硅或其他适合的间隔体材料的常规材料来形成间隔体310。通常可以基于对所形成晶体管的设计要求来选择间隔体310的宽度。然而根据一些实施例,间隔体310的宽度不受形成源极和漏极外延尖端所施加的设计约束的支配,只要如本文所述的那样,源极/漏极尖端区中有足够高的硼掺杂锗含量(硼不会扩散到沟道中)。
进一步参考图2,在形成栅极堆叠体后,方法继续进行定义204晶体管结构的源极/漏极区。如前解释的,可以以许多适合的工艺和结构来实现源极/漏极区。例如,可以注入、蚀刻和外延填充、升高源极/漏极区,所述源极/漏极区可以是硅或SiGe合金、p型或n型的,具有平面或鳍形扩散区。在图3A所示的示例性实施例中,蚀刻了衬底300以提供空腔312/314以及相应的尖端区域312A/314A,其底切栅极电介质302。图3B示出了填充空腔312/314和尖端区312A/314A以提供源极/漏极区318/320和尖端区318A/320A后的衬底300。根据一些示例性实施例,以原位掺杂的硅或SiGe填充源极和漏极区空腔312/314连同其相应的尖端区312A/314A,从而形成源极区318(连同外延尖端318A)和漏极区320(连同漏极外延尖端320A)。在此就材料(例如硅、SiGe、III-V族材料)、掺杂剂(例如,超过2E21cm-3的硼,或其他适合的掺杂剂/浓度)以及尺寸(例如,源极/漏极层的厚度范围例如可以在50到500nm之间,以便提供平齐的或隆起的源极/漏极区)而言,可以使用许多源极/漏极层配置。
如前解释的,一些这种实施例可以包括在源极/漏极层与衬底或源极/漏极与硼掺杂锗覆盖层之间的薄缓冲部。例如,可以进一步在图3B所示的示例性实施例中看出,在沉积源极/漏极材料之前沉积源极缓冲部313和漏极缓冲部315。在一些实施例中,缓冲部313和315可以是分级的硼掺杂硅锗层,具有从与下层衬底300材料相容的基准级别浓度到高达100原子%(或如前所述的接近100原子%)分级的锗成分。硼浓度也可以适当地分级。根据本公开内容,许多缓冲部方案会是显而易见的。
进一步参考图2,在定义了源极/漏极区后,该方法继续进行在晶体管结构的源极/漏极区上沉积206硼掺杂锗。图3C示出了硼掺杂锗层317/319。在一些示例性实施例中,硼掺杂锗层317/319可以外延沉积在一个或多个层中,其具有超过90原子%的锗浓度,尽管根据本公开内容会理解也可以使用其他适合的浓度级别(例如,超过91原子%,或92原子%,......,或98原子%或99原子%,或者真正的纯锗)。如前解释的,该锗浓度可以是固定的或者分级的,以便从基准级别(接近衬底300)增大到高级别(例如,超过90原子%)。在一些这种实施例中,硼浓度可以超过1E20cm-3,例如高于2E20cm-3或2E21cm-3,也可以是分级的,以便从接近衬底300的基准级别增大到高级别(例如,超过1E20cm-3,或2E20cm-3,或3E20cm-3,……,2E21cm-3)。如前解释的,在下层源极/漏极区318/320的锗浓度是固定的或者相对低的实施例中,分级的缓冲部可以用于更好地连接源极/漏极区318/320与硼掺杂锗层317/319。根据一些特定的示例性实施例,硼掺杂锗帽层317/319的厚度可以具有例如在范围中的厚度,尽管如根据本公开内容会是显而易见的,可替换的实施例可以具有其它层厚度。
在一些实施例中,CVD工艺或其它适合的沉积技术可以用于沉积206或形成硼掺杂锗层317/319。例如,可以使用包含诸如锗烷(GeH4)或乙锗烷(Ge2H6)和乙硼烷(B2H6)或二氟化甲氧硼(BF2)的前驱气体的锗和硼,在CVD、或速热CVD(RT-CVD)、或低压CVD(LP-CVD)、或超高真空CVD(UHV-CVD)、或气源分子束外延(GS-MBE)设备中执行沉积206。在一些这种实施例中,例如,可以存在诸如氢气、氮气或惰性气体的载运气体(例如,以载运气体1-5%的浓度稀释前驱气体)。也可以存在蚀刻剂气体,例如基于卤素的气体,诸如氯化氢(HCl)、氯(Cl)、或溴化氢(HBr)。锗以及硼掺杂锗的基础沉积在使用例如300℃到800℃范围(例如300-500℃)中的沉积温度,和例如1Torr到760Torr范围中的反应器压力的宽泛条件下也是可能的。锗是天然选择性的,因为它沉积在硅或硅锗合金上,不沉积在诸如氧化硅和氮化硅的其它材料上。如以前注意到的,由于该天然选择性并非完全完美的,小流量的蚀刻剂可以用于增大沉积的选择性。载体和蚀刻剂中的每一个都可以具有10到300SCCM范围中的流速(通常,需要不大于100SCCM的流速,但一些实施例可能需要更高的流速)。在一个特定的示例性实施例中,使用在氢气中稀释为1%浓度的GeH4,并以100到1000SCCM范围的流速执行沉积206。对于硼的原位掺杂,可以使用稀释的B2H6(例如,B2H6可以在H2中稀释为3%浓度,并且流速范围为100到600SCCM)。在一些这种特定的示例性情况下,以例如10到100SCCM范围的流速添加HCl或Cl2的蚀刻剂,以增大沉积的选择性。
如根据本公开内容会理解的,可以根据需要改变沉积硼掺杂锗层317/319的选择性。例如在一些情况下,仅在源极/漏极区318/320或一部分源极/漏极区318/320上(而不是跨越整个结构)沉积硼掺杂锗层317/319。许多掩蔽/构图技术可以用于选择性沉积层317/319。此外,其他实施例可以得益于覆盖例如多晶栅极区或接地接头区的层317/319。如根据本公开内容会进一步理解的,根据一些示例性实施例,高锗浓度(例如,超过90原子%,并高达纯锗)与高硼浓度(例如,超过2E20cm-3)的组合可以用于在源极和漏极区(及希望得到低接触电阻的其他区域,诸如接地接头区)中实现明显低得多的接触电阻。此外,如前解释的,由于硼扩散受到纯锗的充分抑制,随后的热退火没有获得任何不利的SCE降级,尽管有接近沟道的高硼浓度(如果适用的话)。由在接触表面的较高浓度的锗还实现了势垒高度的降低。在一些示例性实施例中,超过95原子%并高达纯锗(100原子%)的锗浓度可以用于实现这种益处。
进一步参考图2,在提供了硼掺杂锗层317/319后,该方法继续进行在层317/319上沉积208电介质。图3D示出了电介质322与栅极堆叠体的硬掩模306平齐,但其不必如此。可以用多种方式配置电介质。在一些实施例中,以氧化硅(SiO2)或其他低-k电介质材料来实现电介质322。在其他实施例中,以氮化硅(SiN)衬里,之后是一个或多个SiO2层,或者氮化物、氧化物、氧氮化物、碳化物、碳氧化物、或其他适合的电介质材料的任意组合来实现电介质322。可以称为层间电介质(ILD)的电介质322可以按通常所实施的那样的进行平面化。其他示例性电介质材料例如包括碳掺杂氧化物(CDO)、诸如八氟环丁烷或聚四氟乙烯之类的有机聚合物、氟硅酸盐玻璃(FSG)、和诸如倍半硅氧烷、硅氧烷或有机硅酸盐玻璃之类的有机硅酸盐。在一些示例性结构中,ILD层可以包括小孔或其他孔隙,以进一步减小其介电常数。
接下来,在使用了置换金属栅极(RMG)工艺的本发明的一些实施例中,并如图3E最佳示出的,该方法可以进一步包括使用如传统所实施的那样的蚀刻工艺来去除栅极堆叠体(包括高-k栅极电介质层302、牺牲栅极电极304和硬掩膜层306)。在替换的实施方式中,仅去除牺牲栅极304和硬掩模层306。图3E示出了根据一个这种实施例的在蚀刻掉栅极堆叠体时形成的沟槽开口。如果去除栅极电介质层,该方法就可以继续进行在沟槽开口(在图3F中标记为324)中沉积新的栅极电介质层。在此可以使用如前所述的任何适合的高-k电介质材料,例如二氧化铪。也可以使用相同的沉积工艺。栅极电介质层的置换例如可以用于应对在干法和湿法蚀刻工艺实施过程中会对原始栅极电介质层造成的任何损害,和/或以高-k或其他预期的栅极电介质材料来代替低-k或牺牲电介质材料。如图3F进一步示出的,该方法进一步继续进行将金属栅极电极层326沉积到沟槽中和栅极电介质层324上。传统金属沉积工艺可以用于形成金属栅极电极层,例如CVD、ALD、PVD、无电镀覆或电镀。金属栅极电极层例如可以包括P型功函数金属,例如钌、钯、铂、钴、镍,和导电金属氧化物,例如氧化钌。在一些示例性结构中,可以沉积两个或更多个金属栅极电极层。例如,可以在栅极沟槽中沉积功函数金属,之后是诸如铝或银的适合的金属栅极电极填料金属。
进一步参考图2,在层317/319上提供了电介质层322(和任何所希望的RMG工艺)后,该方法继续进行蚀刻210以形成源极/漏极接触沟槽。可以使用任何适合的干法和/或湿法蚀刻工艺。图3G示出了根据一个示例性实施例的在完成蚀刻后的源极/漏极接触沟槽。该方法继续进行沉积212接触电阻减小金属和退火,以形成硅化物/锗化物,随后沉积214源极/漏极接触插塞。图3H示出了接触部金属325/327,在一些实施例中,其包括硅化物/锗化物,尽管其他实施例可以包括额外的层(例如,粘附层)。图3I示出了接触插塞金属329/331,在一些实施例中,其包括铝,尽管使用常规沉积工艺任何适合的导电接触部金属或合金也可以用于接触插塞329/331,诸如银、镍-铂或镍-铝或镍与铝的其他合金、或钛。例如可以借助以镍、铝、镍-铂或镍-铝或镍和铝的其他合金、或者进行或没有进行锗的预先非晶化注入的钛进行的用以形成低电阻锗化物的硅化来实现源极和漏极接触部的锗化物/金属化212。硼掺杂锗层317/319允许金属-锗化物形成(例如,镍-锗)。锗化物允许比传统金属硅化物系统低得多的肖特基势垒高度及改善的接触电阻(包括Rext)。例如,传统晶体管通常使用源极/漏极SiGe外延工艺,其中锗浓度在30-40原子%范围中。受到外延/硅化物分界面电阻的限制,这种传统系统呈现了约140Ohm*um的Rext值,其较高并且将来会阻碍栅极间距缩放。本发明的一些实施例允许PMOS器件中Rext的相当大的改善(例如,约2倍的改善或更佳,例如约70Ohm*um的Rext),这可以更好地支持PMOS器件缩放。因此,具有以根据本发明实施例的硼掺杂锗帽层317/319配置的源极/漏极的晶体管可以呈现出小于100Ohm*um的Rext值,在一些情况下小于90Ohm*um,在一些情况下小于80Ohm*um,在一些情况下小于75Ohm*um或者更低,其中在源极/漏极区318/320与接触部金属325/327之间的分界面具有超过1E20cm-3的硼浓度、超过90原子%并高达或者接近纯锗(100原子%)的锗浓度。
图4是根据本发明的另一个实施例的用于形成具有低接触电阻的晶体管结构的方法。图5A到5F示出了根据一些实施例的在实施该方法时形成的示例性结构。总体上,这个方法类似于参考图2和3A-H所述的方法,除了在沉积电介质322并对其进行蚀刻以形成接触沟槽之后实施在源极/漏极区上沉积硼掺杂锗层317/319以外。因此,该方法包括直接在源极/漏极区318/320上沉积406电介质322,随后继续进行蚀刻408,以形成源极/漏极接触沟槽,随后在沟槽中(及直接在源极/漏极区318/320上)选择性沉积410硼掺杂锗层317/319,如图5C到5E最佳示出的。可以使用任何适合的沉积工艺来实施沉积410,诸如选择性外延生长。一旦提供了层317/319,可以在层317/319的顶上提供接触部金属325/327,如图5F所示。这个替换的方法提供了相同的改善接触电阻的益处,但在沉积硼掺杂锗的位置处更具有选择性。根据本公开内容,使用掩模/图案化和选择性沉积技术的任何适当的组合,其它这种选择性沉积工艺会是显而易见的。
如会进一步理解的,在前针对方法的类似部分进行的相关论述也同等地适用于此。具体地,可以如先前参照参考图2在前论述的形成202和定义204论述的那样来实施:形成402栅极堆叠体和定义404晶体管结构的源极/漏极区。类似地,可以如先前参照参考图2在前论述的形成212和定义214论述的那样来实施:沉积412接触电阻减小金属和退火,以形成硅化物/锗化物,及随后沉积414源极/漏极接触插塞。
FinFET结构
众所周知,FinFET是围绕半导体材料的薄带(通常称为鳍)构造的晶体管。晶体管包括标准场效应晶体管(FET)节点,包括栅极、栅极电介质、源极区和漏极区。器件的导电沟道位于栅极电介质下方鳍的外侧上。具体地,电流沿鳍的两个侧壁(垂直于衬底表面的侧面)以及鳍的顶部(平行于衬底表面的侧面)流动。因为这种结构的导电沟道的位置基本上沿着鳍的三个不同的外部平面区,这种FinFET设计有时称为三栅极FinFET。其他类型的FinFET结构也是可用的,诸如所谓的双栅极FinFET,在其中导电沟道的位置主要仅沿着鳍的两个侧壁(而不沿鳍的顶部)。
图6示出了根据本发明的一个实施例配置的示例性三栅极架构的透视图。如图所示,三栅极器件包括具有半导体主体或鳍660(由虚线表示)的衬底600,半导体主体或鳍660从衬底600通过隔离区610、620延伸。在鳍660的3个表面上形成栅极电极640以形成3个栅极。在栅极电极640的顶部上形成硬掩膜690。在栅极电极640的相反侧壁上形成栅极间隔体670、680。
源极区包括外延区631,其形成于凹陷的源极分界面650和一个鳍660侧壁上;漏极区包括外延区631,其形成于凹陷的源极分界面650和相反的鳍660侧壁(未示出)上。帽层641沉积在外延区631上。注意,可以在凹陷的(尖端)区中提供硼帽层641,但在其它实施例中,仅在源极/漏极区之上(不在凹陷区中)提供硼帽层641。在一个实施例中,隔离区610、620是使用传统技术形成的浅槽隔离(STI)区,所述传统技术诸如蚀刻衬底600以形成沟槽,随后将氧化物材料沉积在沟槽上,以形成STI区。隔离区610、620可以由诸如SiO2的任何适合的电介质/绝缘材料制成。先前针对衬底102的论述在此也是同等适用的(例如,衬底800可以是硅衬底,或SOI衬底,或多层衬底)。
如根据本公开内容会理解的,传统工艺和形成技术可以用于制造FinFET晶体管结构。但根据本发明的一个示例性实施例,例如可以使用以硼掺杂锗覆盖的原位掺杂硅或SiGe(对于631)来实现外延区631和帽层641的双层结构,在两个双层之间具有可任选的锗和/或硼的分级的缓冲部。如前解释的,这种缓冲部可以用于从与外延区631相容的基准级别锗/硼浓度过渡到硼掺杂锗帽层641。可替换地,可以直接在外延区631和/或帽层641中而不是在居间的分级缓冲部布置中实现锗和/或硼浓度分级。如会进一步理解的,注意到三栅极结构的可替换方式是双栅极架构,其包括在鳍660顶部上的电介质/隔离层。
图7示出了分批图,该分批图示出根据本发明实施例配置的晶体管结构和没有配置帽层的标准晶体管结构的接触电阻。与超过0.18的高电阻值相关的晶体管结构全部以标准SiGe合金抬高的PMOS源极/漏极区实现,所述PMOS源极/漏极区具有直接沉积在其上的接触部金属。根据本发明的多个实施例,类似地实现全部与0.107及更低的电阻值相关的晶体管结构,但增加了在源极/漏极区与接触部金属之间的硼掺杂锗帽层。表1示出了由对具有或不具有本文所述的硼掺杂锗帽层的示例性结构的测试得到的原始数据分位数。
Ge帽层 最小 10% 25% 中值 75% 90% 最大
0.032 0.032 0.033 0.040 0.078 0.105 0.107
0.183 0.183 0.192 0.239 0.250 0.265 0.265
表1
可以看出,这个示例性批次实际显示了对传统晶体管结构的约三到六倍(3X到6X)的接触电阻的改善(减小)。单位是Ohm/任意区。
根据本公开内容,通过使用根据本发明的实施例的硼掺杂锗帽层而实现的其它改进会是显而易见的。具体地,根据本发明的一些示例性实施例,所得到的锗化物材料和肖特基势垒高度改进相对于传统SiGe源极/漏极PMOS器件实现了大于2倍的Rext的改善。众所周知,肖特基势垒高度是横跨半导体-金属结的电传导的势垒。肖特基势垒高度的量值反应了金属的费米能级的势能与横跨半导体-金属分界面的半导体的多数载流子能带边缘的不匹配。对于p型半导体-金属分界面,肖特基势垒高度是金属费米能级与半导体的价带最大值之间的差。
示例性系统
图8示出了根据本发明的一个实施例配置的计算设备1000。如图所示,计算设备1000容纳母板1002。母板1002可以包括多个部件,包括但不限于处理器1004和至少一个通信芯片1006,其每一个都可以物理和电气耦合到母板1002,或者集成于其中。会理解,母板1002例如可以是任何印刷电路板,不论是主板还是安装在主板上的子板或者设备1000的唯一的板等。根据其应用,计算设备1000可以包括一个或多个其他部件,其可以也可以不物理和电气耦合到母板1002。这些其他部件可以包括,但不限于,易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、图形处理器、数字信号处理器、加密处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机、和大容量存储设备(例如硬盘驱动器、压缩盘(CD)、数字多功能盘(DVD)等等)。包括在计算设备1000中的任何部件都可以包括本文所述的一个或多个晶体管结构。在一些实施例中,多个功能可以集成到一个或多个芯片中(例如,注意通信芯片1006可以是处理器1004的一部分,或者集成到处理器1004中)。
通信芯片1006实现了无线通信,用于往来于计算设备1000传递数据。术语“无线”及其衍生词可以用于描述电路、设备、系统、方法、技术、通信信道等,其可以借助使用通过非固态介质的调制的电磁波辐射来传送数据。该术语并非暗示相关设备不包含任何线路,尽管在一些实施例中可以没有线路。通信芯片1006可以执行许多无线标准或协议中的任意无线标准或协议,包括但不限于Wi-Fi(IEEE802.11族)、WiMAX(IEEE802.16族)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其衍生物,以及指定作为3G、4G、5G及更高代的任何其他无线协议。计算设备1000可以包括多个通信芯片1006。例如,第一通信芯片1006可以专用于较短距离无线通信,诸如Wi-Fi和蓝牙,第二通信芯片1006可以专用于较长距离无线通信,诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
计算设备1000的处理器1004包括封装在处理器1004内的集成电路管芯。在本发明的一些实施例中,处理器的集成电路管芯包括板载非易失性存储器或高速缓存、和/或可通信地耦合到片外存储器,它是以本文所述的一个或多个晶体管结构实现的。术语“处理器”可以指代任何设备或设备的部分,所述设备或设备的部分处理例如来自寄存器和/或存储器的电子数据,以将该电子数据转换为可以存储在寄存器和/或存储器中的其他电子数据。
通信芯片1006还可以包括封装在通信芯片1006内的集成电路管芯。根据一些这种示例性实施例,通信芯片的集成电路管芯包括以本文所述的一个或多个晶体管结构实现的一个或多个器件。根据本公开内容会理解,注意多标准无线功能可以直接集成在处理器1004中(例如,任何芯片1006的功能都集成到处理器1004中,而不是具有分离的通信芯片)。此外注意,处理器1004可以是具有这种无线功能的芯片组。简而言之,可以使用许多处理器1004和/或通信芯片1006。类似地,任意一个芯片或芯片组都可以具有集成在其中的多个功能。
在多个实施方式中,计算设备1000可以是膝上型电脑、上网本、笔记本电脑、智能电话、平板电脑、个人数字助理(PDA)、超便携移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器、或数字视频录像机。在进一步的实施方式中,设备1000可以是处理数据或使用晶体管的任何其他电子设备。
根据本公开内容许多实施例将是显而易见的,本文所述的特征可以组合到许多结构中。本发明的一个示例性实施例提供了一种晶体管器件。该器件包括具有沟道区的衬底和在沟道区上的栅极电极。在栅极电极与沟道区之间提供了栅极电介质层,在衬底中并与沟道区相邻地提供了源极和漏极区。器件进一步包括在源极和漏极区的至少一部分上的硼掺杂锗层。这个硼掺杂锗层包括超过90原子%的锗浓度和超过1E20cm-3的硼浓度。器件进一步包括在硼掺杂锗层上的金属-锗化物源极和漏极接触部。在一个这种实例中,器件是平面或FinFET晶体管中的一个。在另一个示例性情况下,器件包括PMOS晶体管。在另一个示例性情况下,器件进一步包括层间电介质。在另一个示例性情况下,器件进一步包括在衬底与源极和漏极区之间的分级的缓冲部,和/或在源极和漏极区与硼掺杂锗层之间的分级的缓冲部。在一个这种情况下,在源极和漏极区与硼掺杂锗层之间的分级的缓冲部具有从与源极和漏极区相容的基准级别浓度到超过95原子%的高浓度分级的锗浓度。在一个这种特定的示例性情况下,高浓度反映纯锗。在另一个示例性情况下,在源极和漏极区与硼掺杂锗层之间的分级的缓冲部具有从与源极和漏极区相容的基准级别浓度到超过1E20cm-3的高浓度分级的硼浓度。在另一个示例性情况下,硼掺杂锗层具有锗和硼中至少一个的分级的浓度。在另一个示例性情况下,源极和漏极区包括硅锗,其具有从与衬底相容的基准级别浓度到超过50原子%的高浓度分级的锗浓度,硼掺杂锗层具有超过95原子%的锗浓度。在另一个示例性情况下,源极和漏极区包括硼掺杂硅锗,其具有从与衬底相容的基准级别浓度到超过1E20cm-3的高浓度分级的硼浓度。在另一个示例性情况下,源极和漏极区包括硅或硅锗,器件进一步包括在源极和漏极区与硼掺杂锗层之间的缓冲部,缓冲部具有从与源极和漏极区相容的基准级别浓度到超过50原子%的高浓度分级的锗浓度,和从与源极和漏极区相容的基准级别浓度到超过1E20cm-3的高浓度分级的硼浓度。在另一个示例性情况下,硼掺杂锗层包括超过98原子%的锗浓度,和超过2E20cm-3的硼浓度。另一个实施例提供了一种电子设备,其包括具有一个或多个集成电路的印刷电路板,其中,所述一个或多个集成电路中的至少一个包括如在该段落中不同定义的一个或多个晶体管器件。在一个这种情况下,所述一个或多个集成电路包括至少一个通信芯片和/或处理器,至少一个通信芯片和/或处理器包括所述一个或多个晶体管器件。在另一个这种情况下,设备是计算设备(例如,移动电话或智能电话、膝上型电脑、平板电脑等)。
本发明的另一个实施例提供了一种晶体管器件。在这个示例性情况下,该器件包括具有沟道区的衬底和在沟道区上方的栅极电极,其中,在栅极电极与沟道区之间提供了栅极电介质层,在栅极电极的侧面上提供了间隔体。器件进一步包括在衬底中并与沟道区相邻的源极和漏极区,源极与漏极区中的每一个都包括尖端区,其在栅极电介质层和/或对应的一个间隔体下方延伸。器件进一步包括在源极和漏极区的至少一部分上的硼掺杂锗层,并包括超过95原子%的锗浓度和超过2E20cm-3的硼浓度。器件进一步包括在硼掺杂锗层上的金属-锗化物源极和漏极接触部。器件是平面或FinFET晶体管之一。在一个这种示例性情况下,器件进一步包括在源极和漏极区与硼掺杂锗层之间的缓冲部,其中,缓冲部具有从与源极和漏极区相容的基准级别浓度到超过95原子%的高浓度分级的锗浓度,和从与源极和漏极区相容的基准级别浓度到超过2E20cm-3的高浓度分级的硼浓度。在另一个示例性情况下,硼掺杂锗层具有锗和硼至少其中之一的分级的浓度。在另一个示例性情况下,源极和漏极区包括硅锗,其具有从与衬底相容的基准级别浓度到超过50原子%的高浓度分级的锗浓度,硼掺杂锗层具有超过98原子%的锗浓度。在另一个示例性情况下,源极和漏极区具有从与衬底相容的基准级别浓度到超过2E20cm-3的高浓度分级的硼浓度。在另一个示例性情况下,源极和漏极区包括硅锗,其具有固定的锗浓度,器件进一步包括在源极和漏极区与硼掺杂锗层之间的缓冲部,其中,缓冲部具有从与源极和漏极区相容的基准级别浓度到超过50原子%的高浓度分级的锗浓度,和从与源极和漏极区相容的基准级别浓度到超过2E20cm-3的高浓度分级的硼浓度,缓冲部具有小于100埃的厚度。另一个实施例提供了一种计算设备(例如,台式计算机或便携式计算机等),其包括具有通信芯片和/或处理器的印刷电路板,其中,至少一个通信芯片和/或处理器包括如在该段落中不同定义的一个或多个晶体管器件。
本发明的另一个实施例提供了一种用于形成晶体管器件的方法。该方法包括提供具有沟道区的衬底,及在沟道区上提供栅极电极,其中,在栅极电极与沟道区之间提供了栅极电介质层。该方法继续,在衬底中并与沟道区相邻地提供源极和漏极区,在源极与漏极区的至少一部分上提供硼掺杂锗层。硼掺杂锗层包括超过90原子%的锗浓度和超过1E20cm-3的硼浓度。该方法继续,在硼掺杂锗层上提供金属-锗化物源极和漏极接触部。在一些示例性的这种情况下,该方法进一步包括在衬底与源极和漏极区之间提供分级的缓冲部,和/或在源极和漏极区与硼掺杂锗层之间提供分级的缓冲部,在另一个示例性情况下,硼掺杂锗层具有锗和硼的至少其中之一的分级的浓度(其可以与或不与分级的缓冲部一起使用)。例如,这个方法可以用于诸如计算设备的任何电子设备的制造中。
出于图示和说明的目的提供了对本发明的示例性实施例的前述说明。其并非旨在是穷举性的或将本发明限制于所公开的准确形式。根据本公开内容,许多修改和变化是可能的。其意图是本发明的范围不局限于该具体实施方式部分,而是由所附的权利要求限定。

Claims (20)

1.一种晶体管器件,包括:
具有沟道区的衬底;
与所述沟道区相邻的源极区和漏极区;以及
在所述源极区和所述漏极区的至少一部分上的硼掺杂锗层,所述硼掺杂锗层具有超过90原子%的锗浓度和超过1E20cm-3的硼浓度。
2.根据权利要求1所述的器件,其中,所述器件是平面晶体管或FinFET晶体管中的一种。
3.根据权利要求1所述的器件,其中,所述器件包括PMOS晶体管。
4.根据权利要求1所述的器件,进一步包括以下的至少一个:
位于所述沟道区上方的栅极电极,其中,在所述栅极电极与所述沟道区之间提供了栅极电介质层;
在所述硼掺杂锗层上的第一金属-锗化物接触部和第二金属-锗化物接触部,所述第一金属-锗化物接触部和所述第二金属-锗化物接触部分别位于所述源极区和所述漏极区中的对应的一个之上;以及
层间电介质。
5.根据权利要求1所述的器件,进一步包括以下的至少一个:
在所述衬底与所述源极区和所述漏极区之间的分级的缓冲部;以及
在所述源极区和所述漏极区中的至少一个与所述硼掺杂锗层之间的分级的缓冲部。
6.根据权利要求5所述的器件,其中,在所述源极区和所述漏极区中的至少一个与所述硼掺杂锗层之间的分级的缓冲部的锗浓度被分级为从与所述源极区和所述漏极区相容的基准级别浓度到超过95原子%的高浓度。
7.根据权利要求6所述的器件,其中所述高浓度反映纯锗。
8.根据权利要求5所述的器件,其中,在所述源极区和所述漏极区中的至少一个与所述硼掺杂锗层之间的分级的缓冲部的硼浓度被分级为从与所述源极区和所述漏极区相容的基准级别浓度到超过1E20cm-3的高浓度。
9.根据权利要求1所述的器件,其中,所述硼掺杂锗层具有锗和硼中的至少一个的分级的浓度。
10.根据权利要求9所述的器件,其中,所述硼掺杂锗层的锗浓度被分级为从与所述衬底相容的基准级别浓度到超过90原子%的高浓度。
11.根据权利要求9所述的器件,其中,所述硼掺杂锗层的硼浓度被分级为从与所述衬底相容的基准级别浓度到超过1E20cm-3的高浓度。
12.根据权利要求1所述的器件,其中,所述源极区和所述漏极区包括硅或硅锗,并且所述器件进一步包括在所述源极区和所述漏极区与所述硼掺杂锗层之间的缓冲部,所述缓冲部的锗浓度被分级为从与所述源极区和所述漏极区相容的基准级别浓度到超过50原子%的高浓度,所述缓冲部的硼浓度被分级为从与所述源极区和所述漏极区相容的基准级别浓度到超过1E20cm-3的高浓度。
13.根据权利要求1所述的器件,其中,所述硼掺杂锗层具有超过98原子%的锗浓度和超过2E20cm-3的硼浓度。
14.一种电子设备,包括:
印刷电路板,其具有一个或多个集成电路,其中,所述一个或多个集成电路中的至少一个集成电路包括一个或多个根据权利要求1所述的晶体管器件。
15.一种晶体管器件,包括:
具有沟道区的衬底;
在所述沟道区上方的栅极电极,其中,在所述栅极电极与所述沟道区之间提供了栅极电介质层,在所述栅极电极的侧面上提供了间隔体;
与所述沟道区相邻的源极区和漏极区,所述源极区和所述漏极区中的每一个都包括尖端区,所述尖端区在所述栅极电介质层和/或对应的一个所述间隔体下方延伸;
在所述源极区和所述漏极区的至少一部分上的硼掺杂锗层,所述硼掺杂锗层具有超过95原子%的锗浓度和超过2E20cm-3的硼浓度;以及
在所述硼掺杂锗层上的第一金属-锗化物接触部和第二金属-锗化物接触部,所述第一金属-锗化物接触部和所述第二金属-锗化物接触部分别位于所述源极区和所述漏极区中的对应的一个之上;
其中,所述器件是平面晶体管或FinFET晶体管中的一种。
16.根据权利要求15所述的器件,其中,所述硼掺杂锗层具有锗和硼中的至少一个的分级的浓度。
17.根据权利要求16所述的器件,其中,所述硼掺杂锗层的锗浓度被分级为从与所述衬底相容的基准级别浓度到超过90原子%的高浓度。
18.根据权利要求16所述的器件,其中,所述硼掺杂锗层的硼浓度被分级为从与所述衬底相容的基准级别浓度到超过1E20cm-3的高浓度。
19.一种用于形成晶体管器件的方法,包括:
提供具有沟道区的衬底;
在所述沟道区上方提供栅极电极,其中,在所述栅极电极与所述沟道区之间提供了栅极电介质层;
与所述沟道区相邻地提供源极区和漏极区;
在所述源极区和所述漏极区中的至少一部分上提供硼掺杂锗层,所述硼掺杂锗层具有超过90原子%的锗浓度和超过1E20cm-3的硼浓度;
在所述硼掺杂锗层上且在所述源极区之上提供第一金属-锗化物接触部;以及
在所述硼掺杂锗层上且在所述漏极区之上提供第二金属-锗化物接触部。
20.根据权利要求19所述的方法,其中,所述硼掺杂锗层具有锗和硼中的至少一个的分级的浓度。
CN201610313170.4A 2010-12-21 2011-09-30 晶体管器件、电子设备以及形成晶体管器件的方法 Active CN105826390B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 2010-12-21
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
CN201180062116.2A CN103270598B (zh) 2010-12-21 2011-09-30 晶体管器件、电子设备以及形成晶体管器件的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180062116.2A Division CN103270598B (zh) 2010-12-21 2011-09-30 晶体管器件、电子设备以及形成晶体管器件的方法

Publications (2)

Publication Number Publication Date
CN105826390A true CN105826390A (zh) 2016-08-03
CN105826390B CN105826390B (zh) 2021-05-25

Family

ID=46233263

Family Applications (8)

Application Number Title Priority Date Filing Date
CN201610088996.5A Active CN105720091B (zh) 2010-12-21 2011-09-30 穿过沟槽的选择性锗p接触金属化
CN201180061440.2A Active CN103329274B (zh) 2010-12-21 2011-09-30 穿过沟槽的选择性锗p接触金属化
CN201180062116.2A Active CN103270598B (zh) 2010-12-21 2011-09-30 晶体管器件、电子设备以及形成晶体管器件的方法
CN201610313170.4A Active CN105826390B (zh) 2010-12-21 2011-09-30 晶体管器件、电子设备以及形成晶体管器件的方法
CN201180062124.7A Active CN103270599B (zh) 2010-12-21 2011-12-07 具有高浓度硼掺杂锗的晶体管
CN201610552470.8A Active CN106684148B (zh) 2010-12-21 2011-12-07 具有高浓度硼掺杂锗的晶体管
CN201180062107.3A Active CN103270597B (zh) 2010-12-21 2011-12-20 用于pmos集成的iv族晶体管
CN201610340122.4A Active CN105932063B (zh) 2010-12-21 2011-12-20 用于pmos集成的iv族晶体管

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CN201610088996.5A Active CN105720091B (zh) 2010-12-21 2011-09-30 穿过沟槽的选择性锗p接触金属化
CN201180061440.2A Active CN103329274B (zh) 2010-12-21 2011-09-30 穿过沟槽的选择性锗p接触金属化
CN201180062116.2A Active CN103270598B (zh) 2010-12-21 2011-09-30 晶体管器件、电子设备以及形成晶体管器件的方法

Family Applications After (4)

Application Number Title Priority Date Filing Date
CN201180062124.7A Active CN103270599B (zh) 2010-12-21 2011-12-07 具有高浓度硼掺杂锗的晶体管
CN201610552470.8A Active CN106684148B (zh) 2010-12-21 2011-12-07 具有高浓度硼掺杂锗的晶体管
CN201180062107.3A Active CN103270597B (zh) 2010-12-21 2011-12-20 用于pmos集成的iv族晶体管
CN201610340122.4A Active CN105932063B (zh) 2010-12-21 2011-12-20 用于pmos集成的iv族晶体管

Country Status (9)

Country Link
US (16) US8901537B2 (zh)
EP (9) EP2656393B1 (zh)
JP (7) JP5714721B2 (zh)
KR (12) KR20130088179A (zh)
CN (8) CN105720091B (zh)
PL (1) PL3361512T3 (zh)
SG (4) SG191003A1 (zh)
TW (4) TWI544630B (zh)
WO (4) WO2012087404A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109494251A (zh) * 2017-09-13 2019-03-19 三星电子株式会社 半导体器件

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
ES2897456T3 (es) 2011-04-15 2022-03-01 Aditya Birla Science And Tech Company Private Limited Un procedimiento para la separación y purificación del sulfuro sódico
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) * 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
WO2013098838A2 (en) 2011-10-24 2013-07-04 Aditya Birla Nuvo Limited An improved process for the production of carbon black
US9006069B2 (en) 2011-12-19 2015-04-14 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
WO2013175488A2 (en) 2012-03-30 2013-11-28 Aditya Birla Science And Technology Company Ltd. A process for obtaining carbon black powder with reduced sulfur content
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN103854981A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 鳍结构制造方法
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
US8931553B2 (en) 2013-01-04 2015-01-13 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
US8802512B2 (en) 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US9184233B2 (en) * 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
US8940640B2 (en) 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
JP2014222723A (ja) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 電界効果型半導体装置及びその製造方法
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (ko) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9401274B2 (en) * 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
KR102138871B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 Iii-v족 재료 능동 영역과 그레이딩된 게이트 유전체를 갖는 반도체 디바이스
KR20200108930A (ko) * 2013-09-27 2020-09-21 인텔 코포레이션 공통 기판 상의 상이한 일함수를 가지는 비-평면 i/o 및 논리 반도체 디바이스들
KR101684010B1 (ko) * 2013-11-29 2016-12-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 콘택 구조물
CN105723515B (zh) * 2013-12-18 2019-11-05 英特尔公司 通过增大有效栅极长度来改进栅极对晶体管沟道的控制的技术
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
WO2015099692A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Tensile source drain iii-v transistors for mobility improved n-mos
CN110610866B (zh) * 2013-12-27 2023-05-30 英特尔公司 扩散的尖端延伸晶体管
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) * 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9431492B2 (en) 2014-02-21 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
US9859424B2 (en) 2014-03-21 2018-01-02 Intel Corporation Techniques for integration of Ge-rich p-MOS source/drain contacts
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US20170062569A1 (en) * 2014-06-13 2017-03-02 Intel Corporation Surface encapsulation for wafer bonding
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10312367B2 (en) 2014-06-20 2019-06-04 Intel Corporation Monolithic integration of high voltage transistors and low voltage non-planar transistors
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
EP3172761B1 (en) 2014-07-25 2021-09-22 Intel Corporation Tungsten alloys in semiconductor devices
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
WO2016022098A1 (en) * 2014-08-05 2016-02-11 Intel Corporation Apparatus and methods to create microelectronic device isolation by catalytic oxide formation
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (zh) * 2014-08-28 2020-06-02 联华电子股份有限公司 半导体元件及其制作方法
MY188298A (en) 2014-09-09 2021-11-25 Intel Corp Multi-gate high electron mobility transistors and methods of fabrication
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (zh) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 半導體元件、電子元件及電子元件端子結構
WO2016099570A1 (en) * 2014-12-19 2016-06-23 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
WO2016105348A1 (en) 2014-12-22 2016-06-30 Intel Corporation Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) * 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (ko) * 2015-03-16 2016-09-26 엘지전자 주식회사 전기제품, 전기제품의 펌웨어 업데이트 방법 및 네트워크 시스템
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
DE102015106397B4 (de) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9741829B2 (en) * 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3311418A4 (en) * 2015-06-19 2019-01-09 Intel Corporation REDUCTION OF RESISTANCE IN TRANSISTORS HAVING SOURCE / DRAIN REGIONS OBTAINED BY EPITAXIAL GROWTH
WO2016204782A1 (en) * 2015-06-19 2016-12-22 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US10103249B2 (en) 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN116825818A (zh) * 2015-11-12 2023-09-29 蓝枪半导体有限责任公司 栅极全包覆式纳米线场效晶体管装置
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10586866B2 (en) 2015-12-09 2020-03-10 Intel Corporation Stressors for compressively strained GaN p-channel
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
KR102532202B1 (ko) 2016-01-22 2023-05-12 삼성전자 주식회사 반도체 소자
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (zh) 2016-05-12 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
JP6606020B2 (ja) * 2016-06-15 2019-11-13 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
US10903364B2 (en) * 2016-07-02 2021-01-26 Intel Corporation Semiconductor device with released source and drain
US10128187B2 (en) 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102302000B1 (ko) * 2016-09-15 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 콘택 집적 및 선택적 실리사이드 형성 방법들
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
WO2018052478A2 (en) * 2016-09-19 2018-03-22 Applied Materials, Inc. Method of doped germanium formation
JP6724685B2 (ja) * 2016-09-23 2020-07-15 住友電気工業株式会社 半導体装置
US10326019B2 (en) 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
US11081570B2 (en) 2016-09-28 2021-08-03 Intel Corporation Transistors with lattice matched gate structure
WO2018063280A1 (en) 2016-09-30 2018-04-05 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in mos transistors
CN109075204B (zh) * 2016-10-12 2021-11-05 京东方科技集团股份有限公司 薄膜晶体管、具有该薄膜晶体管的阵列基板、显示面板和显示装置、及其制造方法
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10312096B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
WO2018182611A1 (en) 2017-03-30 2018-10-04 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10043893B1 (en) * 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10319855B2 (en) 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671673B (zh) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (ko) 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6896305B2 (ja) * 2017-11-09 2021-06-30 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
CN109817525B (zh) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10777663B2 (en) 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
CN108155101A (zh) * 2017-12-22 2018-06-12 中国科学院微电子研究所 一种堆叠纳米线及其制造方法
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (ko) 2018-03-21 2019-12-16 한국과학기술원 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10510865B2 (en) 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (ja) 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
TWI827644B (zh) * 2018-08-28 2024-01-01 日商索尼半導體解決方案公司 半導體裝置及其製造方法
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) * 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium
CN110634866B (zh) * 2019-09-05 2021-09-14 中国科学院微电子研究所 一种cmos晶体管、cmos晶体管的制备方法及电子设备
KR20210032845A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11695055B2 (en) * 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (zh) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 金属氧化物半导体器件及其制造方法
CN112466932A (zh) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 晶体管外延结构及其制备方法
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (zh) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 基于内嵌空腔soi衬底的多栅mos器件及其制备方法
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN115148799B (zh) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 Rf ldmos器件及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
CN101622690A (zh) * 2007-03-28 2010-01-06 英特尔公司 具有自对准外延源极和漏极延伸部分的半导体器件

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (ja) * 1991-12-26 1993-07-23 Toshiba Corp 半導体装置及びその製造方法
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (ja) * 1993-12-16 1995-07-04 Nec Corp 半導体装置およびその製造方法
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (ja) 1997-03-18 1998-09-29 Hitachi Ltd 半導体装置およびその製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP2005183160A (ja) 2003-12-19 2005-07-07 Jst Mfg Co Ltd 雌コンタクト
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
WO2006038504A1 (ja) * 2004-10-04 2006-04-13 Matsushita Electric Industrial Co., Ltd. 縦型電界効果トランジスタおよびその製造方法
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (de) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8811915B2 (en) * 2005-03-04 2014-08-19 Psion Inc. Digital wireless narrow band radio
KR100733419B1 (ko) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 내부전원 생성장치
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
DE102005051994B4 (de) * 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
GB2444888B (en) * 2005-10-31 2009-05-13 Advanced Micro Devices Inc Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4536001B2 (ja) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 透光壁
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
WO2007086008A1 (en) * 2006-01-25 2007-08-02 Nxp B.V. Tunneling transistor with barrier
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP4960007B2 (ja) * 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
JP5130648B2 (ja) 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5018473B2 (ja) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5317483B2 (ja) 2008-01-29 2013-10-16 株式会社東芝 半導体装置
US20110058126A1 (en) * 2008-02-14 2011-03-10 Yasunobu Okada Semiconductor element, method of manufacturing fine structure arranging substrate, and display element
JP2009200090A (ja) 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (ja) 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8084308B2 (en) 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (zh) * 2010-03-03 2014-09-11 Huang Chung Cheng 奈米結構的製造方法及奈米結構於三維結構之應用
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) * 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
CN101622690A (zh) * 2007-03-28 2010-01-06 英特尔公司 具有自对准外延源极和漏极延伸部分的半导体器件

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109494251A (zh) * 2017-09-13 2019-03-19 三星电子株式会社 半导体器件
CN109494251B (zh) * 2017-09-13 2023-11-28 三星电子株式会社 半导体器件

Also Published As

Publication number Publication date
KR20200070434A (ko) 2020-06-17
TWI643342B (zh) 2018-12-01
US20160372547A1 (en) 2016-12-22
JP2017135399A (ja) 2017-08-03
TW201824567A (zh) 2018-07-01
EP2656391B1 (en) 2018-08-22
JP5714721B2 (ja) 2015-05-07
KR101691115B1 (ko) 2016-12-30
CN105932063B (zh) 2019-12-17
US20190259835A1 (en) 2019-08-22
US20130264639A1 (en) 2013-10-10
EP2656389A4 (en) 2014-09-10
US20170221724A1 (en) 2017-08-03
KR101812389B1 (ko) 2017-12-26
SG191004A1 (en) 2013-07-31
US11508813B2 (en) 2022-11-22
KR20170116200A (ko) 2017-10-18
CN103329274B (zh) 2016-03-23
US11251281B2 (en) 2022-02-15
US10090383B2 (en) 2018-10-02
US9722023B2 (en) 2017-08-01
US9627384B2 (en) 2017-04-18
EP2656392A2 (en) 2013-10-30
US9117791B2 (en) 2015-08-25
KR20150058552A (ko) 2015-05-28
EP3361512B1 (en) 2020-09-02
CN103270597A (zh) 2013-08-28
US20180342582A1 (en) 2018-11-29
PL3361512T3 (pl) 2021-04-19
EP3312886A1 (en) 2018-04-25
JP5732142B2 (ja) 2015-06-10
US20200144362A1 (en) 2020-05-07
EP2656391A2 (en) 2013-10-30
WO2012088097A2 (en) 2012-06-28
KR20150058546A (ko) 2015-05-28
US20150060945A1 (en) 2015-03-05
US20150333180A1 (en) 2015-11-19
CN106684148B (zh) 2020-12-01
EP2656392A4 (en) 2014-09-10
US20210005712A1 (en) 2021-01-07
TW201242022A (en) 2012-10-16
SG190998A1 (en) 2013-07-31
US9437691B2 (en) 2016-09-06
TWI756520B (zh) 2022-03-01
WO2012087581A2 (en) 2012-06-28
CN103270598A (zh) 2013-08-28
CN105826390B (zh) 2021-05-25
KR20200018740A (ko) 2020-02-19
EP2656391A4 (en) 2014-09-10
WO2012087581A3 (en) 2012-09-07
KR102168550B1 (ko) 2020-10-21
KR101510029B1 (ko) 2015-04-08
US10811496B2 (en) 2020-10-20
EP2656389B1 (en) 2019-07-10
JP2020074389A (ja) 2020-05-14
US10553680B2 (en) 2020-02-04
CN103270599A (zh) 2013-08-28
US20200127091A1 (en) 2020-04-23
KR20180005251A (ko) 2018-01-15
CN103329274A (zh) 2013-09-25
KR102123036B1 (ko) 2020-06-15
TW201946283A (zh) 2019-12-01
KR20170000404A (ko) 2017-01-02
TW201701481A (zh) 2017-01-01
EP3726588A1 (en) 2020-10-21
TWI544630B (zh) 2016-08-01
CN103270599B (zh) 2016-08-03
EP2656393A1 (en) 2013-10-30
US8901537B2 (en) 2014-12-02
US20200287011A1 (en) 2020-09-10
CN103270597B (zh) 2016-06-22
CN105932063A (zh) 2016-09-07
KR101949894B1 (ko) 2019-02-20
JP2018113484A (ja) 2018-07-19
KR20190018755A (ko) 2019-02-25
JP5714722B2 (ja) 2015-05-07
KR101978085B1 (ko) 2019-05-13
EP2656389A1 (en) 2013-10-30
JP2014504453A (ja) 2014-02-20
JP2014507792A (ja) 2014-03-27
SG191005A1 (en) 2013-07-31
KR20130088188A (ko) 2013-08-07
US9349810B2 (en) 2016-05-24
KR102079356B1 (ko) 2020-02-19
US20160322359A1 (en) 2016-11-03
CN106684148A (zh) 2017-05-17
US20170373147A1 (en) 2017-12-28
WO2012087403A1 (en) 2012-06-28
EP3361512A1 (en) 2018-08-15
KR101489611B1 (ko) 2015-02-04
KR20130111592A (ko) 2013-10-10
US20130240989A1 (en) 2013-09-19
CN105720091A (zh) 2016-06-29
SG191003A1 (en) 2013-07-31
CN105720091B (zh) 2019-06-28
EP3588579A1 (en) 2020-01-01
US11387320B2 (en) 2022-07-12
WO2012087404A1 (en) 2012-06-28
US10879353B2 (en) 2020-12-29
US10304927B2 (en) 2019-05-28
CN103270598B (zh) 2016-06-15
JP2014508396A (ja) 2014-04-03
US20120153387A1 (en) 2012-06-21
WO2012088097A3 (en) 2012-10-26
EP3582265A1 (en) 2019-12-18
JP6329294B2 (ja) 2018-05-23
TWI690084B (zh) 2020-04-01
US20220271125A1 (en) 2022-08-25
EP2656393B1 (en) 2020-01-01
EP2656393A4 (en) 2014-09-10
KR20130111595A (ko) 2013-10-10
KR101784226B1 (ko) 2017-10-11
JP2014501452A (ja) 2014-01-20
KR20130088179A (ko) 2013-08-07

Similar Documents

Publication Publication Date Title
US11476344B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization
US11251281B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization
US8994104B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant