JP5732142B2 - トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション - Google Patents

トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション Download PDF

Info

Publication number
JP5732142B2
JP5732142B2 JP2013546135A JP2013546135A JP5732142B2 JP 5732142 B2 JP5732142 B2 JP 5732142B2 JP 2013546135 A JP2013546135 A JP 2013546135A JP 2013546135 A JP2013546135 A JP 2013546135A JP 5732142 B2 JP5732142 B2 JP 5732142B2
Authority
JP
Japan
Prior art keywords
concentration
boron
type source
germanium
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013546135A
Other languages
English (en)
Other versions
JP2014501452A (ja
Inventor
グラス、グレン、エー.
ムールティ、アナンド、エス.
ガーニ、タヒア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2014501452A publication Critical patent/JP2014501452A/ja
Application granted granted Critical
Publication of JP5732142B2 publication Critical patent/JP5732142B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Description

[関連出願]
本願は、2010年12月21日に出願された米国出願第12/975,278号の一部継続出願である。
半導体基板上に形成されるトランジスタ、ダイオード、抵抗、キャパシタを含む回路デバイス、及び、その他のパッシブ及びアクティブ電子デバイスの性能向上を図ることが、これらデバイスの設計、製造及びオペレーションにおいて主要な因子と考えられている。例えば、相補型金属酸化膜半導体(CMOS)で使用されているようなMOSトランジスタ半導体デバイスの設計、製造又は形成では、外部抵抗Rextとも称されるコンタクト部に起因する寄生抵抗を最小化することが望まれる。Rextを低下させることにより、同等のトランジスタ設計よりも高い電流を流すことが可能になる。
本発明の一実施形態に係る、ソース/ドレイン層とコンタクト金属との間にボロンドープゲルマニウム層を有するMOSデバイスを示した図である。 本発明の別の実施形態に係る、ソース/ドレイン層とコンタクト金属との間にボロンドープゲルマニウム層を有するMOSデバイスを示した図である。 本発明の別の実施形態に係る、ソース/ドレイン層とコンタクト金属間のボロンドープゲルマニウム層を有するMOSデバイスを示した図である。 本発明の一実施形態に係る、低接触抵抗を有するトランジスタ構造を形成する方法を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図2の方法を実行して形成される構造を示した図である。 本発明の別の実施形態に係る低接触抵抗を有するトランジスタを形成する方法を示した図である。 本発明の様々な実施形態に係る、図4の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図4の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図4の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図4の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図4の方法を実行して形成される構造を示した図である。 本発明の様々な実施形態に係る、図4の方法を実行して形成される構造を示した図である。 本発明の一実施形態に係るFinFETトランジスタ構造の斜視図である。 本発明の実施形態に係るトランジスタ構造の接触抵抗と、キャップを使用しないで構成された標準的なトランジスタの接触抵抗とを比較したプロットである。 本発明の実施形態に係る1以上のトランジスタ構造を使用して実装されるコンピュータシステムを示した図である。
明らかなように、図面は必ずしも同一の縮尺で描かれておらず、また、本発明を図示した特定の構成に限定することを意図していない。例えば、図面において、直線、直角及び滑らかな表面として描かれている構成であっても、現実には使用される処理装置及び技術に限界があることから、トランジスタ構造の実際の実装では、完全に直線及び直角ではない場合があり、また、構造によっては、表面形状又は平坦でない表面を有する場合がある。すなわち、図面は、単純に構造の例を示しているに過ぎない。
従来のデバイスと比較して、寄生接触抵抗が低減されたトランジスタデバイスを形成する技術が開示される。この技術は、例えば、シリコン又はシリコンゲルマニウム(SiGe)ソースドレイン領域上に形成される一連の金属のような標準的なコンタクト積層構造に適用できる。このような実施形態の一例によれば、ボロンがドープされた中間に位置するゲルマニウム層が、ソース/ドレイン金属とコンタクト金属との間に設けられて、接触抵抗が大幅に低減される。本開示によれば、平面型及び非平面型トランジスタ構造(例えば、FinFET)の両方並びに歪み及び歪みなしチャネル構造を含む、数多くのトランジスタ構造及び好適な製造プロセスが明らかとなる。本技術は特に、P型デバイスの実装に好適であるが、必要に応じてN型デバイスにも適用可能である。
[概略]
上記したように、トランジスタの駆動電流の増加は、デバイス抵抗を低減することにより実現可能である。接触抵抗は、デバイス全体の抵抗の一部である。標準的なトランジスタのコンタクト積層体は、例えば、シリコン又はSiGeのソース/ドレイン層、ニッケルシリサイド層、窒化チタン接着層、及び、タングステンのコンタクト/パッドを含む。このような構成において、シリコン又はSiGeの価電子帯を金属のピニングレベルにすることによって、接触抵抗を有効に制限できる。一般的に、ニッケル(又は、チタン、コバルト又はプラチナのようなその他の好適なシリサイド)のような当産業で標準的に使用されるシリサイドを用いると、価電子帯のずれは約0.5eVとなる。そこで、本発明の一実施形態では、価電子帯のずれの値及び接触抵抗を大幅に低減させるべく、中間ボロンドープゲルマニウム層が、ソース/ドレイン金属とコンタクト金属との間に設けられる。
一実施形態では、中間ボロンドープゲルマニウム層を有するコンタクト構造は、価電子帯のずれ値が0.2eV未満に減少し、対応する接触抵抗は(中間ボロンドープゲルマニウム層がソース/ドレイン領域とコンタクト金属との間に設けられない、従来の同様に構成されるコンタクト積層体と比較して)約3倍減少する。透過電子顕微鏡法(TEM)断面、又は、二次イオン質量分析法(SIMS)プロファイルを使用して、膜構造の垂直方向の積層体に渡るゲルマニウム濃度を示すことができ、これは、シリコン及びSiGeのエピタキシャル合金のプロファイルは、ゲルマニウム濃度プロファイルと容易に区別できるからである。
このように、本発明の実施形態に係るトランジスタ構造は、接触抵抗を低減できるという、従来の構造と比較して改善を提供する。このような実施形態の一部では、ゲルマニウムの優れた接触特性と、Si及びSiGeの優れた半導体トランジスタ特性とを組み合わせて、次世代の低抵抗コンタクトを提供する。選択性は、様々な方法で達成可能である。一実施形態において、例えば、n型MOS(NMOS)ソース/ドレインロケーションに対する選択性は、P型MOSデバイス(PMOS)堆積の間にNMOS領域をマスクすることによって提供可能である。別の実施形態では、NMOS領域及びPMOS領域の両方を同時にオープンにして、トレンチを使用してPMOS領域にのみ堆積を行うことができる。この場合、MOSフローの初期段階では通常、相対的に高いサーマルバジェットの工程が存在するが、その間に、融点の低いゲルマニウムを使用しなくてよいという利点がある。トレンチ処理及びゲルマニウム堆積の後、上記のような実施形態では、構造は、500℃を超える温度に晒されることがないので、上層のゲルマニウムが溶解することもなく、又、性能が低下することもない。本開示で更に明らかになるように、選択性には、自然の選択性も含まれる。例えば、p型SiGe(又はシリコン)ソースドレイン領域上にボロンドープゲルマニウムを成長させるが、二酸化シリコン(SiO)又は窒化シリコン(SiN)のような誘電体表面、並びに、例えば、n型領域の高濃度リンドープシリコンが露出した面には成長させない。
本開示に沿って、平面型及び非平面型トランジスタ構造(例えば、ダブルゲート及びトリゲートトランジスタ構造のような)並びに歪み及び歪みなしチャネル構造を含む数多くのトランジスタ構成及び好適な製造プロセスが明らかとなる。このような構造的特徴及び材料系統の数多くを、以下に記載するゲルマニウム被覆層と組み合わせて使用可能である。トランジスタ構造は、p型ソース/ドレイン領域、n型ソース/ドレイン領域、又は、n型ソース/ドレイン領域及びp型ソース/ドレイン領域の両方を含む。ある実施形態では、トランジスタ構造は、ドーパントが注入されたソース/ドレイン領域、又は、シリコンのエピタキシャル(又はポリ)置換されたソース/ドレイン領域、SiGe合金、又は、MOS構造において、公称的に純粋とされるゲルマニウム膜(例えば、10%未満のシリコン含有率のゲルマニウム)を含む。このような実装形態において、本発明の一実施形態によれば、ソース/ドレイン領域上に直接、ボロンドープゲルマニウム被覆層又はキャップを形成することができる。コンタクト金属(又は、一連の金属層)を堆積した後に、次の反応(アニール)を実行して、金属ゲルマニウム化物ソースドレインコンタクトを形成することができる。コンタクトは、シリサイド層、接着層及び/又は金属パッド層のうちの1以上を含む積層体として実装されてもよい。ボロンドープゲルマニウム被覆層を、必要に応じて、例えば、ポリゲート及び/又は接地タップ領域のようなトランジスタ構造の別の領域上に直接形成することもできる。
よく知られるように、MOSトランジスタは、トランジスタ全体の抵抗を低減し、短チャネル効果(SCE)を改善するように設計されたソースドレイン先端(tip)領域を含んでもよい。従来、この先端領域は、基板のボロン又はカーボンのようなドーパントの注入及び拡散技術を使用して注入された部分である。ソース先端領域は、ソース領域とチャネル領域との間のエリアに形成される。同様に、ドレイン先端領域は、ドレイン領域とチャネル領域との間のエリアに形成される。本発明の一部の実施形態では、このような典型的に形成された先端領域が含まれる。別の実施形態では、製造技術を適用して、自己整合エピタキシャルティップ(self-aligned epitaxial tip:SET)トランジスタを一軸性歪みの理論的限界に非常に近い状態に拡張できる。これは、例えば、ソース領域及びドレイン領域並びにこれらの先端領域に選択的にエピタキシャル堆積を行い、ボロンドープシリコン又はSiGe(ソース/ドレイン領域の場合)が、ソース/ドレイン及びそれぞれの先端領域においてボロンドープゲルマニウム層の被覆層で覆われる二層構造を形成することにより実現できる。ゲルマニウム及びボロンの濃度は可変であるが、ある実施形態では、ゲルマニウム濃度は、原子百分率で20%から100%の範囲であり、ボロン濃度は、1E20cm−3から2E21cm−3の範囲である(例えば、ゲルマニウム濃度が原子百分率で50%を超え、ボロン濃度が2E20cm−3を超える)。ボロンドープゲルマニウム層が先端領域に設けられるが、別の実施形態では、ソース/ドレイン領域の上にのみ設けられてもよい(先端領域には設けられない)。
別の実施形態では、段階的に変化させたゲルマニウム濃度及び/又はボロン濃度を有する薄いバッファを必要に応じて、基板とソース/ドレイン層(例えば、シリコン又はSiGe)の間の境界層として使用することができる。同様に、段階的に変化させたゲルマニウム濃度及び/又はボロン濃度を有する薄いバッファを、ソース/ドレイン層とボロンドープゲルマニウム被覆層との間の境界層として使用することができる。更なる別の実施形態では、ボロンドープゲルマニウム被覆層又はソース/ドレイン層自身が、上記のバッファと同様に、段階的に変化させたゲルマニウム濃度及び/又はボロン濃度を有してもよい。この場合、ゲルマニウム内でボロンの拡散が抑制される(濃度が高いほど、相対的に抑制効果が大きくなる)ことから、高濃度のボロンをゲルマニウムにドープすると、先端領域の切形を劣化させることなく、低い寄生抵抗を実現できる。加えて、ショットキー障壁の高さが下がることから、接触抵抗を低減させることができる。
[構造及び方法]
図1Aには、本発明の一実施形態に係る基板102上に形成されたMOSデバイス100Aが示されており、ソース/ドレイン層とコンタクト金属との間にボロンドープゲルマニウム層が形成されている。より詳細には、ボロンドープゲルマニウム層117がソース層110とコンタクト金属125との間に設けられており、ボロンドープゲルマニウム層119が、ドレイン層112とコンタクト金属127との間に設けられている。ソース領域110及びドレイン領域112は、様々な周知の技術を使用して形成することができる。この実施形態では、例えば、基板をエッチングした後に、シリコン又はシリコンゲルマニウム材料を(例えば、原子百分率で10%から70%の範囲のゲルマニウム濃度で)エピタキシャル堆積させることにより、ソース領域110及びドレイン領域112を形成する。
ゲート積層体122が、トランジスタ100Aのチャネル領域120上に形成される。図に示すように、ゲート積層体122は、ゲート絶縁層106及びゲート電極104を含み、スペーサ108がゲート積層体122に隣接して形成される。ある実施形態では、技術ノードに応じて、スペーサ108は、ゲート絶縁層106の縁と、ソース/ドレイン領域110/112それぞれの縁との間に、約10から20ナノメータ(nm)の距離を形成する。この空間に、ソース先端領域110A及びドレイン先端領域112Aを形成することができる。この実施形態では、先端領域110A/112Aが、典型的な注入−拡散ベースのプロセスによって形成され、先端領域とスペーサ108が重なり、また、ゲート誘電体層106とも、例えば、10nm未満の距離で重なる又はその下に拡散する。典型的な注入−拡散ベースのプロセスによる先端領域110A/112Aの形成において、ボロン又はカーボンのようなドーパントが、ソース領域110及びドレイン領域112に注入される。トランジスタ100Aは次にアニールされて、ドーパントをチャネル領域120に向かって拡散する。傾斜イオン注入技術を使用して、ゲート絶縁層106とソース/ドレイン領域110/112との間の領域に、ドーパントを更に注入してもよい。このような注入−拡散ベースの先端領域形成プロセスは、通常、チャネル領域に歪みを生じさせない。
何れの場合であっても、本開示の趣旨から分かるように、トランジスタ構造が歪みチャネル又は非歪みチャネルを有する、ソースドレイン先端領域を有する又は有さないかは、本発明の様々な実施形態に特に関係せず、実施形態は、特別な構造的特徴に限定されることを意図していない。数多くのトランジスタ構造及び種類が、本明細書に記載するボロンドープゲルマニウム被覆層を採用することにより、良好な効果を得ることができる。本明細書に記載する技術は、例えば、従来のドーパント注入シリコン、隆起ソース/ドレイン、歪み(SiGe)(又は、その他の好適な材料)、及び、ゲート電極誘電体の下に延在する又はゲート電極誘電体の縦方向の線から離間して設けられる任意のエピタキシャル堆積された先端領域(tip)(ソース−ドレイン延長部と呼ばれる場合もある)と共に利用可能である。
ゲルマニウム被覆層117/119は通常、ソース/ドレイン領域110/112を形成した後であってコンタクト125/127を形成する前に、形成される。この被覆層117/119の厚みは、一実施形態と別の実施形態とで異なってもよく、一実施形態では、50から250オングストローム(Å)の範囲である。被覆層117/119のボロン濃度も変化してもよく、一実施形態では、1E20cm−3から2E21cm−3の範囲である(例えば、2E20cm−3を超える濃度)。被覆層117/119は、ソース/ドレイン110/112領域上に(及び/又は、必要に応じて、ポリゲート又は接地タップ領域のようなその他の領域上に)選択的に堆積することができる。好適な堆積技術を使用して、被覆層117/119を設けることができる(例えば、化学蒸着法、分子線エピタキシー等)。一実施形態によれば、コンタクト金属125、127はそれぞれ、ニッケルシリサイド層、窒化チタン接着層及びタングステンコンタクト/パッドの積層体を含むが、別の実施形態では、本開示に沿って様々なコンタクト金属構造を使用可能であることが明らかである。コンタクト金属125/127を設けるのに、標準的な堆積技術を使用することができる。
図1Bには、本発明の別の実施形態に係る基板102上に形成されたMOSデバイス100Bが示されており、ソース/ドレイン層110/112とコンタクト金属125/127との間にボロンドープゲルマニウム層117/119が形成されている。この例では、ソース及びドレインエピタキシャル先端領域(以下、エピ先端領域と称される)が含まれる。より詳細には、ソース領域110及びドレイン領域112がスペーサ108の下に延在する、別の場合には、ゲート絶縁層106の下に延在することを可能にするべく、MOSトランジスタ100Bは、アンダーカットエッチを利用する。ソース/ドレイン領域110/112のスペーサ108(及び、場合によっては、ゲート絶縁層106)の下に延在する部分は概して、それぞれソースエピ先端領域110B及びドレインエピ先端領域112Bと称される。ソース及びドレインエピ先端領域110B/112Bは、図1Aで説明した注入/拡散ベース先端領域110A/112Aに対応する。一実施形態では、ソース/ドレイン領域110/112及びソース/ドレインエピ先端領域110B/112Bは、例えば、基板102をエッチングしてスペーサ108(及び、場合によっては、絶縁層106)をアンダーカットし、次に、例えば、選択的エピタキシャル堆積によりインサイチュドープシリコン、ゲルマニウム又はSiGeを設けて、図1Bに示すような、ソース/ドレイン領域110/112及びソース/ドレインエピ先端領域110B/112Bを形成する。エピタキシャル堆積により、図1Bに示すように、基板102の表面に対して隆起した構造となるが、隆起しないような構造も採用可能である。ゲルマニウム被覆層117/119及びコンタクト金属125/127を、例えば、図1Aを参照して上記で説明した態様で実装可能である。
図1Cには、本発明の別の実施形態に係る基板102上に形成されたMOSデバイス100Cが示されており、ソース/ドレイン層110/112とコンタクト金属125/127との間にそれぞれボロンドープゲルマニウム層117/119が形成されている。この実施形態では、ソース領域110及びドレイン領域112は、ボロンのようなドーパントを基板に注入することにより形成されている。ゲート積層体122が、トランジスタ100Cのチャネル領域120上に形成され、この例では、側壁108が含まれない。この例では、トランジスタ構造は、図1A及び図1Bを参照して説明した実施形態のように、アンダーカットされた領域又は先端領域を含まない。ゲルマニウム被覆層117/119及びコンタクト金属125/127を、例えば、図1Aを参照して上記で説明した態様で実装可能である。
本発明の一実施形態に係るトランジスタ構造には、数多くの変形及び特徴を実装可能である。例えば、段階的に濃度が異なるバッファを、構造の1以上の場所に使用してもよい。例えば、基板102は、シリコン基板、又は、SOI(silicon on insulator)基板のシリコン膜、又は、シリコン、シリコンゲルマニウム、ゲルマニウム及び/又はIII−V族化合物半導体を含むマルチレイヤ基板であってもよい。一例として、シリコン又はシリコンゲルマニウム基板102を使用し、ソース/ドレイン領域110/112及びソース/ドレインエピ先端領域110B/112BにインサイチュボロンドープSiGeを使用する一実施形態では、基板102とソース/ドレイン材料との間にバッファを設けることができる。このような実施形態では、バッファは、段階的にボロン濃度を変させた(又は元々の)ドープシリコンゲルマニウム層であってもよく、そのゲルマニウム濃度は、下に位置する基板に適合するベースレベルから段階的に原子百分率で100%まで濃度が変化する(又は、100原子%に近い濃度、例えば、90原子%、95原子%又は98原子%を超える濃度)。バッファ内のボロン濃度は、(例えば、高レベルで)一定であってもよいし、例えば、ベース濃度又は基板に適合する濃度から、所望の高濃度(例えば、2E20cm−3)まで段階的に変化させてもよい。ここで、本明細書で使用されている"適合する"という言葉は、濃度レベルが必ず重複していることを指しているのではない(例えば、下の基板のゲルマニウム濃度が原子百分率で0%から20%であり、バッファの初期ゲルマニウム濃度が原子百分率で30%から40%であってもよい)。更に、本明細書で使用されている、濃度レベルが"固定されている"という言葉は、濃度レベルが相対的に一定となることを指している(例えば、層における最も低い濃度レベルが、当該層における最も高い濃度レベルの10%以内であることを指す)。より一般的な意味では、固定された濃度レベルとは、意図的に変化させた濃度レベルが存在しないことを指す。バッファの厚みは、バッファされる濃度の範囲のような因子に応じて可変であり、ある実施形態では、30〜120Å、50〜100Å(例えば、60Å又は65Å)といった範囲である。本開示で更に明らかとなるように、このような段階的なバッファは、ショットキー障壁の高さを下げることができる。
これに替えて、基板102とソース/ドレイン領域110/112及びソース/ドレインエピ先端領域110B/112Bとの間に薄いバッファを使用するのではなく、ソース/ドレイン材料自体を上記と同様な態様で段階的に濃度を変化させてもよい。例えば、一実施形態では、ボロンがドープされたSiGeソース/ドレイン領域110/112及びソース/ドレイン先端領域110B/112Bは、基板に適合するベースレベル濃度(例えば、原子百分率で30%から70%の範囲)から段階的にゲルマニウム濃度を100原子%まで変化させて形成することができる。このような実施形態の一部では、ボロンドープゲルマニウム層内のボロン濃度は、例えば、ベース濃度又は下に位置する基板に適合する濃度から、所望の高濃度(例えば、2E20cm−3を超える濃度)まで変化してもよい。
別の実施形態では、バッファが、ソース/ドレイン領域とボロンドープゲルマニウム被覆層117/119との間に設けられてもよい。このような一実施形態では、ソース/ドレイン領域は、一定のゲルマニウム濃度(例えば、原子百分率で30%から70%の範囲)を有するボロンドープSiGe層であり、バッファは、下に位置するボロンドープSiGe層に適合するベースレベル濃度から100原子%(又は、100原子%に近い濃度、例えば、90原子%、95原子%又は98原子%を超える濃度)まで段階的に変化するゲルマニウムノードを有する薄い(例えば、30〜120Å、50〜100Å)SiGe層であってもよい。このような場合、バッファ内のボロン濃度は、一定の所望の高いレベルであってもよいし、例えば、ベース濃度又は下に位置するSiGe層に適合する濃度から所望の高濃度(例えば、1E20cm−3、2E20cm−3、3E20cm−3を超える濃度)まで変化してもよい。これに替えて、ソース/ドレイン領域とボロンドープゲルマニウム被覆層117/119との間のバッファを使用するのではなく、被覆層117/119自身の濃度を同様な態様で変化させてもよい。例えば、一実施形態では、ボロンがドープされた被覆層117/119は、基板及び/又はソース/ドレイン領域に適合するベースレベル濃度(例えば、原子百分率で30%から70%の範囲)から段階的にゲルマニウム濃度を100原子%(又は、100原子%付近)まで変化させて形成することができる。被覆層117/119層内のボロン濃度は、一定の所望の高レベルであってもよいし、例えば、ベース濃度又は下に位置する基板及び/又はソース/ドレイン領域に適合する濃度から、所望の高濃度(例えば、2E20cm−3を超える濃度)まで変化させてもよい。
このように、数多くのトランジスタデバイスに低接触抵抗の構造が提供できる。デバイスは一部、任意の従来のプロセスを使用して形成されてもよく、例えば、ゲート酸化、ポリゲート電極、薄いスペーサ、ソース/ドレイン領域の等方性アンダーカットエッチ(又は、単結晶基板にファセット面を有するフィン凹部を形成するべくアンモニアでエッチングする、又は、フィン凹部を形成するその他の好適なエッチング技術を使用してもよい。ある実施形態では、先端領域を有する又は有さないソース/ドレイン領域を形成するべく、インサイチュドープシリコン、又は、完全な歪みシリコンゲルマニウム層を設けるのに、選択的エピタキシャル堆積を使用することができる。上記で説明したように、必要に応じてバッファを使用してもよい。好適な高誘電率を有するリプレースメントメタルゲート(RMG)を形成するフローを使用することもでき、この場合、従来のゲート酸化物に替えて高誘電体が使用される。例えば、ニッケル、ニッケルプラチナのケイ素化、ゲルマニウム予備アモルファス化注入物を有する又は有さないチタンを使用して、低抵抗ゲルマニウム化物を形成することができる。本明細書に記載される技術は、例えば、任意の技術ノード(例えば、90nm、65nm、45nm、32nm、22nm、14nm及び10nmのトランジスタ又はこれより小さいトランジスタ)に利点をもたらし、特許請求される発明は、特定のノード又は特定の範囲のデバイス配列に限定されることを意図していない。その他の本発明の利点については、本開示中で明らかとなる。
図2には、本発明の一実施形態に係る低接触抵抗を有するトランジスタ構造を形成する方法が示されている。図3Aから図3Iには、ある実施形態に係る上記方法を実行して形成された構造の例が示されている。
図2に示すように、方法は、ゲート積層体を半導体基板上に形成する段階202から開始し、半導体基板上には、PMOSトランジスのようなMOSデバイスが形成されてもよい。半導体基板は、例えば、バルクシリコン又はシリコンオンインシュレータ構成を有してもよい。別の実装形態では、半導体基板は、別の材料を使用して形成されていてもよく、例えば、シリコンと組み合わせられても組み合わせられなくてもよい、ゲルマニウム、シリコンゲルマニウム、アンチモン化インジウム、テルル化鉛、ヒ化インジウム、リン化インジウム、ヒ化ゲルマニウム、又は、アンチモン化ガリウムを使用して形成されてもよい。本発明の一実施形態では、一般的には、半導体デバイスをその上に形成できる土台の役割を担える任意の材料を使用することができる。ゲート積層体は、従来と同様な方法又は任意の好適に調整された技術を使用して形成することができる。本発明のある実施形態では、ゲート積層体は、ゲート誘電体層及びゲート電極層を堆積及びパターニングすることによって形成されてもよい。例えば、一実施形態では、化学気相堆積法(CVD)、原子層堆積法(ALD)、スピンオン堆積(SOD)又は物理気相堆積法(PVD)等の従来の堆積プロセスを使用して、半導体基板の全体にゲート絶縁層を堆積してもよい。例えば、ゲート絶縁層を熱的に成長させるといったように、別の堆積技術を使用してもよい。ゲート誘電体材料は、例えば、二酸化シリコン又は高誘電率材料のような材料から形成されてもよい。高誘電率材料の例としては、酸化ハフニウム、ハフニウムケイ素酸化物、酸化ランタン、ランタンアルミニウム酸化物、酸化ジルコニウム、ジルコニウムケイ素酸化物、酸化タンタル、酸化チタン、バリウムストロンチウムチタン酸化物、バリウムチタン酸化物、ストロンチウムチタン酸化物、酸化イットリウム、酸化アルミニウム、鉛スカンジウムタンタル酸化物、及び、ニオブ酸鉛亜鉛が挙げられる。特定の実施形態では、高誘電率のゲート絶縁層が、約5Åから約200Å(例えば、20Åから50Å)の厚さに形成されてもよい。一般的に、ゲート絶縁層は、隣接するソース/ドレインコンタクトとゲート電極とを電気的に絶縁するのに十分な厚みを有する。更なる実施形態では、高誘電率材料の品質を向上させるべくアニール工程のような更なる処理が高誘電率ゲート絶縁層に施されてもよい。次に、ALD、CVD又はPVDのような同様な堆積技術を使用して、ゲート絶縁層の上にゲート電極材料を堆積してもよい。このような実施形態の場合、ゲート電極材料は、ポリシリコン又は金属層であるが、その他の好適なゲート電極材料を使用することもできる。リプレースメントメタルゲート(RMG)プロセスのために後で取り除かれる犠牲層であってもよいゲート電極材料は、ある実施形態では、50Åから500Åの間の厚み(例えば、100Å)を有する。従来のパターニング工程を実行して、ゲート電極層及びゲート絶縁層の一部をエッチングで除去して、図3Aに示すようなゲート積層体が形成される。図3Aに示すように、基板300上にゲート積層体が形成されている。この実施形態では、ゲート積層体は、ゲート絶縁層302(高誘電率ゲート誘電体であってもよい)及び犠牲ゲート電極304を含む。一実施形態では、ゲート積層体は、二酸化シリコンゲート絶縁層302及びポリシリコンゲート電極304を含む。ゲート積層体はまた、ゲート電極304を次に行うイオン注入プロセスから保護するといったような効果を有する又は処理の間に使用されるゲートハードマスク層306を含んでもよい。ハードマスク層306は、二酸化シリコン、窒化シリコン及び/又はその他の典型的な誘電体のような典型的なハードマスク材料を使用して形成してもよい。図3Aには、積層体の両側に形成されたスペーサ310が示されている。スペーサ310は、例えば、酸化シリコン、窒化シリコン又はその他の好適なスペーサ材料のような典型的な材料を使用して形成されてもよい。スペーサ310の幅は、通常、トランジスタの設計要件に基づいて選択される。ある実施形態では、本明細書で説明されたように、ソース/ドレイン先端領域に十分に高い濃度でボロン(ボロンはチャネルには拡散しない)がドープされたゲルマニウムが存在しさえすれば、スペーサ310の幅は、ソース/ドレイン先端領域形成の設計制約に制限されない。
図2に戻り、ゲート積層体が形成された後に、段階204に示すように、トランジスタ構造のソース/ドレイン領域が形成される。上記で説明したように、ソース/ドレイン領域は、任意の好適なプロセス及び構成を使用して実装することができる。例えば、ソース/ドレイン領域は、シリコン又はSiGe合金を注入、エッチング及びエピタキシャル堆積、隆起させ、平坦又はフィン形の形状を有したp型及び/又n型の拡散領域であってもよい。図3Aに示す実施形態では、基板300をエッチングして、キャビティ312/314、及び、ゲート誘電体302をアンダーカットした先端領域312A/314Aを設ける。図3Bには、キャビティ312/314及び先端領域312A/314Aが形成された後に、これら領域が材料で満たされて、ソース/ドレイン領域318/320及び先端領域318A/320Aを設けた基板300が示されている。ある実施形態では、それぞれ先端領域312A/314Aを伴うソース/ドレイン領域のキャビティ312/314は、インサイチュドープシリコン又はSiGeで満たされて、ソース領域318(及びエピ先端領域318A)とドレイン領域320(及びドレインエピ先端領域320A)が形成される。材料(例えば、シリコン、SiGe、III−V材料)、ドーパント(例えば、2E21cm−3を超えるボロン又はその他の好適なドーパント/濃度)、及び、寸法(例えば、ソース/ドレイン層の厚みは、例えば、50nmから500nmであり、平坦な又は隆起したソース/ドレイン領域を提供する)に関して、任意のソース/ドレイン層構成を使用することができる。
上記したように、ある実施形態では、ソース/ドレイン層と基板との間、又は、ソース/ドレイン層とボロンドープゲルマニウム被覆層との間に、薄いバッファを設けてもよい。例えば、図3Bに示すように、ソース/ドレイン材料を堆積する前に、ソースバッファ313及びドレインバッファ315が堆積される。ある実施形態では、バッファ313及び315は、下に位置する基板300の材料と適合するベースレベル濃度から原子百分率で100%(又は上記したように、100原子%付近)まで段階的にゲルマニウム成分が変化するボロンドープシリコンゲルマニウム層であってもよい。ボロン濃度についても、好適に段階的に変化させてもよい。本開示に沿った数多くのバッファ構成が明らかである。
図2に戻り、ソース/ドレイン領域が画定されると、方法は、段階206において、トランジスタ構造のソース/ドレイン領域上にボロンドープゲルマニウムを堆積する。図3Cには、ボロンドープゲルマニウム層317/319が示されている。ある実施形態例では、1以上の層にエピタキシャル堆積されてもよいボロンドープゲルマニウム層317/319は、原子百分率で90%を超えるゲルマニウム濃度を有するが、本開示に沿って、その他の好適な濃度レベルを使用することもできる(例えば、原子百分率で、91%、92%、…、98%又は99%又は真に純粋なゲルマニウム)。上記で説明したように、このゲルマニウム濃度は一定でもよいし、ベースレベル(基板300に近い濃度)から高レベル(例えば、90原子%を超える濃度)へと段階的に増加させてもよい。このような実施形態のボロン濃度は、例えば、2E20cm−3又は2E21cm−3を超える濃度のように1E20cm−3を超えてもよく、また、基板300に近いベースレベルから高レベル(例えば、1E20cm−3、2E20cm−3、3E20cm−3、…、2E21cm−3)へと段階的に増加してもよい。下に位置するソース/ドレイン領域318/320のゲルマニウム濃度が一定である又は相対的に低い実施形態では、上記で説明したように、濃度を段階的に変化させたバッファを使用して、ソース/ドレイン領域318/320を、ボロンドープゲルマニウム層317/319と良好に接触させることができる。ボロンドープゲルマニウムキャップ317、319の厚さは、例えば、ある特定の実施形態では、50Åから250Åの範囲であってもよく、別の実施形態では、本開示に沿って明らかであるような別の膜厚であってもよい。
ある実施形態では、CVDプロセス又はその他の好適な堆積技術を段階206の堆積又はボロンドープゲルマニウム層317/319の形成に使用してもよい。例えば、ゲルマン(GeH)、又はジゲルマン(Ge)、及び、ジボラン(B)又は二フッ化ボロン(BF)のような前駆体を含有するゲルマニウム及びボロンを使用するCVD、急速熱CVD(RT−CVD)、低圧CVD(LP−CVD)、超真空CVD(UHV−CVD)、又は、ガスソース分子線エピタキシー(GS−MBE)ツールで堆積206を実行してもよい。ある実施形態では、例えば、水素、窒素又は希ガスのようなキャリアガス(例えば、前駆体は、1%〜5%濃度のキャリアガスで希釈される)が存在してもよい。また、例えば、塩化水素(HCl)、塩素(Cl)又は臭化水素(HBr)のようなハロゲンベースのガスのようなエッチャントガスが存在してもよい。ゲルマニウム及びボロンドープゲルマニウムの基本的な堆積が可能であり、例えば、300℃から800℃(例えば、300℃から500℃)の範囲の堆積温度、及び、例えば、1Torrから760Torrの範囲の反応圧力を使用した広い範囲の条件下での堆積が可能である。ゲルマニウムは自然の選択性を有し、シリコン又はシリコンゲルマニウム合金の上には堆積するが、二酸化シリコン及び窒化シリコンのようなその他の材料上には堆積されない。このような自然の選択性は完全ではないことから、エッチャントの小さな流れを使用して、上記したように、堆積の選択性を向上させることができる。キャリア及びエッチャントはそれぞれ、10〜300SCCMの範囲の流量を有することができる(典型的には、100SCCMを超えないフローであるが、ある実施形態では、これを超える高い流量であってもよい)。ある一実施形態では、堆積工程206は、水素で1%の濃度に希釈されたGeHを使用して、100〜1000SCCMの範囲の流量で実行される。ボロンのインサイチュドーピングの場合、希釈されたB2H6を使用してもよい(例えば、BをHで3%の濃度に希釈して、流量を100〜600SCCMの範囲としてもよい)。このような特定の実施形態では、HCl又はClエッチングエージェントを、例えば、10〜100SCCMの範囲の流量で添加して、堆積の選択性を向上させてもよい。
本開示に沿って理解されるように、ボロンドープゲルマニウム層317/319が堆積される場合の選択性は、所望に変化させることができる。例えば、ある場合には、ボロンドープゲルマニウム層317/319を、ソース/ドレイン領域318/320上に又はソース/ドレイン領域318/320の一部分の上にのみ堆積させる(構造全体に堆積させない)。任意のマスク/パターニング技術を使用して、層317/319を選択的に堆積させることができる。更に、別の実施形態では、例えば、層317/319を、ポリゲート領域又は接地タップ領域に変換してもよい。本開示で明らかなように、幾つかの実施形態では、高濃度ゲルマニウム(例えば、90原子%を超え、最大で純粋なゲルマニウムとなる濃度)及び高濃度ボロン(例えば、2E20cm−3を超える濃度)を組み合わせることにより、ソースドレイン領域(及び、接地タップ領域のように、低い接触抵抗が望ましいその他の領域)において大幅に低い接触抵抗を実現することができる。更に、上記したように、純粋なゲルマニウムによってボロンの拡散が大幅に抑制されることから、チャネル付近に高濃度ボロンが存在するにも関わらず(適用可能な場合)、次に続く熱アニール工程においてSCE劣化が発生しない。また、接触面における高濃度ゲルマニウムにより、障壁の高さを下げることができる。ある実施形態では、このような利点を得るべく、95原子%を超える最高で純粋なゲルマニウムになる(100原子%)範囲の濃度を使用することができる。
図2に戻り、ボロンドープゲルマニウム層317/319が設けられた後、方法は、層317/319上に堆積を行う工程208に移る。図3Dには、必要に応じて、ゲート積層体のハードマスク306と同一平面に形成される誘電体322が示されている。誘電体は、数多くの方法で形成可能である。ある実施形態では、誘電体322は、SiO又はその他の低誘電率材料で実装される。別の実施形態では、誘電体322は、1以上のSiO2層、又は、窒化物、酸化物、酸窒化物、炭化物、酸炭化物、又は、その他の好適な誘電体材料の組み合わせが上に形成されるSiNライナで実装される。層間誘電体(ILD)とも称される誘電体322は、通常行われるように、平坦化されてもよい。別の誘電体材料の例として、炭素ドープ酸化物(CDO)、ペルフルオロシクロブタン又はポリテトラフルオロエチレンのような有機ポリマー、フルオロシリケートガラス(FSG)、及び、シルセスオキサン、シロキサン又は有機ケイ酸ガラスが挙げられる。ある実装形態では、ILD層は、誘電率を更に下げるべく、孔又は空洞を有してもよい。
次に、図3Eに示すようなリプレース面とメタルゲート(RMG)プロセスが使用される本発明の実施形態では、方法は更に、従来のようなエッチング工程を使用して、ゲート積層体(高誘電率ゲート絶縁層302、犠牲ゲート電極304及びハードマスク層306を含む)を取り除く工程を備える。別の実装形態では、犠牲ゲート304及びハードマスク層306が除去される。図3Eには、一実施形態において、ゲート積層体がエッチングで除去される時に形成されるトレンチ開口が示されている。ゲート絶縁層が除去される場合、方法は、トレンチ開口(図3Fでは324で示されている)内に新たなゲート絶縁層を堆積する工程に進む。例えば、酸化ハフニウムのような、上記したような好適な高誘電率材料を使用することができる。同様な堆積工程を使用してもよい。ゲート誘電体層の置換は、例えば、ドライ及びウェットエッチング工程の間に元のゲート誘電体層にダメージが生じた場合、及び/又は、低い誘電率の材料又は犠牲誘電体を高誘電率の又は所望のゲート誘電材料で置き換える場合等に、行ってもよい。更に図3Fに示すように、方法は、トレンチ内及びゲート誘電体層324上に、メタルゲート電極層326を堆積する工程に進む。CVD、ALD、PVD、無電解めっき又は電気めっき等の従来の金属堆積工程を使用して、メタルゲート電極をを形成してもよい。メタルゲート電極層は、例えば、ルテニウム、パラジウム、プラチナ、コバルト、ニッケルのようなP型の仕事関数金属、及び、酸化ルテニウムのような導電金属酸化物を含む。ある実装形態では、2つ以上のメタルゲート金属層を堆積してもよい。例えば、仕事関数金属をゲートとトレンチ内に堆積させた後に、アルミニウム又は銀のような好適なメタルゲート電極を堆積させてもよい。
図2に戻り、誘電体層322が層317/319上に設けられた後(及び、所望のRMG工程の後)、方法は、ソース/ドレインコンタクトトレンチを形成するエッチング工程210に進む。任意の好適なドライ及び/又はウェットプロセスを使用することができる。図3Gには、一実施形態に係る、エッチングが完了した後の、ソース/ドレインコンタクトトレンチが示されている。方法は、ケイ化物/ゲルマニウム化物を形成する接触抵抗低減金属堆積及びアニール工程212に移り、ソース/ドレインコンタクトプラグを堆積する工程214に進む。図3Hには、コンタクト金属325/327が示されており、ある実施形態では、コンタクト金属はケイ化物/ゲルマニウム化物を含むが、別の実施形態では、更なる層(例えば、接着層)を含んでもよい。図3Iには、ある実施形態では、アルミニウムを含むコンタクトプラグ金属329/331が示されいるが、コンタクトプラグ金属329/331には任意の好適な導電性コンタクト金属又は合金を使用することができ、例えば、銀、ニッケル−プラチナ又はニッケル−アルミニウム、又は、その他のニッケル及びアルミニウムの合金、又は、チタンを、従来の堆積工程で堆積させてもよい。ソースコンタクト及びドレインコンタクトのソースドレインコンタクトのゲルマニウム化物及び金属を設ける工程212は、例えば、ニッケル、アルミニウム、ニッケル−プラチナ、ニッケル−アルミニウム、ニッケル及びアルミニウムのその他の合金、低抵抗ゲルマニウム化物を形成するためのゲルマニウム予備アモルファス化注入物を有する又は有さないチタン、のケイ素化によって実行することができる。ボロンドープゲルマニウム層317/319により、金属−ゲルマニウム化物の形成(例えば、ニッケル−ゲルマニウム)が可能となる。ゲルマニウム化物により、ショットキー障壁の高さを大幅に下げることができ、従来の金属−シリサイドシステムにおける接触抵抗と比較して、大幅に接触抵抗(Rextを含む)を改善させることができる。例えば、従来の典型的なトランジスタでは、原子百分率で30%から40%の濃度でゲルマニウムを有するソース/ドレインSiGeエピ工程を使用している。このような従来の装置では、Rext値が約140Ω*μmであり、エピ/ケイ化物の界面での高い抵抗によって制限されており、ゲートピッチの大きさにも制限されていた。本発明のある実施形態では、PMOSデバイスにおけるRextを大幅に改善することができ(例えば、Rextを約70Ω*μmにするといったように、2倍以上の改善)、PMOSデバイスの小型化を促進することが可能となる。したがって、本発明の一実施形態に係る、1E20cm−3を超えるボロン濃度、及び、90原子%を超え純粋なゲルマニウム(100原子%)に近いゲルマニウム濃度を、ソース/ドレイン領域318/320とコンタクト金属325/327との間の界面に有するボロンドープゲルマニウムキャップ317/319を有するソース/ドレインを備えるトランジスタは、100Ω*μm未満、場合によっては90Ω*μm未満、更に場合によっては80Ω*μm未満、更に場合によっては75Ω*μm未満のRext値となる。
図4には、本発明の別の実施形態に係る低接触抵抗を有するトランジスタ構造を形成する方法が示されている。図5Aから図5Fには、ある実施形態にかかる、上記の方法を実行して形成された構造の例が示されている。図に示すように、この例のトランジスタ構造は、p型及びn型ソースドレイン領域(それぞれ、p−S/D及びn−S/Dと示されている)を備え、ボロンドープゲルマニウムはP型領域にのみ選択的に堆積される。この方法は、誘電体322を堆積させてコンタクトトレンチを形成するべくエッチングされた後に、ボロンドープゲルマニウム層317/319のソース/ドレイン領域上への堆積が行われる点を除いて、図2及び図3A〜図3Hを参照して説明した方法と同様である。
方法は、図5Aに示すように、標準的な処理を使用して、ゲート積層体を形成する工程402と様々なp−S/D及びn−S/D領域を画定する段階404とを備える。ある実施形態では、p−S/D及びn−S/D領域をドープして、ボロンドープゲルマニウムに対して所望の選択性の度合いを提供することができる。方法は更に、図5Bに示すように、誘電体322を直接p−S/D及びn−S/D領域上に堆積させる工程406を備える。方法は、p−S/D及びn−S/D領域コンタクトトレンチを形成するべくエッチングする工程408に続き、その後、ボロンドープゲルマニウム層317/319をトレンチ内及びp−S/D領域(トランジスタ構造の所望の機能及び用途に応じて、1以上の領域が存在する場合もある)に堆積させる工程410を備え、図5C及び図5Dに示されている。堆積させる工程410は、選択的エピタキシのような任意の好適な堆積工程によって実行することができる。層317/319が設けられると、方法は、図5E及び図5Fに示すように、層317/319の上及び露出されたn−S/D領域の上にコンタクト金属325/327を堆積させる工程412に移り、次に、ソース/ドレインコンタクトプラグ329/331を堆積させる工程414に移る。このような別の方法であっても、接触抵抗を低減できるという利点は同じであり、ボロンドープゲルマニウムの堆積という点においてより選択性が向上される。このような選択的堆積工程は本開示の趣旨から明らかなように、マスク/パターニングと選択的堆積技術との任意の好適な組み合わせを使用することができる。更に明らかなように、先に説明した方法の同様な部分を、本例に適用可能である。
[FinFET構造]
周知のように、FinFETは、半導体材料の薄いストリップ(通常、フィンと称される)の周囲に形成されるトランジスタである。トランジスタは、ゲート、ゲート絶縁体、ソース領域及びドレイン領域を含む標準的な電界効果トランジスタ(FET)ノードを含む。デバイスの導電チャネルは、ゲート絶縁体の下でフィンの外側に設けられる。具体的には、電流が、フィンの両側の側壁(基板表面に垂直な面)及びフィンの上面(基板表面と平行な面)に沿って電流が流れる。このような構造の導電チャネルは基本的に、フィンの3つの異なる外側の平面的な領域に沿って存在することから、このようなFinFET設計は、トライゲート(tri-gate)FinFETとも称される。その他の種類のFinFET構成も利用可能であり、例えば、導電チャネルが主に、フィンの2つの側壁に沿ってのみ存在する(フィンの上面には存在しない)いわゆるダブルゲートFinFETが利用可能である。
図6には、本発明の一実施形態に係る、トライゲート構造の斜視図が示されている。図に示されるように、トライゲートデバイスは、半導体で構成される基板600又は基板から600からアイソレーション領域610、620を通過して延在するフィン660(点線で示されている)を有する基板」600を含む。ゲート電極640がフィン660の3つの面上に形成されて、3ゲートが形成される。ハードマスク690が、ゲート電極640の上に形成される。ゲートスペーサ670、680は、ゲート電極640の対向する2つの側壁に形成される。
ソース領域は凹部ソース界面650に及びフィン660の1つの側壁に形成されたエピタキシャル領域631領域、ドレイン領域は、凹部ソース界面650に及びフィン660の反対側の側壁(図示せず)に形成されたエピタキシャル領域631領域とを有する。キャップ層641は、エピタキシャル領域631上に堆積される。ボロンキャップ層641を凹部(先端)領域に形成してもよいし、別の実施形態では、ソース/ドレイン領域上にのみ設けてもよい(凹部領域には設けられない)。一実施形態では、アイソレーション領域610、620は、従来の技術を使用して形成される浅型溝分離(shallow trench isolation:STI)領域であり、例えば、基板600をエッチングしてトレンチを形成し、酸化物をトレンチ内に堆積してSTI領域が形成される。アイソレーション領域610、620は、任意の好適な誘電体/絶縁性材料、例えば、SiOにより形成することができる。基板102に関する上記の説明を本例に等しく適用可能である(例えば、基板600はシリコン基板、SOI基板又はマルチレイヤ基板であってもよい)。
本開示の趣旨から分かるように、従来のプロセス及び形成技術使用して、FinFETトランジスタ構造を形成することができる。しかしながら、本発明の一実施形態例によれば、例えば、ボロンドープゲルマニウム(641)で被覆したインサイチュドープシリコン又はSiGe(631)と、必要に応じて、二層の間に設けられるゲルマニウム及び/又はボロン濃度を段階的に変化させたバッファを使用して、エピタキシャル領域631の二層構造及びキャップ層641を実装することができる。上記で説明したように、エピタキシャル領域631に適合するベースレベルのゲルマニウム/ボロン濃度から、ボロンドープゲルマニウムキャップ641への遷移に、このようなバッファを使用することができる。これに替えて、段階的に変化するゲルマニウム及び/又はボロン濃度を、上記のようなバッファを介在させることなく、直接、エピタキシャル領域631及び/又はキャップ641に実装してもよい。以下で更に明らかになるように、トライゲート構造に替えて、誘電体/絶縁体層をフィン660の上に備えるダブルゲート構造を採用してもよい。
図7には、本発明の一実施形態に係るトランジスタ構造の接触抵抗と、キャップを有さない標準的なトランジスタ構造の接触抵抗とを示したプロットである。0.18を超える高抵抗値を有するトランジスタ構造全てに、コンタクト金属が直接堆積された標準的なSiGe合金隆起PMOSソース/ドレイン領域を実装することができる。0.107以下の高抵抗値を有するトランジスタ構造についても、本発明の様々な実施形態に係るソース/ドレイン領域とコンタクト金属との間に設けられるボロンドープゲルマニウムキャップを加えたうえで、同様に実装可能である。表1には、上記のボロンドープゲルマニウムキャップを有する構造の例と有さない構造の例を試験した結果を示した生データの変位値が示されている。
Figure 0005732142
表1に示すように、例では、従来のトランジスタ構造と比較して約3倍から6倍(×3〜6)接触抵抗の改善(低減)が見られる。単位は、任意面積あたりのオームである。
本発明の一実施形態に係るボロンドープゲルマニウムキャップを使用することに得られるその他の改善についても、本開示の趣旨から明らかとなるであろう。具体的には、本発明のある実施形態によれば、得られるゲルマニウム材料及びショットキー障壁の高さの低減により、従来のSiGeソース/ドレインPMOSデバイスと比較して2倍のRext改善が可能となる。周知のように、ショットキー障壁の高さは、半導体−金属接合にわたる電気電導の障壁である。ショットキー障壁高さの大きさは、金属のフェルミレベルのエネルギー位置と、半導体−金属界面における半導体の多数キャリアバンドエッジのエネルギー位置との間の不一致を反映している。p型半導体−金属界面の場合、ショットキー障壁の高さは、金属フェルミレベルと半導体の最大価電子帯との間の差分である。
[システム例]
図8には、本発明の一実施形態に係るコンピュータシステム1000が示されている。図に示すように、コンピュータデバイス1000は、マザーボード1002を備える。マザーボード1002は、これに限定されないが、物理的に及び電気的にマザーボード1002に接続される又は組み込まれるプロセッサ1004及び少なくとも1つの通信チップ1006を含む複数の構成要素を備えてもよい。マザーボード1002は、例えば、任意のプリント回路基板であってもよく、例えば、メインボード又はメインボードに搭載されるドータボード又はデバイス1000の唯一のボードであってもよい。用途に応じて、コンピュータデバイス1000は、物理的に及び電気的にマザーボード1002に接続されていても接続されていなくてもよい1以上の構成要素を含む。その他の構成要素としては、これに限定されないが、例えば、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、グラフィックスプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、電源アンプ、全地球測位システム(GPS)、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、大容量記憶装置(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)等)が含まれる。コンピュータデバイス1000に含まれる任意の構成要素が、上記した1以上のトランジスタ構造を含んでもよい。ある実施形態では、複数の機能を1以上のチップに組み込むことができる(例えば、通信チップ1006は、プロセッサ1004の一部分であってもよいし、プロセッサに組み込まれてもよい)。
通信チップ1006は、コンピュータデバイス1000への又はコンピュータデバイス1000からのデータの転送を行う無線通信を可能にする。"無線(wireless)"という言葉は、非固体媒体を介して変調電磁放射を利用することによりデータ通信を行う回路、デバイス、システム、方法、手法、通信チャンネル等を表現するのに使用されている場合がある。この"無線"という言葉は、関連するデバイスが、電線を含んでいないということを暗に意味しているわけではない。無論、ある実施形態では、有していない場合もある。通信チップ1006は、様々な無線規格又はプロトコルを実装してもよく、これに限定されないが、例えば、Wi−Fi(IEEE802.11ファミリー)、WiMAX(IEEE802.16ファミリー)、IEEE802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、Bluetooth(登録商標)、これらの派生規格、及び、3G、4G、5G及び5Gを超える世代として設計されたその他の無線プロトコルを実装してもよい。コンピュータデバイス1000は、複数の通信チップ1006を含んでもよい。例えば、第1通信チップ1006は、Wi−Fi及びBluetooth(登録商標)のような短距離無線通信専用とし、第2通信チップ1006を、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DO等の長距離無線通信専用としてもよい。
コンピュータデバイス1000のプロセッサ1004は、プロセッサ1004内にパッケージされた集積回路を備える。本発明のある実施形態では、プロセッサの集積回路ダイは、オンボード不揮発性メモリ又はキャッシュを備える、及び/又は、本明細書に記載した1以上のトランジスタ構造を実装するオフチップメモリに通信可能に接続される。ここで、"プロセッサ"という言葉は、例えば、レジスタ及び/又はメモリからの電子データを処理して、レジスタ及び/又はメモリに格納されてもよい別の電子データへと変換する処理を行うデバイス又はデバイスの一部分を指す。
通信チップ1006は、通信チップ1006内にパッケージされる集積回路ダイを備えてもよい。このような実施形態では、通信チップの集積回路ダイは、本明細書に記載された1以上のトランジスタ構造を実装する1以上のデバイスを備える。本開示から理解できるように、マルチ規格無線能力を、直接プロセッサ1004に組み込んでもよい(例えば、複数の通信チップを備えるのではなく、チップ1006の機能をプロセッサ1004に組み込む)。プロセッサ1004は、このような無線機能を有するチップセットであってもよい。また、任意の数のプロセッサ1004及び/又は通信チップ1006を使用することができる。同様に、1つのチップ又は1つのチップセットに、複数の機能が組み込まれてもよい。
様々な実装形態において、コンピュータデバイス1000は、ラップトップ、ネットブック、ノートブック、スマートフォン、タブレット、パーソナルデジタルアシスタント(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテーメントコントロールユニット、デジタルカメラ、ポータブル音楽プレーヤ、又は、デジタルビデオレコーダであってもよい。更なる実装形態では、デバイス1000は、データを処理する又はトランジスタを採用する別の電子デバイスであってもよい。
本開示及び本明細書に記載される特徴から、数多くの実施形態が明らかであり、様々な構成と組み合わせ可能である。本発明の一実施形態は、トランジスタデバイスを提供する。デバイスは、チャネル領域を有する基板と、チャネル領域の上方に設けられたゲート電極とを備える。ゲート誘電体層が、ゲート電極とチャネル領域との間に設けられ、p型及びn型ソース/ドレイン領域が、チャネル領域に隣接して基板に設けられる。デバイスは更に、p型ソース/ドレイン領域の少なくとも一部分の上にボロンドープゲルマニウム層を備える。ボロンドープゲルマニウム層は、90原子%を超える濃度のゲルマニウムと、1E20cm−3を超える濃度のボロンを含む。デバイスは更に、ボロンドープゲルマニウム層の上に金属−ゲルマニウム化物ソース/ドレインコンタクトを備える。このような例では、ボロンドープゲルマニウム層は、デバイスのp型ソース/ドレイン領域上にのみ形成される。別の実施形態例では、デバイスは更に、誘電体を備える。別の例では、デバイスは更に、基板とp型及びn型ソース/ドレイン領域のうちの少なくとも1つとの間に段階的に濃度が変化するバッファ、及び/又は、p型及びn型ソース/ドレイン領域のうちの少なくとも1つとボロンドープゲルマニウム層との間に段階的に濃度が変化するバッファを備える。このような場合、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つとボロンドープゲルマニウム層との間に設けられるバッファは、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つに適合するベースレベル濃度から原子百分率で95%を超える高濃度まで段階的に変化するゲルマニウム濃度を有する。このよう一例では、高濃度は、純粋なゲルマニウムを表す濃度である。別の例では、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つとボロンドープゲルマニウム層との間に設けられるバッファは、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つに適合するベースレベル濃度から1E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する。別の例では、ボロンドープゲルマニウム層は、ゲルマニウム及びボロンのうち少なくとも一つが段階的に変化する濃度を有する。別の例では、p型ソース/ドレイン領域及びn型ソース/ドレイン領域は、基板に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度を有するシリコンゲルマニウム、及び、原子百分率で95%を超える高濃度ゲルマニウム濃度を有するボロンドープゲルマニウム層を有する。p型ソース/ドレイン領域及びn型ソース/ドレイン領域は、基板に適合するベースレベル濃度から1E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有するボロンドープシリコンゲルマニウムを有する。別の例では、p型ソース/ドレイン領域及びn型ソース/ドレイン領域は、シリコン又はシリコンゲルマニウムを有する。デバイスは更に、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つとボロンドープゲルマニウム層との間にバッファを備え、バッファは、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つに適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度、及び、p型ソース/ドレイン領域及びn型ソース/ドレイン領域のうちの少なくとも1つに適合するベースレベル濃度から1E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する。別の例では、ボロンドープゲルマニウム層は、原子百分率で98%を超えるゲルマニウム濃度、及び、2E20cm−3を超えるボロン濃度を有する。別の実施形態は、1以上の集積回路を有するプリント回路基板を備え、1以上の集積回路のうちの少なくとも1つは、上記に記載されたトランジスタデバイスを1以上含む電子デバイスを提供する。このような一例において、1以上の集積回路は、通信チップ及びプロセッサの少なくとも一つを含み、通信チップ及びプロセッサの少なくとも一つは、トランジスタデバイスを有する。このような一例において、電子デバイスは、コンピュータデバイスである。
本発明の別の実施形態では、トランジスタデバイスを提供する。この実施形態では、デバイスは、チャネル領域を有する基板、チャネル領域上方のゲート電極、及び、ゲート電極とチャネル領域との間に設けられたゲート誘電体層、及び、ゲート電極の両側に設けられたスペーサを備える。デバイスは更に、基板及びチャネル領域に隣接してp型及びn型ソース/ドレイン領域を備え、p型及びn型ソース/ドレイン領域はそれぞれ、ゲート誘電体層及び/又は対応するスペーサの下に延在する先端領域を有する。デバイスは更に、少なくともp型ソース/ドレイン領域の一部分上に、原子百分率で95%を超える濃度のゲルマニウムと2E20cm−3を超える濃度のボロンを含有するボロンドープゲルマニウム層を備える。デバイスは更に、ボロンドープゲルマニウム層の上に、金属−ゲルマニウム化物ソース/ドレインコンタクトを備える。デバイスは、平面型トランジスタ又はFinFETトランジスタのうちの一つである。このような場合、デバイスは更に、p型及びn型ソース/ドレイン領域のうちの少なくとも1つとボロンドープゲルマニウム層との間にバッファを備え、バッファは、p型及びn型ソース/ドレイン領域のうちの少なくとも1つに適合するベースレベル濃度から原子百分率で95%を超える高濃度まで段階的に変化するゲルマニウム濃度、及び、p型及びn型ソース/ドレイン領域のうちの少なくとも1つに適合するベースレベル濃度から2E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する。別の例では、ボロンドープゲルマニウム層は、ゲルマニウム及びボロンのうち少なくとも一つが段階的な濃度を有する。別の例では、p型及びn型ソース/ドレイン領域は、基板に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度を有するシリコンゲルマニウム、及び、原子百分率で98%を超える高濃度ゲルマニウム濃度を有するボロンドープゲルマニウム層を有する。別の例では、p型及びn型ソース/ドレイン領域は、基板に適合するベースレベル濃度から2E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する。別の例では、p型及びn型ソース/ドレイン領域は、一定のゲルマニウム濃度を有するシリコンゲルマニウムを有し、デバイスは更に、p型及びn型ソース/ドレイン領域とボロンドープゲルマニウム層との間にバッファを備え、バッファは、p型及びn型ソース/ドレイン領域に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度、及び、p型及びn型ソース/ドレイン領域に適合するベースレベル濃度から2E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有し、バッファは100オングストローム未満の厚みを有する。別の実施形態では、通信チップ及び/又はプロセッサを有する回路基板を備えるコンピュータデバイス(例えば、デスクトップ又はっポータブルコンピュータ等)を提供し、通信チップ及び/又はプロセッサのうちの少なくとも一つは、本章で様々に規定されるような1以上のトランジスタデバイスを有する。
本発明の別の実施形態では、トランジスタデバイスを形成する方法を提供する。方法は、チャネル領域を有する基板を設ける段階と、チャネル領域の上方にゲート電極を設ける段階とを備え、ゲート電極とチャネル領域との間にゲート誘電体層が設けられる。方法は更に、基板に及びチャネル領域に隣接してp型及びn型ソース/ドレイン領域を設ける段階と、p型ソース/ドレイン領域の少なくとも一部分上にボロンドープゲルマニウム層を設ける段階とを備える。ボロンドープゲルマニウム層は、原子百分率で90%を超える濃度のゲルマニウムと1E20cm−3を超える濃度のボロンを有する。方法は更に、ボロンドープゲルマニウム層上に、金属−ゲルマニウム化物ソース/ドレインコンタクトを設ける段階を備える。このような実施形態の場合、方法は更に、基板とp型及びn型ソース/ドレイン領域のうちの少なくとも1つとの間に段階的に濃度が変化するバッファを設ける段階、及び/又は、p型及びn型ソース/ドレイン領域のうちの少なくとも1つとボロンドープゲルマニウム層との間に段階的に濃度が変化するバッファを設ける段階を備える。別の例では、ボロンドープゲルマニウム層では、ゲルマニウム及びボロンのうちの少なくとも一つの濃度が段階的に変化する(段階的に濃度が変化するバッファと共に又はバッファなしで使用される)。方法は、例えば、コンピュータデバイスのような任意の電子デバイスの製造で採用されてもよい。
上記の本発明の実施形態例は、本発明を例示及び説明する目的で示されたものである。本発明を、開示された形態に厳密に限定することを意図していない。数多くの変形及び改良が本開示に沿って可能である。本発明の範囲を詳細に説明に限定することは意図しておらず、本発明の範囲は添付の特許請求の範囲によって限定される。

Claims (22)

  1. チャネル領域を有する基板と、
    前記チャネル領域の上方に設けられたゲート電極と、
    前記ゲート電極と前記チャネル領域との間に設けられたゲート誘電体層と、
    前記チャネル領域に隣接して前記基板に設けられたp型ソース/ドレイン領域及びn型ソース/ドレイン領域と、
    記p型ソース/ドレイン領域の少なくとも一部分上に、原子百分率で90%を超える濃度のゲルマニウムと1E20cm−3を超える濃度のボロンを含有するボロンドープゲルマニウム層と、
    前記ボロンドープゲルマニウム層上に設けられた金属−ゲルマニウム化物ソース/ドレインコンタクトと
    前記p型ソース/ドレイン領域と前記ボロンドープゲルマニウム層との間に設けられた段階的に濃度が変化するバッファとを備え
    前記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から1E20cm −3 を超える高濃度まで段階的に変化するボロン濃度を有する
    トランジスタデバイス。
  2. 前記トランジスタデバイスは、平面型トランジスタ及びFinFETトランジスタのうちの一つである請求項1に記載のトランジスタデバイス。
  3. 前記ボロンドープゲルマニウム層は、前記トランジスタデバイスのp型ソース/ドレイン領域の上にのみ設けられる請求項1又は2に記載のトランジスタデバイス。
  4. 層間誘電体を更に備える請求項1から3の何れか一項に記載のトランジスタデバイス。
  5. 前記基板と前記p型ソース/ドレイン領域との間に設けられた段階的に濃度が変化するバッファを備える請求項1から4の何れか一項に記載のトランジスタデバイス。
  6. 前記p型ソース/ドレイン領域と前記ボロンドープゲルマニウム層との間に設けられた前記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から原子百分率で95%を超える高濃度まで段階的に変化するゲルマニウム濃度を有する請求項1から5の何れか一項に記載のトランジスタデバイス。
  7. 前記高濃度は、純粋なゲルマニウムを表す濃度である請求項6に記載のトランジスタデバイス。
  8. 前記ボロンドープゲルマニウム層は、ゲルマニウム及びボロンのうち少なくとも一つが段階的に変化する濃度を有する請求項1からの何れか一項に記載のトランジスタデバイス。
  9. 前記p型ソース/ドレイン領域及び前記n型ソース/ドレイン領域は、前記基板に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度を有するシリコンゲルマニウムを有し、
    前記ボロンドープゲルマニウム層は、原子百分率で95%を超えるゲルマニウム濃度を有する請求項1からの何れか一項に記載のトランジスタデバイス。
  10. 前記p型ソース/ドレイン領域は、前記基板に適合するベースレベル濃度から1E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有するボロンドープシリコンゲルマニウムを有する請求項1からの何れか一項に記載のトランジスタデバイス。
  11. 前記p型ソース/ドレイン領域及び前記n型ソース/ドレイン領域は、シリコン又はシリコンゲルマニウムを有し、
    記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度、及び、前記p型ソース/ドレイン領域に適合するベースレベル濃度から1E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する請求項1から4の何れか一項に記載のトランジスタデバイス。
  12. 前記ボロンドープゲルマニウム層は、原子百分率で98%を超えるゲルマニウム濃度、及び、2E20cm−3を超えるボロン濃度を有する請求項1から11の何れか一項に記載のトランジスタデバイス。
  13. 1以上の集積回路を有するプリント回路基板を備え、
    前記1以上の集積回路のうちの少なくとも1つは、請求項1から12の何れか一項に記載のトランジスタデバイスを1以上含む電子デバイス。
  14. 前記1以上の集積回路は、
    通信チップ及びプロセッサの少なくとも一つを含み、
    前記通信チップ及びプロセッサの少なくとも一つは、前記トランジスタデバイスを1以上有する請求項13に記載の電子デバイス。
  15. 前記電子デバイスは、コンピュータデバイスである請求項13又は14に記載の電子デバイス。
  16. チャネル領域を有する基板と、
    前記チャネル領域の上方に設けられたゲート電極と、
    前記ゲート電極と前記チャネル領域との間に設けられたゲート誘電体層と、
    前記ゲート電極の両側に設けられたスペーサと、
    前記チャネル領域に隣接して前記基板に設けられ、前記ゲート誘電体層及び/又は対応する前記スペーサの下に延在する先端領域をそれぞれ有するp型ソース/ドレイン領域及びn型ソース/ドレイン領域と、
    記p型ソース/ドレイン領域の少なくとも一部分上に設けられ、原子百分率で95%を超える濃度のゲルマニウムと2E20cm−3を超える濃度のボロンを含有するボロンドープゲルマニウム層と、
    前記ボロンドープゲルマニウム層上に設けられた金属−ゲルマニウム化物ソース/ドレインコンタクトと
    前記p型ソース/ドレイン領域と前記ボロンドープゲルマニウム層との間に設けられた段階的に濃度が変化するバッファとを備え、
    前記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から1E20cm −3 を超える高濃度まで段階的に変化するボロン濃度を有し、
    平面型トランジスタ及びFinFETトランジスタのうちの1つであるトランジスタデバイス。
  17. 記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から原子百分率で95%を超える高濃度まで段階的に変化するゲルマニウム濃度、及び、前記p型ソース/ドレイン領域に適合するベースレベル濃度から2E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する請求項16に記載のトランジスタデバイス。
  18. 前記ボロンドープゲルマニウム層は、ゲルマニウム及びボロンのうち少なくとも一つが段階的に変化する濃度を有する請求項16に記載のトランジスタデバイス。
  19. 前記p型ソース/ドレイン領域及び前記n型ソース/ドレイン領域は、前記基板に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度を有するシリコンゲルマニウムを有し、
    前記ボロンドープゲルマニウム層は、原子百分率で98%を超えるゲルマニウム濃度を有する請求項16に記載のトランジスタデバイス。
  20. 前記p型ソース/ドレイン領域、前記基板に適合するベースレベル濃度から2E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有する請求項19に記載のトランジスタデバイス。
  21. 前記p型ソース/ドレイン領域及び前記n型ソース/ドレイン領域は、一定のゲルマニウム濃度を有するシリコンゲルマニウムを有し、
    記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から原子百分率で50%を超える高濃度まで段階的に変化するゲルマニウム濃度、及び、前記p型ソース/ドレイン領域に適合するベースレベル濃度から2E20cm−3を超える高濃度まで段階的に変化するボロン濃度を有し、
    前記バッファは100オングストローム未満の厚みを有する請求項16に記載のトランジスタデバイス。
  22. トランジスタデバイスを形成する方法であって、
    チャネル領域を有する基板を設ける段階と、
    前記チャネル領域の上方にゲート電極を設ける段階と、
    前記チャネル領域に隣接してp型ソース/ドレイン領域及びn型ソース/ドレイン領域を前記基板に設ける段階と、
    前記p型ソース/ドレイン領域の少なくとも一部分上にボロンドープゲルマニウム層を設ける段階と、
    前記ボロンドープゲルマニウム層上に、金属−ゲルマニウム化物ソース/ドレインコンタクトを設ける段階と
    前記p型ソース/ドレイン領域と前記ボロンドープゲルマニウム層との間に、段階的に濃度が変化するバッファを設ける段階とを備え、
    前記バッファは、前記p型ソース/ドレイン領域に適合するベースレベル濃度から1E20cm −3 を超える高濃度まで段階的に変化するボロン濃度を有し、
    前記ゲート電極と前記チャネル領域との間にゲート誘電体層が設けられ、
    前記ボロンドープゲルマニウム層は、原子百分率で90%を超える濃度のゲルマニウムと1E20cm−3を超える濃度のボロンを含有する方法。
JP2013546135A 2010-12-21 2011-09-30 トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション Active JP5732142B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 2010-12-21
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
PCT/US2011/054202 WO2012087404A1 (en) 2010-12-21 2011-09-30 Selective germanium p-contact metalization through trench

Publications (2)

Publication Number Publication Date
JP2014501452A JP2014501452A (ja) 2014-01-20
JP5732142B2 true JP5732142B2 (ja) 2015-06-10

Family

ID=46233263

Family Applications (7)

Application Number Title Priority Date Filing Date
JP2013546134A Active JP5714721B2 (ja) 2010-12-21 2011-09-30 ゲルマニウム・オーバーレイヤ・プリコンタクト・メタライゼーションを利用したコンタクト抵抗低減
JP2013546135A Active JP5732142B2 (ja) 2010-12-21 2011-09-30 トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
JP2013543323A Pending JP2014504453A (ja) 2010-12-21 2011-12-07 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2013546324A Active JP5714722B2 (ja) 2010-12-21 2011-12-20 トランジスタ装置、集積回路及び製造方法
JP2017064009A Active JP6329294B2 (ja) 2010-12-21 2017-03-28 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2018080942A Pending JP2018113484A (ja) 2010-12-21 2018-04-19 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2019189786A Pending JP2020074389A (ja) 2010-12-21 2019-10-16 ホウ素ドープゲルマニウムの濃度が高いトランジスタ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013546134A Active JP5714721B2 (ja) 2010-12-21 2011-09-30 ゲルマニウム・オーバーレイヤ・プリコンタクト・メタライゼーションを利用したコンタクト抵抗低減

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2013543323A Pending JP2014504453A (ja) 2010-12-21 2011-12-07 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2013546324A Active JP5714722B2 (ja) 2010-12-21 2011-12-20 トランジスタ装置、集積回路及び製造方法
JP2017064009A Active JP6329294B2 (ja) 2010-12-21 2017-03-28 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2018080942A Pending JP2018113484A (ja) 2010-12-21 2018-04-19 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2019189786A Pending JP2020074389A (ja) 2010-12-21 2019-10-16 ホウ素ドープゲルマニウムの濃度が高いトランジスタ

Country Status (9)

Country Link
US (16) US8901537B2 (ja)
EP (9) EP2656393B1 (ja)
JP (7) JP5714721B2 (ja)
KR (12) KR20130088179A (ja)
CN (8) CN105720091B (ja)
PL (1) PL3361512T3 (ja)
SG (4) SG191003A1 (ja)
TW (4) TWI544630B (ja)
WO (4) WO2012087404A1 (ja)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
ES2897456T3 (es) 2011-04-15 2022-03-01 Aditya Birla Science And Tech Company Private Limited Un procedimiento para la separación y purificación del sulfuro sódico
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) * 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
WO2013098838A2 (en) 2011-10-24 2013-07-04 Aditya Birla Nuvo Limited An improved process for the production of carbon black
US9006069B2 (en) 2011-12-19 2015-04-14 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
WO2013175488A2 (en) 2012-03-30 2013-11-28 Aditya Birla Science And Technology Company Ltd. A process for obtaining carbon black powder with reduced sulfur content
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN103854981A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 鳍结构制造方法
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
US8931553B2 (en) 2013-01-04 2015-01-13 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
US8802512B2 (en) 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US9184233B2 (en) * 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
US8940640B2 (en) 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
JP2014222723A (ja) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 電界効果型半導体装置及びその製造方法
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (ko) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9401274B2 (en) * 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
KR102138871B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 Iii-v족 재료 능동 영역과 그레이딩된 게이트 유전체를 갖는 반도체 디바이스
KR20200108930A (ko) * 2013-09-27 2020-09-21 인텔 코포레이션 공통 기판 상의 상이한 일함수를 가지는 비-평면 i/o 및 논리 반도체 디바이스들
KR101684010B1 (ko) * 2013-11-29 2016-12-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 콘택 구조물
CN105723515B (zh) * 2013-12-18 2019-11-05 英特尔公司 通过增大有效栅极长度来改进栅极对晶体管沟道的控制的技术
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
WO2015099692A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Tensile source drain iii-v transistors for mobility improved n-mos
CN110610866B (zh) * 2013-12-27 2023-05-30 英特尔公司 扩散的尖端延伸晶体管
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) * 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9431492B2 (en) 2014-02-21 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
US9859424B2 (en) 2014-03-21 2018-01-02 Intel Corporation Techniques for integration of Ge-rich p-MOS source/drain contacts
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US20170062569A1 (en) * 2014-06-13 2017-03-02 Intel Corporation Surface encapsulation for wafer bonding
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10312367B2 (en) 2014-06-20 2019-06-04 Intel Corporation Monolithic integration of high voltage transistors and low voltage non-planar transistors
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
EP3172761B1 (en) 2014-07-25 2021-09-22 Intel Corporation Tungsten alloys in semiconductor devices
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
WO2016022098A1 (en) * 2014-08-05 2016-02-11 Intel Corporation Apparatus and methods to create microelectronic device isolation by catalytic oxide formation
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (zh) * 2014-08-28 2020-06-02 联华电子股份有限公司 半导体元件及其制作方法
MY188298A (en) 2014-09-09 2021-11-25 Intel Corp Multi-gate high electron mobility transistors and methods of fabrication
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (zh) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 半導體元件、電子元件及電子元件端子結構
WO2016099570A1 (en) * 2014-12-19 2016-06-23 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
WO2016105348A1 (en) 2014-12-22 2016-06-30 Intel Corporation Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) * 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (ko) * 2015-03-16 2016-09-26 엘지전자 주식회사 전기제품, 전기제품의 펌웨어 업데이트 방법 및 네트워크 시스템
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
DE102015106397B4 (de) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9741829B2 (en) * 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3311418A4 (en) * 2015-06-19 2019-01-09 Intel Corporation REDUCTION OF RESISTANCE IN TRANSISTORS HAVING SOURCE / DRAIN REGIONS OBTAINED BY EPITAXIAL GROWTH
WO2016204782A1 (en) * 2015-06-19 2016-12-22 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US10103249B2 (en) 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN116825818A (zh) * 2015-11-12 2023-09-29 蓝枪半导体有限责任公司 栅极全包覆式纳米线场效晶体管装置
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10586866B2 (en) 2015-12-09 2020-03-10 Intel Corporation Stressors for compressively strained GaN p-channel
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
KR102532202B1 (ko) 2016-01-22 2023-05-12 삼성전자 주식회사 반도체 소자
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (zh) 2016-05-12 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
JP6606020B2 (ja) * 2016-06-15 2019-11-13 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
US10903364B2 (en) * 2016-07-02 2021-01-26 Intel Corporation Semiconductor device with released source and drain
US10128187B2 (en) 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102302000B1 (ko) * 2016-09-15 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 콘택 집적 및 선택적 실리사이드 형성 방법들
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
WO2018052478A2 (en) * 2016-09-19 2018-03-22 Applied Materials, Inc. Method of doped germanium formation
JP6724685B2 (ja) * 2016-09-23 2020-07-15 住友電気工業株式会社 半導体装置
US10326019B2 (en) 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
US11081570B2 (en) 2016-09-28 2021-08-03 Intel Corporation Transistors with lattice matched gate structure
WO2018063280A1 (en) 2016-09-30 2018-04-05 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in mos transistors
CN109075204B (zh) * 2016-10-12 2021-11-05 京东方科技集团股份有限公司 薄膜晶体管、具有该薄膜晶体管的阵列基板、显示面板和显示装置、及其制造方法
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10312096B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
WO2018182611A1 (en) 2017-03-30 2018-10-04 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10043893B1 (en) * 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102379707B1 (ko) * 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10319855B2 (en) 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671673B (zh) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (ko) 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6896305B2 (ja) * 2017-11-09 2021-06-30 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
CN109817525B (zh) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10777663B2 (en) 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
CN108155101A (zh) * 2017-12-22 2018-06-12 中国科学院微电子研究所 一种堆叠纳米线及其制造方法
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (ko) 2018-03-21 2019-12-16 한국과학기술원 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10510865B2 (en) 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (ja) 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
TWI827644B (zh) * 2018-08-28 2024-01-01 日商索尼半導體解決方案公司 半導體裝置及其製造方法
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) * 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium
CN110634866B (zh) * 2019-09-05 2021-09-14 中国科学院微电子研究所 一种cmos晶体管、cmos晶体管的制备方法及电子设备
KR20210032845A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11695055B2 (en) * 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (zh) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 金属氧化物半导体器件及其制造方法
CN112466932A (zh) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 晶体管外延结构及其制备方法
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (zh) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 基于内嵌空腔soi衬底的多栅mos器件及其制备方法
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN115148799B (zh) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 Rf ldmos器件及其制作方法

Family Cites Families (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (ja) * 1991-12-26 1993-07-23 Toshiba Corp 半導体装置及びその製造方法
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (ja) * 1993-12-16 1995-07-04 Nec Corp 半導体装置およびその製造方法
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (ja) 1997-03-18 1998-09-29 Hitachi Ltd 半導体装置およびその製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP2005183160A (ja) 2003-12-19 2005-07-07 Jst Mfg Co Ltd 雌コンタクト
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
WO2006038504A1 (ja) * 2004-10-04 2006-04-13 Matsushita Electric Industrial Co., Ltd. 縦型電界効果トランジスタおよびその製造方法
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (de) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8811915B2 (en) * 2005-03-04 2014-08-19 Psion Inc. Digital wireless narrow band radio
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
KR100733419B1 (ko) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 내부전원 생성장치
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
DE102005051994B4 (de) * 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
GB2444888B (en) * 2005-10-31 2009-05-13 Advanced Micro Devices Inc Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4536001B2 (ja) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 透光壁
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
WO2007086008A1 (en) * 2006-01-25 2007-08-02 Nxp B.V. Tunneling transistor with barrier
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007214481A (ja) 2006-02-13 2007-08-23 Toshiba Corp 半導体装置
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP4960007B2 (ja) * 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
JP5130648B2 (ja) 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5018473B2 (ja) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5317483B2 (ja) 2008-01-29 2013-10-16 株式会社東芝 半導体装置
US20110058126A1 (en) * 2008-02-14 2011-03-10 Yasunobu Okada Semiconductor element, method of manufacturing fine structure arranging substrate, and display element
JP2009200090A (ja) 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (ja) 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8084308B2 (en) 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (zh) * 2010-03-03 2014-09-11 Huang Chung Cheng 奈米結構的製造方法及奈米結構於三維結構之應用
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) * 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Also Published As

Publication number Publication date
KR20200070434A (ko) 2020-06-17
TWI643342B (zh) 2018-12-01
US20160372547A1 (en) 2016-12-22
JP2017135399A (ja) 2017-08-03
TW201824567A (zh) 2018-07-01
EP2656391B1 (en) 2018-08-22
JP5714721B2 (ja) 2015-05-07
KR101691115B1 (ko) 2016-12-30
CN105932063B (zh) 2019-12-17
US20190259835A1 (en) 2019-08-22
US20130264639A1 (en) 2013-10-10
EP2656389A4 (en) 2014-09-10
US20170221724A1 (en) 2017-08-03
KR101812389B1 (ko) 2017-12-26
SG191004A1 (en) 2013-07-31
US11508813B2 (en) 2022-11-22
KR20170116200A (ko) 2017-10-18
CN103329274B (zh) 2016-03-23
US11251281B2 (en) 2022-02-15
US10090383B2 (en) 2018-10-02
US9722023B2 (en) 2017-08-01
US9627384B2 (en) 2017-04-18
EP2656392A2 (en) 2013-10-30
US9117791B2 (en) 2015-08-25
KR20150058552A (ko) 2015-05-28
EP3361512B1 (en) 2020-09-02
CN103270597A (zh) 2013-08-28
US20180342582A1 (en) 2018-11-29
PL3361512T3 (pl) 2021-04-19
EP3312886A1 (en) 2018-04-25
US20200144362A1 (en) 2020-05-07
EP2656391A2 (en) 2013-10-30
WO2012088097A2 (en) 2012-06-28
KR20150058546A (ko) 2015-05-28
US20150060945A1 (en) 2015-03-05
US20150333180A1 (en) 2015-11-19
CN106684148B (zh) 2020-12-01
EP2656392A4 (en) 2014-09-10
US20210005712A1 (en) 2021-01-07
TW201242022A (en) 2012-10-16
SG190998A1 (en) 2013-07-31
US9437691B2 (en) 2016-09-06
TWI756520B (zh) 2022-03-01
WO2012087581A2 (en) 2012-06-28
CN103270598A (zh) 2013-08-28
CN105826390B (zh) 2021-05-25
KR20200018740A (ko) 2020-02-19
EP2656391A4 (en) 2014-09-10
WO2012087581A3 (en) 2012-09-07
KR102168550B1 (ko) 2020-10-21
KR101510029B1 (ko) 2015-04-08
US10811496B2 (en) 2020-10-20
EP2656389B1 (en) 2019-07-10
JP2020074389A (ja) 2020-05-14
US10553680B2 (en) 2020-02-04
CN105826390A (zh) 2016-08-03
CN103270599A (zh) 2013-08-28
US20200127091A1 (en) 2020-04-23
KR20180005251A (ko) 2018-01-15
CN103329274A (zh) 2013-09-25
KR102123036B1 (ko) 2020-06-15
TW201946283A (zh) 2019-12-01
KR20170000404A (ko) 2017-01-02
TW201701481A (zh) 2017-01-01
EP3726588A1 (en) 2020-10-21
TWI544630B (zh) 2016-08-01
CN103270599B (zh) 2016-08-03
EP2656393A1 (en) 2013-10-30
US8901537B2 (en) 2014-12-02
US20200287011A1 (en) 2020-09-10
CN103270597B (zh) 2016-06-22
CN105932063A (zh) 2016-09-07
KR101949894B1 (ko) 2019-02-20
JP2018113484A (ja) 2018-07-19
KR20190018755A (ko) 2019-02-25
JP5714722B2 (ja) 2015-05-07
KR101978085B1 (ko) 2019-05-13
EP2656389A1 (en) 2013-10-30
JP2014504453A (ja) 2014-02-20
JP2014507792A (ja) 2014-03-27
SG191005A1 (en) 2013-07-31
KR20130088188A (ko) 2013-08-07
US9349810B2 (en) 2016-05-24
KR102079356B1 (ko) 2020-02-19
US20160322359A1 (en) 2016-11-03
CN106684148A (zh) 2017-05-17
US20170373147A1 (en) 2017-12-28
WO2012087403A1 (en) 2012-06-28
EP3361512A1 (en) 2018-08-15
KR101489611B1 (ko) 2015-02-04
KR20130111592A (ko) 2013-10-10
US20130240989A1 (en) 2013-09-19
CN105720091A (zh) 2016-06-29
SG191003A1 (en) 2013-07-31
CN105720091B (zh) 2019-06-28
EP3588579A1 (en) 2020-01-01
US11387320B2 (en) 2022-07-12
WO2012087404A1 (en) 2012-06-28
US10879353B2 (en) 2020-12-29
US10304927B2 (en) 2019-05-28
CN103270598B (zh) 2016-06-15
JP2014508396A (ja) 2014-04-03
US20120153387A1 (en) 2012-06-21
WO2012088097A3 (en) 2012-10-26
EP3582265A1 (en) 2019-12-18
JP6329294B2 (ja) 2018-05-23
TWI690084B (zh) 2020-04-01
US20220271125A1 (en) 2022-08-25
EP2656393B1 (en) 2020-01-01
EP2656393A4 (en) 2014-09-10
KR20130111595A (ko) 2013-10-10
KR101784226B1 (ko) 2017-10-11
JP2014501452A (ja) 2014-01-20
KR20130088179A (ko) 2013-08-07

Similar Documents

Publication Publication Date Title
JP5732142B2 (ja) トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
US10700178B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization
US8994104B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150311

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150410

R150 Certificate of patent or registration of utility model

Ref document number: 5732142

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250