TWI643342B - 用於pmos整合之第iv族電晶體 - Google Patents

用於pmos整合之第iv族電晶體 Download PDF

Info

Publication number
TWI643342B
TWI643342B TW105122618A TW105122618A TWI643342B TW I643342 B TWI643342 B TW I643342B TW 105122618 A TW105122618 A TW 105122618A TW 105122618 A TW105122618 A TW 105122618A TW I643342 B TWI643342 B TW I643342B
Authority
TW
Taiwan
Prior art keywords
type
germanium
source
concentration
item
Prior art date
Application number
TW105122618A
Other languages
English (en)
Other versions
TW201701481A (zh
Inventor
葛蘭 葛雷斯
Glenn Glass
安拿 莫希
Anand Murthy
Original Assignee
英特爾股份有限公司
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司, Intel Corporation filed Critical 英特爾股份有限公司
Publication of TW201701481A publication Critical patent/TW201701481A/zh
Application granted granted Critical
Publication of TWI643342B publication Critical patent/TWI643342B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Abstract

所揭露之技術係用以形成第IV族電晶體裝置,其具有高鍺濃度之源極/汲極區域,且相對傳統裝置表現出降低的寄生電阻。在某些範例具體實施例中,源極/汲極區域之每一者包括薄的p型矽或鍺或矽鍺沉積,且源極/汲極材料沉積的剩餘物為p型鍺或鍺合金(例如鍺:錫或其他適合的應變誘導物,且具有至少80原子百分比的鍺含量及20原子百分比或更低的其他成分)。在某些情況中,應變鬆弛的證據可於富含鍺的蓋層中觀察到,包括錯位差排及/或穿透差排及/或雙晶。可使用許多電晶體組態,包括平面及非平面電晶體結構兩者(例如鰭式場效電晶體及奈米線電晶體)、以及應變及非應變通道結構。

Description

用於PMOS整合之第IV族電晶體
本發明係關於用於PMOS整合之第IV族電晶體。
電路裝置(包括電晶體、二極體、電阻、電容、及形成於半導體基板上的其他被動或主動電子裝置)增加的效能一般為在設計、製造、及操作這些裝置的過程中所要考量的主要因素。舉例來說,在金屬氧化物半導體(MOS)電晶體半導體裝置(例如在互補式金屬氧化物半導體(CMOS)中所使用者)的設計及製造或形成過程中,通常欲最小化關聯於接觸(亦稱作外部電阻Rext)的寄生電阻。降低的Rext能使相同電晶體的設計有更高的電流。
300‧‧‧基板
302‧‧‧閘極介電層
304‧‧‧閘極電極
306‧‧‧選擇性硬式遮罩
310‧‧‧間隙壁
312‧‧‧凹洞
312A‧‧‧頂端區域
313‧‧‧襯層
314‧‧‧凹洞
314A‧‧‧頂端區域
315‧‧‧襯層
318‧‧‧蓋層
318A‧‧‧源極頂端
320‧‧‧蓋層
320A‧‧‧汲極頂端
322‧‧‧絕緣體層
324‧‧‧高k閘極介電質層
325‧‧‧接觸電阻降低金屬
326‧‧‧金屬閘極電極
329‧‧‧接觸插塞金屬
400‧‧‧基板
410‧‧‧鰭
420‧‧‧STI層
430‧‧‧閘極介電質材料
440‧‧‧閘極電極
450‧‧‧硬式遮罩
460‧‧‧間隙壁
470‧‧‧凹槽
480‧‧‧磊晶襯層
490‧‧‧磊晶源極/汲極蓋層
510‧‧‧奈米線
511‧‧‧材料
580‧‧‧襯層
590‧‧‧蓋層
1000‧‧‧計算系統
1002‧‧‧主機板
1004‧‧‧處理器
1006‧‧‧通訊晶片
圖1示意地描述包括源極及汲極頂端區域之一典型MOS電晶體之電阻的構件;圖2為根據本發明之一具體實施例之用以形成第IV族電晶體之方法; 圖3A至3F根據本發明之各種具體實施例描述實施圖2之方法所形成之結構;圖4A至4G之每一者顯示根據本發明之一具體實施例所形成之FinFET電晶體結構的透視圖;圖5A及5B之每一者顯示根據本發明之一具體實施例所形成之奈米線電晶體結構的透視圖;以及圖6描述根據本發明之一具體實施例之以一或多個電晶體結構所實施之計算系統。
應理解,圖式未必是以等比例繪出或是意圖限制本發明於所示的特定組態。舉例來說,雖然某些圖式一般係顯示直線、直角、及平滑表面,但鑒於真實世界對所使用之處理設備及技術的限制,電晶體結構的實際實作可能具有較不完美的直線及/或直角,且某些特徵可能具有表面拓撲或是不平滑。簡言之,圖式僅被提供來顯示範例結構。
【發明內容及實施方式】
所揭露之技術係用以形成第IV族電晶體裝置,其具有高鍺濃度之源極/汲極區域,且相對傳統裝置表現出降低的寄生電阻。在某些範例具體實施例中,所產生之電晶體結構之源極/汲極區域之每一者包括薄的p型矽或鍺或矽鍺(SiGe)襯層,且源極/汲極材料的剩餘物為p型鍺或鍺合金,其包括例如鍺及錫,且具有至少80原子百分比的鍺含量(及20原子百分比或更低的其他成分,例如錫及/或其他適合的應變誘導物。在某些情況中,應變鬆 弛的證據可於此富含鍺之層中觀察到,其包括錯位差排及/或穿透差排。根據本發明,許多電晶體組態以及合適的製造程序將為顯而易見,其包括平面及非平面電晶體結構兩者(例如鰭式場效電晶體及奈米線電晶體)、以及應變及非應變通道結構。本發明技術特別適於實現p型MOS(PMOS)裝置,然而其他電晶體組態亦可受益。
概述
如前文所解釋,一般可藉由降低裝置外部電阻(Rext)而達成電晶體中增加的驅動電流。然而,PMOS電晶體效能為裝置內各種構件電阻的函數,如參照圖1所示。通道電阻R1可經由載子遷移率(其為通道內壓縮應變的函數)而調節。裝置的外部電阻Rext包括頂端電阻R2(頂端區域亦稱作源極/汲極延伸)、源極/汲極電阻R3、及接觸電阻R4(金屬至半導體)。所有這些分段電阻具有一材料構件(例如橫跨介面的能量屏障、載子濃度及遷移率)、幾何構件(例如長度、寬度等)、及動態電性負載構件(電流擁擠)。
因此,根據本發明某些具體實施例,以p型薄襯層及高含量的鍺(具有非常高的p型摻雜物濃度)來取代源極/汲極區域中的一般矽或SiGe合金材料係最小化外部電阻構件(R2、R3、及R4)。此外,藉由引入高度壓縮應變的材料,通道電洞遷移率係最大化或增加,因而降低通道電阻(R1)。降低的通道、頂端、源極/汲極及接觸電阻 的靜影響為在一給定電壓下(相對臨界電壓Vt,即V-Vt)之改善的電晶體電流。
在某些範例情況中,薄襯層為p型摻雜矽或鍺或SiGe合金,且一般小於總源極/汲極沉積層厚度的50%。剩餘的源極/汲極沉積層厚度一般大於總源極/汲極沉積層厚度的50%,且可例如為p型摻雜鍺或鍺合金,例如鍺:錫或鍺:錫:x(其中x例如為矽或其他微量的成分或基於製程/擴散的人為產物),具有至少80原子百分比的鍺及20原子百分比或更少的其他成分(例如錫及/或任何其他合適的應變誘導物及/或其他微量無意的成分)。在某些特殊的此類範例具體實施例中,源極/汲極襯層與高濃度鍺蓋層的厚度比為約1:5或更低(其中襯層構成總源極/汲極沉積層厚度之約20%或更低)。在某些此類範例情況中,襯層的厚度為一到數個單分子層。
本技術可用以形成電晶體裝置於任何數量的裝置及系統。在某些具體實施例中,例如具有n型MOS(NMOS)及PMOS電晶體兩者的CMOS裝置,可由各種方式來達成選擇性。在一具體實施例中,舉例來說,在NMOS源極/汲極位置上的沉積可藉由在PMOS沉積過程中將NMOS區域屏蔽而避免。在其他具體實施例中,選擇性可包括自然選擇。舉例來說,雖然硼摻雜鍺生成於p型SiGe(或矽)源極/汲極上,但其並不生成於絕緣體表面(例如二氧化矽(SiO2)或氮化矽(SiN))上;其亦不生成於例如n型區域中的暴露重度磷摻雜矽上。
本文中所提供的技術可用以改善在任何數量的電晶體結構及組態中的裝置電阻,其包括平面、平齊式或抬升式源極/汲極、非平面(例如奈米線電晶體及鰭式電晶體,例如雙閘極及三閘極電晶體結構)、以及應變及非應變通道結構。源極/汲極區域可為凹陷(例如使用蝕刻製程)或非凹陷(例如形成於基板的頂表面)。此外,電晶體裝置可選擇性地包括源極及汲極頂端區域,其係設計以例如降低電晶體的整體電阻,同時改善短通道效應(SCE),但此類頂端區域並非必需。電晶體裝置可更包括任何數量的閘極組態,例如多晶閘極、高k介電質金屬閘極、替換性金屬閘極(RMG)製程閘極、或任何其他閘極結構。任何數量的結構特徵可與本文所述的低電阻電晶體技術一同使用。
根據某些具體實施例,垂直於閘極線或二次離子質譜儀(SIMS)輪廓的穿透式電子顯微鏡(TEM)橫截面可用以顯示結構中的鍺濃度,因為矽及SiGe的磊晶合金的輪廓可容易地與高鍺濃度輪廓區別。在某些此類含矽基板的情況中,藉由捨棄用以維持應變(無差排)源極/汲極區域的一般需求,源極/汲極填充材料及矽通道之間的晶格維度不匹配對純鍺而言可增加至少2X,鍺-錫合金可增加更多。在差排出現於富含鍺之蓋層的情況中,雖然不是100%的應變都能夠轉移至通道,但後沉積熱處理可用以提供明確的電晶體效能(在給定V-Vt處的電流)增益,即使是鬆弛薄膜(如本文所述),其係相對應變SiGe控 制。將理解到,鬆弛一般係表示薄膜可能具有錯位差排,但也可指包括差排形成及傳播的可塑鬆弛機制。彈性鬆弛的程序在非平面組態(例如FinFET(如三閘極)及奈米結構中變得可能,其中應變材料並未完全受到基板的限制。因此,平面內晶格常數具有更大的彈性來擴張或縮小,而不受基板的影響,且此程序不需錯位差排的形成及傳播。在本文中,鬆弛一詞係用於可塑鬆弛的意義上而非用於彈性鬆弛的意義上。使用錫或其他合適應變誘導物以形成本文所述之高濃度鍺蓋層的合金可選擇性地用以增加通道區域中的應變,藉此透過圖1中電阻R1的降低而更降低整體的裝置電阻。將理解到,雖然無缺陷的純鍺為理想的,但對例如矽基板或甚至具有50原子百分比鍺之SiGe基板上的沉積通常難以無缺陷地成長。然而,意外地,若比較一般完全應變SiGe層與具有某些缺陷(例如具有錯位及/或穿透差排)之此類富含鍺層的效能,則有缺陷的富含鍺層將表現較佳。將理解到,此結果一般並不直觀,因為其違背了傳統對薄膜的了解。無論如何,雖然本發明的某些具體實施例可能包括缺少晶格特徵(例如錯位差排、穿透差排及雙晶(缺陷係源於橫跨雙晶平面之晶格方位中的改變))的富含鍺蓋層,但其他具體實施例可包括具有一或多個此類特徵的富含鍺蓋層。
結構及方法學
圖2為根據本發明之一具體實施例之用以形成第IV 族電晶體之方法。圖3A至3F根據本發明之各種具體實施例描述實施圖2之方法所形成之範例結構。一或多個此類電晶體可形成於例如處理器、通訊晶片或記憶體晶片的製造中。此類積體電路可接著用於各種電子裝置及系統中。
範例方法包括形成一或多個閘極堆疊於半導體基板上,其中MOS裝置可形成於基板上(202)。MOS裝置可包含例如PMOS電晶體、或NMOS及PMOS電晶體兩者(例如CMOS裝置)。圖3A顯示所產生的一範例結構,其在此情況中包括形成於基板300上之PMOS電晶體。可看出閘極堆疊係形成於通道區域之上,且包括閘極介電層302、閘極電極304、及選擇性硬式遮罩306。間隙壁310係鄰接閘極堆疊而形成。
閘極介電質302可例如為任何適合的氧化物,例如二氧化矽(SiO2)或高k閘極介電質材料。舉例來說,高k閘極介電質材料的範例包括氧化鉿、氧化矽鉿、氧化鑭、氧化鋁鑭、氧化鋯、氧化矽鋯、氧化鉭、氧化鈦、氧化鈦鍶鋇、氧化鈦鋇、氧化鈦鍶、氧化釔、氧化鋁、氧化鉭鈧鉛、及鈮酸鋅鉛。在某些具體實施例中,當使用高k材料時,可在閘極介電層302上實行退火程序以改善其品質。在某些特定範例具體實施例中,高k閘極介電質302可具有範圍在5Å至100Å厚的厚度(例如10Å)。在其他具體實施例中,閘極介電質302可具有一單分子層氧化材料的厚度。一般來說,閘極介電質302的厚度應足以將閘極 電極304與源極及汲極接觸電性地隔離。在某些具體實施例中,可於高k閘極介電質302上執行額外的程序,例如退火程序,以改善高k材料的品質。
閘極電極304的材料可例如為多晶矽、氮化矽、碳化物、或金屬層(例如鎢、氮化鈦、鉭、氮化鉭),然而也可使用其他合適的閘極電極材料。在某些具體實施例中,閘極電極304材料可為稍後在替換性金屬閘極(RMG)製程移除的一犧牲層,其厚度在約10Å至500Å(例如100Å)的範圍。
選擇性閘極硬式遮罩層306可用以在製造過程中提供某些益處或使用,例如保護閘極電極304免於後續的蝕刻及/或佈植製程。硬式遮罩層306可使用一般的硬式遮罩材料形成,例如二氧化矽、氮化矽、及/或習知的絕緣體材料。
閘極堆疊可由習知方式形成或使用任何合適的客製化技術形成(例如習知的圖案化製程以蝕刻除去部份的閘極電極及閘極介電質層,以形成圖2A所示的閘極堆疊)。閘極介電質302及閘極電極304材料之每一者可例如使用習知的沉積製程而形成,例如化學汽相沉積(CVD)、分子層沉積(ALD)、旋塗沉積(SOD)、或物理汽相沉積(PVD)。也可使用其他沉積技術,舉例來說,閘極介電質302及閘極電極304材料可為熱成長。根據本說明書內容可理解到,可使用任何數量的其他合適材料、幾何、或形成製程來實施本發明的具體實施例,以提供本文所述的 低電阻電晶體裝置或結構。
間隙壁310可例如使用習知的材料而形成,例如氧化矽、氮化矽、或其他合適的間隙壁材料。間隙壁310的寬度一般可基於所形成電晶體的設計需求而選擇。然而,根據某些具體實施例,間隙壁310的寬度並不受到源極及汲極頂端區域之形成所造成的設計限制,其假設在源極/汲極頂端區域有足夠高的p型摻雜鍺含量(例如摻雜硼的鍺)或SiGe合金襯層。
可使用任何數量的合適基板來實施基板300,包括塊狀基板、絕緣層上半導體基板(XOI,其中X為半導體材料,例如矽、鍺、或富含鍺的矽)、及多層結構,包括在隨後閘極圖案化製程之前可形成鰭式或奈米線於其上的那些基板。在某些特定範例情況中,基板300為鍺或矽或SiGe塊狀基板、或是鍺或矽或SiGe於氧化物基板上。雖然本文描述了可形成基板300之材料的幾個範例,但可作為建立低電阻電晶體裝置於其上之基礎的其他合適材料也在所主張之本發明的精神及範疇。
再參考圖3A,在形成一或多個閘極堆疊後,方法繼續某些選擇性的處理,在此範例具體實施例中包括蝕刻電晶體結構的源極/汲極區域(204),以及去除結構之任何NMOS源極/汲極區域的遮罩(若有的話)(206)。將理解到,源極/汲極區域不需為凹陷或以其他方式蝕刻。在此情況中,源極/汲極材料可形成於基板300上而不需任何蝕刻。根據某些具體實施例,雖然此非凹陷源極/汲極 區域將不影響通道電阻,仍可實施具有薄襯層及高鍺含量蓋層的雙層源極/汲極結構,以提供低接觸電阻。更將理解到,並非所有具體實施例都包括n型區域。在某些範例情況中,舉例來說,所製造的電路可僅包括PMOS裝置。在此類範例情況中,無n型源極/汲極區域要被去除遮罩。當出現n型區域,可使用任何適合的遮罩技術,以在p型處理過程中保護n型區域。
在源極/汲極區域被蝕刻的範例具體實施例中,產生源極/汲極凹洞312/314,如圖3A所示。凹洞有效地定義源極/汲極區域的位置。可進一步看出,基板300不僅已被蝕刻而產生源極/汲極凹洞312/314,也產生了底切閘極介電質302之其個別的頂端區域312A/314A。凹洞312/314及其個別的頂端區域312A/314A可使用任何數量的合適製程而以習知方式形成。在某些範例情況中,這包括離子佈植以高度地摻雜鄰接閘極堆疊之部份的基板300,接著退火以驅使摻雜物更進入基板300,以改善預期源極/汲極區域的蝕刻速率。接著,可使用乾蝕刻製程來蝕刻基板300的摻雜區域,以形成凹洞312/314及其個別的頂端區域312A/314A。在完成乾蝕刻製程後,可例如使用濕蝕刻以清潔及進一步地蝕刻凹洞312/314及其個別的頂端區域312A/314A。此類濕蝕刻可使用習知或客製的濕蝕刻化學劑而實行,其可用以移除污染物,例如碳、氟、氟氯碳、及氧化物(例如氧化矽),以提供後續製程可實施於其上的乾淨表面。此外,假設一單晶矽表面,濕 蝕刻也可用以沿<111>及<001>結晶面來移除薄的部份基板300,以提供平滑表面,其中高品質磊晶沉積可發生於其上。在某些範例情況中,被蝕刻移除之薄的部份基板300可例如高達5奈米厚,且可移除殘留的污染物。濕蝕刻一般會造成凹洞312/314及其個別頂端區域312A/314A的邊緣沿著<111>及<001>結晶面。
進一步參考圖2,方法繼續沉積p型矽或鍺或SiGe襯層313/315於p型源極/汲極區域(208),且接著在襯層313/315之上沉積p型鍺或鍺合金於p型源極/汲極區域中(210)。這些沉積之每一者可例如使用選擇性磊晶沉積而實現,然而可使用任何合適的沉積製程。參考圖3B可看出,p型矽或鍺或SiGe襯層313/315係沉積於凹洞312/314及其個別頂端區域312A/314A中。此外,如圖3C所示,凹洞312/314及其個別頂端區域312A/314A係進一步地填充以提供p型鍺或鍺合金的厚蓋層318/320於p型襯層313/315之上。將理解到,p型摻雜物的範例包括例如硼、鎵、或任何其他合適的p型摻雜物,且所主張之本發明並不意欲受限於任何特定一種。
根據基板300為矽或SiGe塊狀基板、或絕緣層上半導體基板(XOI,其中X為矽或SiGe)之某些特定範例具體實施例,源極及汲極凹洞312/314及其個別頂端區域312A/314A係以原位硼摻雜之矽或SiGe填充,藉以形成對應的襯層313/315,並接著以原位硼摻雜之鍺或富含鍺的合金進一步填充,以形成蓋層318/320。在基板300為 鍺塊狀基板或絕緣層上鍺基板的其他範例具體實施例中,源極及汲極凹洞312/314及其個別頂端區域312A/314A可以原位硼摻雜之富含鍺的合金(例如鍺:錫)填充,藉以形成對應的襯層313/315,並接著以原位硼摻雜之富含鍺合金進一步填充,以形成蓋層318/320。根據本說明書內容可理解到,襯層313/315及蓋層318/320的個別鍺或p型摻雜物濃度可根據例如以下因素而變化:基板300的組成、晶格匹配/相容性之漸次變化的使用、以及總源極/汲極沉積的整體理想厚度。根據本說明書內容可理解到,可實施許多材料系統及p型摻雜組態。
舉例來說,在具有矽或鍺或SiGe基板的某些範例具體實施例中,襯層313/315的鍺含量可在20原子百分比至100原子百分比的範圍中,且硼濃度在1E20cm-3至2E21cm-3的範圍中。根據某些具體實施例,為避免晶格與底下的含矽基板不匹配,襯層313/315的鍺濃度可漸次變化。舉例來說,在一此類具體實施例中,襯層313/315可為一漸次變化的硼摻雜SiGe層,其具有從相容於底下矽或SiGe基板300之一基準濃度漸次變化至100原子百分比(或接近100原子百分比,例如超過90原子百分比或95原子百分比或98原子百分比)的鍺濃度。在一特定的此類具體實施例中,鍺濃度的範圍從40原子百分比或更低到超過98原子百分比。襯層313/315內的硼濃度可例如固定在一高位準或者可漸次變化。舉例來說,襯層313/315內的硼濃度可從等於或相容於底下基板300之一 基準濃度漸次變化到一理想高濃度(例如,超過1E20cm-3、2E20cm-3、或5E20cm-3)而。在某些此類具體實施例中,硼摻雜鍺蓋層318/320具有超過1E20cm-3(例如超過2E20cm-3或2E21cm-3或更高)的硼濃度。此蓋層318/320中的硼濃度可以類似於參照襯層313/315所描述的方式漸次變化。更一般地來說,硼濃度可依需要調整,以提供所需的傳導率等級,其可根據本說明書內容而理解。舉例來說,蓋層318/320的鍺濃度可固定於100原子百分比。或者,根據本說明書內容可理解到,蓋層318/320的鍺濃度可從低至高濃度(例如從20原子百分比至100原子百分比)漸次變化,以考量到襯層313/315與蓋層318/320之理想峰值鍺濃度之間的晶格不匹配。在其他具體實施例中,蓋層318/320可由鍺合金來實施,其中混合物可例如為高達80原子百分比的鍺以及高達20原子百分比的合金材料(其在某些具體實施例中為錫)。需注意,將理解到,錫濃度(或其他合金材料)也可漸次變化。在一此情況中,當蓋層318/320中的錫濃度範圍在3至8原子百分比,通道應變將增加(蓋層318/320之平衡原子百分比實質為鍺及任何梯度材料)。儘管鬆弛,晶格常數仍舊相對為大,且能夠施予足夠的應變於鄰接的通道。其他合適的錫濃度為顯而易見,其他合適的應變誘導物亦是。
需注意,使用純鍺基板下,襯層313/315可由鍺來實現且不需漸次變化。在某些此類情況中,襯層313/315的鍺濃度可為固定(例如100原子百分比)且蓋層318/320 可由鍺合金(例如鍺:錫、或前述之其他合適的鍺合金)來實現。如前文所解釋,蓋層318/320中的鍺濃度(或錫或其他合金材料濃度)可漸次變化以產生理想的通道應變。在某些此類的情況中,需進一步注意,鍺襯層313/315可有效地與鍺合金蓋層318/320整合或可為源極/汲極區域沉積之一無法偵測的組件。
有關漸次變化,需注意到本文中所使用的相容性並不需要在濃度等級上重疊(舉例來說,底下基板300的鍺濃度可為0至20原子百分比,且襯層313/315的初始鍺濃度可為30至40原子百分比)。此外,如本文所使用,有關濃度等級的「固定」一詞意指相對不變的濃度等級(例如層中最低濃度等級為在該層內之最高濃度等級的10%)。在更一般的意義上,固定的濃度等級係意指缺乏故意的漸次變化濃度等級。
襯層313/315及蓋層318/320的厚度也可隨以下因素而變化:基板300的組成、晶格匹配/相容性之漸次變化的使用、以及總源極/汲極沉積的整體理想厚度。一般來說,在襯層313/315組態為具有漸次變化的鍺含量以提供與不具有或具有低鍺含量之基板300的相容性的情況下,襯層313/315可較厚。在其他情況中,當基板300為鍺基板或者含有相對高濃度的鍺,襯層313/315不需漸次變化,因此可相對較薄(例如一至數個單分子層)。在其他情況中,當基板300不含或具有相對低的鍺含量,襯層313/315可由相對薄的矽層或低鍺含量材料層來實施,且 蓋層318/320的鍺含量可依相容性所需而漸次變化。在任何此類情況中,襯層313/315一般構成少於50%的總源極/汲極沉積層厚度,且剩餘的源極/汲極沉積層厚度一般大於50%的總源極/汲極沉積層厚度。根據某些此類範例具體實施例,當襯層313/315未漸次變化,襯層313/315對蓋層318/320的厚度比為約2:5或更低(即襯層構成總源極/汲極沉積層厚度的約40%或更低)。在某些特定的此類具體實施例中,襯層313/315對蓋層318/320的厚度比為約1:5或更低(即襯層構成總源極/汲極沉積層厚度的約20%或更低)。在一此類特定範例情況中,襯層313/315的厚度在1至數個單分子層到約10奈米的範圍中,且總源極/汲極沉積層厚度在50至500奈米的範圍。根據本說明內容可清楚有許多源極/汲極襯層及蓋層幾何及材料組態。
根據本說明書內容將理解到,本發明具體實施例可實施任何數量的其他電晶體特徵。舉例來說,通道可為應變或非應變,且源極/汲極區域可包括或不包括形成於對應源極/汲極區域及通道區域之間的頂端區域。從這個意義來說,不論電晶體結構具有應變或非應變通道、或具有源極/汲極頂端區域或不具源極/汲極頂端區域,都與本發明各種具體實施例不特別相關,且所主張之本發明並不意欲受限於任何特定之此類結構特徵。相反地,任何數量的電晶體結構及種類,特別是那些具有p型或n型及p型兩者之源極/汲極電晶體區域的結構,將從使用具有本文所述 之襯層及高鍺濃度蓋層之雙層源極/汲極組態而受益。
可使用CVD製程或其他合適的沉積技術來進行沉積(208及210)。舉例來說,208及210的沉積可於CVD反應器、LPCVD反應器、或超高真空CVD(UHVCVD)中實行。在某些範例情況中,反應器溫度可例如落於600℃至800℃之間,且反應器壓力可例如落於1至760托耳之間。載子氣體可例如包括氫或氦,其具有適當的流速,例如在10至50SLM之間。在某些特定具體實施例中,可使用鍺源前驅物氣體(例如在H2中稀釋的GeH4(舉例來說,GeH4可稀釋為1-20%))來實行沉積。舉例來說,稀釋的GeH4可在1%濃度及流速範圍在50至300SCCM之間使用。針對硼的原位摻雜,可使用稀釋的B2H6(例如B2H6可在H2中稀釋為1-20%)。舉例來說,稀釋的B2H6可在3%濃度及流速範圍在10至100SCCM之間使用。在某些範例情況中,可加入蝕刻劑,以增加沉積的選擇性。舉例來說,可加入流速範圍在例如50至300SCCM之間的HCI或Cl2
根據本說明書內容,源極/汲極雙層結構上的各種變化將為顯而易見。舉例來說,在某些具體實施例中,襯層313/315係由磊晶沉積的硼摻雜SiGe所實施,其可為一或多層且具有範圍在30至70原子百分比或更高的鍺濃度。如前文所解釋,此SiGe襯層的鍺濃度可為固定或漸次變化,以從基準(接近基板300)增加至高位準(例如超過50原子百分比,接近蓋層318/320之鍺濃度的基準濃度, 鍺持續漸次變化至100原子百分比)。在某些此類具體實施例中的硼濃度可超過1E20 cm-3,例如高於5E20 cm-3或2E21 cm-3,且亦可漸次變化以從接近基板300的基準增加至高位準(例如超過1E20 cm-3或2E20 cm-3或3E20 cm-3等,接近蓋層318/320)。在硼摻雜SiGe襯層313/315的鍺濃度為固定的具體實施例中,可使用薄的漸次變化緩衝以較佳地連接襯層313/315與硼摻雜蓋層318/320。需注意,此緩衝可為一中間層或整合至蓋層318/320的成分中。為了此揭露的目的,此緩衝可視為蓋層318/320的部份。根據某些特定範例具體實施例,硼摻雜SiGe沉積層(或層的集合)313/315的厚度可例如在數單分子層至50奈米的範圍,而層(或層的集合)318/320可具有範圍在例如51至500奈米的厚度,然而其他具體實施例可具有其他的襯層及蓋層厚度,其根據本說明書內容將為顯而易見的。在某些具體實施例中,需注意到凹洞312/314可在循環的沉積-蝕刻製程過程中產生於間隙壁底下,且這些凹洞312/314也可由磊晶蓋層回填(其可例如具有與硼摻雜鍺蓋層318/320相同的成分)。
鑒於本說明書內容將更理解到,高鍺濃度(例如超過50原子百分比且高達純鍺)及高硼濃度(例如超過1E20cm-3)的組合(如本文所討論)可用以主要地實現在PMOS電晶體裝置中之源極及汲極區域(圖1中的R3)以及其個別的頂端區域(圖1中的R2)中較高的傳導率。此外,如前文所解釋,因為相對於低鍺成分層,硼擴散在 高鍺成分層中係足夠地被抑制,儘管在沉積應力源薄膜中的高摻雜濃度,當相較於具有相同p型摻雜物種類及摻雜等級之較低鍺成分層,可以後續的熱退火實現較少不利的SCE退化。在接觸表面的較高鍺濃度(其造成圖1中的較低接觸電阻R4)也致能了阻障高度降低。在某些範例具體實施例中,可使用超過80原子百分比以及高達純鍺(100原子百分比)的鍺濃度來達成此益處。然而,需注意到純鍺並非必須。舉例來說,某些具體實施例可具有超過90或95原子百分比(但不是純的)的鍺濃度。
參考圖3C可進一步看出,在相對靠近通道區域中形成源極/汲極頂端318A/320A亦施予較大的流體靜力應力於通道上。此應力增加通道內的應變,藉此而增加通道中的遷移率並增加驅動電流。在含矽基板的情況中,此應力可進一步藉由增加源極/汲極頂端318A/320A的鍺濃度而放大,而在鍺基板的情況中可藉由增加錫濃度而放大。這是對擴散為基礎的製程的改善,其中頂端區域一般不包括應變於通道區域上。
一旦根據本發明具體實施例填充源極及汲極區域,可實行各種習知的MOS製程以完成MOS電晶體的製造,例如替代性閘極氧化物製程、替代性金屬閘極製程、退火、及矽化金屬沉積製程,其可進一步更改電晶體及/或提供必需的電性互連。舉例來說,在源極/汲極區域與其個別頂端的磊晶沉積後,進一步參考圖2,方法可繼續從n型區域移除任何遮罩並依所需處理這些區域(若可應用,例 如在CMOS製程)(212),且沉積絕緣體於電晶體之上(214),且接著以一般的做法平面化該絕緣體層。絕緣體層可使用習知適用於積體電路結構之絕緣體層的材料,例如低k介電質(絕緣體)材料。此絕緣體材料包括例如氧化物(如二氧化矽(SiO2)及碳摻雜氧化物(CDO))、氮化矽、有機聚合物(例如八氟環丁烷、聚四氟乙烯)、氟矽玻璃(FSG)、及有機矽酸鹽(例如半矽氧烷、矽氧烷、或有機矽酸鹽玻璃)。在某些範例組態中,絕緣體層可包括細孔或其他孔洞,以進一步降低其介電質常數。圖3D描述沉積絕緣體層322並接著將其平面化至硬式遮罩306的一範例。
參考圖3D'可進一步看出,本發明的某些具體實施例使用一替代性金屬閘極製程,且方法可包括使用習知的蝕刻製程移除閘極堆疊(包括高k閘極介電質層302、犧牲閘極電極304、及硬式遮罩層306)。在另一實施中,僅移除犧牲閘極304。若移除閘極介電質302,則方法可包括沉積新的閘極介電質層至溝渠開口。在此可使用任何合適的高k介電質材料(如前文所描述),例如氧化鉿。也可使用相同的沉積製程。可使用閘極介電質302的取代,以例如解決在乾式及濕式蝕刻製程的應用過程中發生在最初閘極介電質層的任何損壞,及/或以高k或其他理想的閘極介電質材料來取代低k或犧牲介電質材料。方法接著可繼續沉積金屬閘極電極層至溝渠中及閘極介電質層之上。可使用習知的金屬沉積製程以形成金屬閘極電極層, 例如CVD、ALD、PVD、無電電鍍、或電鍍。金屬閘極電極層可包括例如p型功函數金屬,例如釕、鈀、鈷、鎳、及導電金屬氧化物(例如氧化釕)。在某些範例組態中,可沉積二或更多金屬閘極電極層。舉例來說,可沉積功函數金屬,接著沉積合適的金屬閘極電極填充金屬,例如鋁。圖3D'描述根據本發明一具體實施例之一範例高k閘極介電質層324以及金屬閘極電極326,其已沉積至溝渠開口中。需注意,若需要的話,此RMG程序可在製程中的不同時間點實行。
進一步參考圖2,在形成絕緣體層322後(及任何所需的預先接觸形成RMG製程),方法繼續蝕刻以形成源極/汲極接觸溝渠(216)。可使用任何合適的乾式及/或濕式蝕刻製程。圖3E顯示根據一範例具體實施例之在完成蝕刻後的源極/汲極接觸溝渠。
接著,方法繼續沉積接觸電阻降低金屬及退火(218),接著沉積源極/汲極接觸插塞(220)。圖3F顯示接觸電阻降低金屬325,其在某些具體實施例中包括銀、鎳、鋁、鈦、金、金-鍺、鎳-鉑、或鎳-鋁、及/或其他此類電阻降低金屬或合金。圖3F更顯示接觸插塞金屬329,其在某些具體實施例中包括鋁或鎢,然而可使用任何合適的導電接觸金屬或合金,例如銀、鎳-鉑或鎳-鋁或鎳及鋁的其他合金、或鈦,其使用習知的沉積製程。源極/汲極接觸的金屬化可例如使用鍺化製程(一般來說,接觸金屬的沉積及後續的退火)。舉例來說,可使用具有 鎳、鋁、鎳-鉑或鎳-鋁或鎳及鋁的其他合金、或鈦的鍺化(包含或不包含鍺預非晶佈植)來形成低電阻鍺化物。硼摻雜鍺蓋層318/320允許金屬-鍺化物形成(例如鎳-鍺)。鍺化物允許比傳統金屬-矽化物系統明顯較低的蕭特基-阻障高度及改善的接觸電阻。舉例來說,傳統的電晶體一般使用源極/汲極SiGe磊晶製程,其鍺濃度的範圍在30至40原子百分比。此傳統的系統展現約140歐姆-微米的Rext值,受限於磊晶/矽化物介面電阻,其為高且可能阻礙未來的閘極節距縮放。本發明的某些具體實施例允許PMOS裝置中Rext的顯著改善(例如2x或更佳的改善,例如約70歐姆-微米或更低的Rext),其可較佳地支援PMOS裝置縮放。因此,相較於傳統的電晶體,具有組態為如本文所述之雙層源極/汲極結構之源極/汲極的電晶體可展現相對較低的Rext值。
非平面組態
非平面架構可例如使用鰭式電晶體或奈米線組態而實施。FinFET為圍繞一半導體材料薄條(一般稱作鰭)而建立的電晶體。電晶體包括標準場效電晶體(FET)節點,包括閘極、閘極介電質、源極區域、及汲極區域。裝置的傳導通道位在閘極介電質底下、鰭的外側之上/之內。特別地,電流沿鰭的兩側壁(垂直基板平面之側)流動,也沿鰭的頂部(平行基板平面之側)流動。因為此組態的傳導通道主要位在沿鰭的三個不同的外部、平面區 域,此一FinFET設計有時稱作三閘極FinFET。其他類型的FinFET組態也可使用,例如所謂的雙閘極FinFETs,其中傳導通道主要僅位於沿鰭的兩側壁(沒有沿著鰭的頂部)。
圖4A至4G之每一者顯示根據本發明一具體實施例形成之FinFET電晶體結構的透視圖。將理解到,前文有關圖2至圖3F的討論同樣可用於此處。可看出,圖4A所示之範例非平面組態係以鰭式結構來實施,包括基板400,其具有半導體本體或鰭410從基板400延伸通過淺溝渠隔離(STI)層420。基板可例如為矽、鍺、或SiGe。
圖4B顯示形成於鰭410之三個表面之上的閘極電極440,以形成三個閘極(因此為三閘極裝置)。閘極介電質材料430係形成於鰭410與閘極電極440之間,且硬式遮罩450係形成於閘極電極440的頂部。圖4C描述在沉積絕緣材料及後續蝕刻(其留下絕緣體材料之覆層於所有垂直表面上,以形成間隙壁460)後所產生的結構。
圖4D描述在額外蝕刻處理後所產生的結構,其從鰭410的側壁消除了多餘的絕緣/間隙壁材料,而僅留下間隙壁460在閘極電極440的相對側壁。圖4E描述在凹槽蝕刻後所產生的結構,其移除了基板400之源極/汲極區域中的鰭410,從而形成凹槽470。需注意,其他具體實施例可無凹槽(例如源極/汲極區域與STI層420對齊)。
圖4F描述在磊晶襯層480生長後所產生的結構,其 可為薄、p型並含相當大部份的矽(例如矽或具有70原子百分比矽的SiGe)、或為純鍺(例如鍺的分隔層、或整合至或包含於蓋層318/320的成分中之不可偵測層)。圖4G描述在成長磊晶源極/汲極蓋層490後所產生的結構,其可為p型且主要包含鍺,但可包含少於20原子百分比的錫或其他合適的合金材料,如前文所解釋。根據本說明書內容將可理解到,可使用習知的製程及形成技術以製造本文所述之具有雙層源極/汲極結構FinFET電晶體結構。
將進一步理解到,需注意,所示三閘極組態的替代物為雙閘極架構,其包括介電質/隔離層於鰭410的頂部。更需注意,構成圖4G所示之源極/汲極區域之襯層480及蓋層490的範例外形並不意欲限制所主張之本發明於任何特定的源極/汲極種類或形成製程,且根據本說明書內容,其他源極/汲極外形為顯而易知的(例如可實施圓形、方形或矩形源極/汲極區域)。
圖5A顯示根據本發明一具體實施例而形成之奈米線電晶體結構的透視圖。奈米線電晶體(有時稱作環繞式閘極FET)係類似地組態為以鰭為基礎的電晶體,但使用奈米線來代替鰭,且閘極材料一般圍繞通道區域的所有側。根據特定的設計,某些奈米線電晶體具有例如四個等效閘極。圖5A描述具有兩個奈米線510的奈米線通道架構,然而其他具體實施例可具有任何數量的線。奈米線510可由例如p型矽或鍺或SiGe奈米線來實施。可看出,一奈 米線510係形成或提供於基板400的凹陷處,且其他奈米線510係等效地漂浮在包含襯層580及蓋層590的源極/汲極材料雙層結構中。如同鰭式組態,需注意可由本文所述之源極/汲極材料的雙層結構取代源極/汲極中的奈米線510(例如相對薄的矽或鍺或SiGe襯層以及相對厚的高濃度鍺蓋層)。或者,雙層結構可形成於最初所形成之奈米線510周圍,如圖所示(其中襯層580係形成於奈米線510周圍,且蓋層590接著形成於襯層580的周圍)。圖5B也描述具有多個奈米線510的奈米線組態,但在此範例中,根據本說明書內容可理解,在奈米線形成過程中,非活性材料511沒有從個別的奈米線之間移除,其可使用各種習知的技術來實行。因此,一奈米線510係形成於基板400的凹陷處,而另一奈米線510係等效地位於材料511的頂部。需注意,奈米線510通過通道而作用,但511材料則無。可看出,襯層580及蓋層590的雙層源極/汲極結構係圍繞奈米線510的所有其他暴露表面。
範例系統
圖6描述一計算系統1000,其係以根據本發明範例具體實施例而組態之一或多個電晶體結構而實施。可看出,計算系統1000內含一主機板1002。主機板1002可包括一些組件,其包括但不限於處理器1004及至少一通訊晶片1006,其每一者可物理地及電性地耦合至主機板1002,或是整合於其中。將理解到,主機板1002可例如 為任何印刷電路板,不論是主板、架設於主板上的子板、或僅系統1000的板等。根據其應用,計算系統1000可包括一或多個其他組件,其可或可不物理及電性地耦合至主機板1002。這些其他組件可包括但不限於揮發性記憶體(如DRAM)、非揮發性記憶體(如ROM)、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速度計、陀螺儀、揚聲器、相機、大量儲存裝置(如硬碟驅動、光碟(CD)、數位多功能光碟(DVD)等)。計算系統1000所包括的任何組件可包括一或更多本文所述的電晶體結構(例如具有雙層源極/汲極結構,其包含相對薄的p型矽或鍺或SiGe襯層及相對較厚的p型高鍺含量蓋層)。這些電晶體結構可用以例如實施機載處理器快取或記憶體陣列。在某些具體實施例中,多重函數可整合至一或多個晶片(例如,需注意通訊晶片1006可為處理器1004的部份或整合至處理器1004)。
通訊晶片1006係致能往返計算系統1000之資料轉移的無線通訊。「無線」及其衍生物可用以描述電路、裝置、系統、方法、技術、通訊通道等,其可透過經由非固態媒體之調節電磁輻射的使用來通訊資料。此術語不表示相關裝置不含任何電線,然而其在某些具體實施例中可能不含。通訊晶片1006可實現任何數量的無線標準或協 定,包括但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期進化(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物、以及指定為3G、4G、5G或更高之任何其他無線協定。計算系統1000可包括複數個通訊晶片1006。舉例來說,第一通訊晶片1006可專用於較短範圍的無線通訊,例如Wi-Fi及藍芽,而第二通訊晶片1006可專用於較長範圍無線通訊,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算系統1000的處理器1004包括封裝於處理器1004之內的積體電路晶粒。在本發明某些具體實施例中,處理器的積體電路晶粒包括內建記憶體電路,其以一或多個本文所述之電晶體結構(如PMOS或CMOS)所實施。「處理器」一詞可指任何裝置或一裝置的部份,其處理例如來自暫存器及/或記憶體的電子資料並轉換電子資料為可儲存於暫存器及/或記憶體的其他電子資料。
通訊晶片1006也可包括封裝於通訊晶片1006內的積體電路晶粒。根據某些此類範例具體實施例,通訊晶片的積體電路晶粒包括以一或多個本文所述之電晶體結構所實施的一或多個電路(例如晶片上處理器或記憶體)。根據本說明書內容將理解到,需注意,多重標準無線能力可直接整合至處理器1004(例如任何晶片1006的功能係整合至處理器1004中,而非具有獨立的通訊晶片)。此外, 需注意,處理器1004可為具有此無線能力的晶片組。簡言之,可使用任何數量的處理器1004及/或通訊晶片1006。同樣地,任一晶片或晶片組可具有多個功能整合於其中。
在各種實施中,計算系統1000可為膝上型電腦、輕省筆電、筆記型電腦、智慧型手機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、行動音樂播放器、或數位視頻記錄器。在進一步的實施中,系統1000可為處理資料或使用本文所述之低電阻電晶體裝置(如PMOS及CMOS電路)的任何其他電子裝置。
許多具體實施例將為明顯的,且本文所述的特徵可結合於任何數量的組態中。本發明一範例具體實施例提供一電晶體裝置。裝置包括具有通道區域的基板、在通道區域之上的閘極電極、及形成於基板之上或之中且鄰接通道區域的源極/汲極區域。源極及汲極區域之每一者具有一總厚度,其包含矽或鍺或矽鍺的一p型襯層以及具有鍺濃度超過80原子百分比的一p型蓋層,其中襯層小於總厚度的50%。在某些情況中,裝置為平面、FinFET、或奈米線PMOS電晶體之其中一者。在某些情況中,裝置更包括金屬-鍺化物源極/汲極接觸。在某些情況中,襯層厚度對蓋層厚度的厚度比為2:5或更低(襯層為總厚度的40%或更低)。在某些情況中,襯層厚度對蓋層厚度的厚度比為 1:5或更低(襯層為總厚度的20%或更低)。在某些情況中,襯層之每一者具有範圍在約1單分子層至10奈米的一厚度,且蓋層之每一者具有範圍在約50奈米至500奈米的一厚度。在某些情況中,襯層及/或蓋層之其中至少一者具有鍺及/或p型摻雜物之一漸次變化濃度之至少一者。舉例來說,在某些情況中,襯層之其中至少一者具有一鍺濃度,其從相容於基板之一基準濃度至超過50原子百分比之一高濃度而漸次變化。在一此類情況中,高濃度係超過90原子百分比。在某些情況中,襯層之其中至少一者具有一p型摻雜物濃度,其從相容於基板之一基準濃度至超過1E20cm-3之一高濃度而漸次變化。在一此類情況中,一或多個襯層之p型摻雜物為硼。在某些情況中,蓋層之其中至少一者具有超過95原子百分比之一鍺濃度。在某些情況中,蓋層之其中至少一者具有從相容於對應襯層之一基準濃度至超過80原子百分比之一高濃度而漸次變化的一鍺濃度。在某些情況中,蓋層之其中至少一者具有從相容於對應襯層之一基準濃度至超過1E20cm-3之一高濃度而漸次變化的一p型摻雜物濃度。在某些情況中,一或多個蓋層之p型摻雜物為硼。在某些情況中,蓋層之其中至少一者更包含錫。許多變化將為顯而易見的。舉例來說,在某些範例情況中,基板為含矽基板。在某些此類情況中,p型襯層包含矽或矽鍺。在其他範例情況中,基板為鍺基板。在某些此類情況中,p型襯層為p型鍺。在某些範例的此類情況中,每一襯層係包括在對應蓋 層的成分中(使得不同及獨立的襯層可能無法與不同及獨立的蓋層區別)。在某些情況中,蓋層之其中至少一者更包含錯位差排及/或穿透差排及/或雙晶,而在其他情況中,蓋層無錯位差排、穿透差排、及雙晶。本發明的另一具體實施例包括具有一印刷電路板的一電子裝置,其中印刷電路板具有包括此段落中各種不同定義之一或多個電晶體裝置的一積體電路。在一此類情況中,積體電路包含一通訊晶片及/或一處理器之其中至少一者。在某些情況中,電子裝置為一計算裝置。
本發明的其他具體實施例提供一積體電路。電路包括一基板(如矽、SiGe、或鍺),其具有通道區域、於通道區域之上的閘極電極、形成於基板之上或之中且鄰接通道區域的源極/汲極區域、以及金屬鍺化物源極及汲極接觸。源極及汲極區域之每一者具有一總厚度,其包含矽或鍺或矽鍺的一p型襯層以及具有鍺濃度超過80原子百分比的一p型蓋層,其中襯層為總厚度的40%或更低。在某些情況中,襯層厚度對蓋層厚度的厚度比為1:5或更低。在某些情況中,蓋層之其中至少一者更包含錫。
本發明的另一具體實施例提供用以形成電晶體裝置的一方法。方法包括提供具有一通道區域的一基板、提供在通道區域之上的一閘極電極、以及提供形成於基板之上或之中且鄰近通道區域的源極及汲極區域。源極及汲極區域之每一者具有一總厚度,其包含矽或鍺或矽鍺的一p型襯層以及具有鍺濃度超過80原子百分比的一p型蓋層,其 中襯層小於總厚度的50%。在某些情況中,方法包括提供金屬鍺化物之源極及汲極接觸。在某些情況中,襯層厚度對蓋層厚度的厚度比為2:5或更低。在某些情況中,襯層及/或蓋層之其中至少一者具有鍺及/或p型摻雜物之一漸次變化濃度之至少一者。在某些情況中,蓋層之其中至少一者更包含錫(或其他合適的應變誘導物)。
前文中已提出本發明範例具體實施例的描述作為說明及描述目的。這並不意圖為詳盡的或限制本發明於所揭露的精確形式。根據本說明書內容,許多修改及變化是可能的。舉例來說,雖然本發明某些具體實施例利用鍺的原位硼摻雜,但其他具體實施例可使用本質鍺,其在沉積後係接著受到p型摻雜物佈植及退火製程以提供所需的p型摻雜濃度。此外,某些具體實施例可包括如本文所述而製造的源極及汲極區域,但仍使用傳統製程(例如佈植及退火)來形成源極及汲極區域的頂端。在此具體實施例中,頂端可具有比主要源極及汲極區域低的鍺及/或p型摻雜物濃度,其在某些應用中是可接受的。在其他具體實施例中,只有源極及汲極區域的頂端可組態為具有高鍺及p型摻雜物濃度,而源極及汲極區域的主要部份可具有傳統或較低的鍺/摻雜物濃度。本發明的範疇並不意欲受限於此詳細的說明內容,而是由後附之申請專利範圍所限制。

Claims (20)

  1. 一種積體電路裝置,包含:包括矽的鰭;在該鰭之一部分之上的一閘極電極;以及源極區域及汲極區域,該鰭之該部分在該源極及汲極區域之間,該源極及汲極區域之各者具有一總厚度,該總厚度包含包括矽或鍺之其中一者或兩者的一p型襯層以及具有鍺濃度超過80原子百分比的一p型蓋層,其中該p型襯層小於該總厚度的50%,該p型襯層在該p型蓋層及該鰭之該部分之間,且該源極及汲極區域之各者的該p型蓋層包括一或多個差排,該一或多個差排包括錯位差排或穿透差排之其中至少一者。
  2. 如申請專利範圍第1項所述之裝置,其中該鰭之該部分包括一或多個奈米線。
  3. 如申請專利範圍第1項所述之裝置,更包含在該等p型蓋層之上的金屬鍺化物之源極及汲極接觸。
  4. 如申請專利範圍第1項所述之裝置,其中p型襯層厚度對p型蓋層厚度的厚度比為2:5或更低。
  5. 如申請專利範圍第1項所述之裝置,其中該鰭之該部分也在該閘極電極之部分之間。
  6. 如申請專利範圍第1項所述之裝置,其中該等p型襯層之每一者具有範圍在約1單層(monolayer)至10奈米的一厚度,且該等p型蓋層之每一者具有範圍在約50奈米至500奈米的一厚度。
  7. 如申請專利範圍第1項所述之裝置,其中該等p型襯層或p型蓋層之其中至少一者具有鍺或p型摻雜物之一漸次變化濃度之至少一者。
  8. 如申請專利範圍第7項所述之裝置,其中該等p型襯層之其中至少一者具有一鍺濃度,該鍺濃度從相容於該鰭之該部分之一基準濃度至超過50原子百分比之一高濃度而漸次變化。
  9. 如申請專利範圍第8項所述之裝置,其中該高濃度係超過90原子百分比。
  10. 如申請專利範圍第7項所述之裝置,其中該等p型襯層之其中至少一者具有一p型摻雜物濃度,該p型摻雜物濃度從相容於該鰭之該部分之一基準濃度至超過1E20cm-3之一高濃度而漸次變化。
  11. 如申請專利範圍第10項所述之裝置,其中該等p型襯層之該其中至少一者之該p型摻雜物為硼。
  12. 如申請專利範圍第7項所述之裝置,其中該等p型蓋層之其中至少一者具有超過95原子百分比之一鍺濃度。
  13. 如申請專利範圍第7項所述之裝置,其中該等p型蓋層之其中至少一者具有從相容於該對應p型襯層之一基準濃度至超過80原子百分比之一高濃度而漸次變化的一鍺濃度。
  14. 如申請專利範圍第7項所述之裝置,其中該等p型蓋層之其中至少一者具有從相容於該對應p型襯層之一基準濃度至超過1E20cm-3之一高濃度而漸次變化的一p型摻雜物濃度。
  15. 如申請專利範圍第14項所述之裝置,其中該等p型蓋層之該其中至少一者之該p型摻雜物為硼。
  16. 如申請專利範圍第1項所述之裝置,其中該等p型蓋層之其中至少一者更包含錫。
  17. 一種積體電路,包含:包括矽的鰭;在該鰭之一部分之上的閘極電極;以及源極區域及汲極區域,該鰭之該部分在該源極及汲極區域之間,該源極及汲極區域之各者包含一或多個奈米線,每個奈米線包括具有一總厚度的雙層結構,該總厚度包含具有矽或鍺之其中一者或兩者的一p型襯層以及具有鍺濃度超過80原子百分比的一p型蓋層,其中該p型襯層小於該總厚度的40%,該p型襯層在該p型蓋層及該鰭之該部分之間,且該源極及汲極區域之各者的該p型蓋層包括一或多個差排,該一或多個差排包括錯位差排或穿透差排之其中至少一者,且其中該鰭之該部分包括壓縮應變。
  18. 如申請專利範圍第17項所述之電路,其中p型襯層厚度對p型蓋層厚度的厚度比為1:5或更低。
  19. 如申請專利範圍第17項所述之電路,其中該等p型蓋層之其中至少一者更包含20%或更低濃度的錫。
  20. 如申請專利範圍第17項所述之電路,更包含在該等p型蓋層之上的金屬鍺化物之源極及汲極接觸。
TW105122618A 2010-12-21 2012-12-18 用於pmos整合之第iv族電晶體 TWI643342B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
PCT/US2011/066129 WO2012088097A2 (en) 2010-12-21 2011-12-20 Column iv transistors for pmos integration
WOPCT/US11/66129 2011-12-20

Publications (2)

Publication Number Publication Date
TW201701481A TW201701481A (zh) 2017-01-01
TWI643342B true TWI643342B (zh) 2018-12-01

Family

ID=46233263

Family Applications (4)

Application Number Title Priority Date Filing Date
TW100145538A TWI544630B (zh) 2010-12-21 2011-12-09 具有高濃度的硼摻雜鍺之電晶體
TW105122618A TWI643342B (zh) 2010-12-21 2012-12-18 用於pmos整合之第iv族電晶體
TW107102233A TWI690084B (zh) 2010-12-21 2012-12-18 用於pmos整合之第iv族電晶體
TW108107651A TWI756520B (zh) 2010-12-21 2012-12-18 用於pmos整合之第iv族電晶體

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100145538A TWI544630B (zh) 2010-12-21 2011-12-09 具有高濃度的硼摻雜鍺之電晶體

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW107102233A TWI690084B (zh) 2010-12-21 2012-12-18 用於pmos整合之第iv族電晶體
TW108107651A TWI756520B (zh) 2010-12-21 2012-12-18 用於pmos整合之第iv族電晶體

Country Status (9)

Country Link
US (16) US8901537B2 (zh)
EP (9) EP2656389B1 (zh)
JP (7) JP5714721B2 (zh)
KR (12) KR20130088179A (zh)
CN (8) CN103270598B (zh)
PL (1) PL3361512T3 (zh)
SG (4) SG190998A1 (zh)
TW (4) TWI544630B (zh)
WO (4) WO2012087403A1 (zh)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
JP5824137B2 (ja) 2011-04-15 2015-11-25 アディティア ビルラ サイエンス アンド テクノロジー カンパニー リミテッド 硫化ナトリウムの分離及び精製方法
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
US9873797B2 (en) 2011-10-24 2018-01-23 Aditya Birla Nuvo Limited Process for the production of carbon black
US9006069B2 (en) 2011-12-19 2015-04-14 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
ES2733444T3 (es) 2012-03-30 2019-11-29 Aditya Birla Science And Tech Company Private Limited Un procedimiento para obtener polvo de negro de carbón con contenido reducido de azufre
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN109216181A (zh) 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
WO2014107608A1 (en) 2013-01-04 2014-07-10 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
US8802512B2 (en) * 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US9184233B2 (en) * 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US8940640B2 (en) 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
JP2014222723A (ja) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 電界効果型半導体装置及びその製造方法
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (ko) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9401274B2 (en) * 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US10229853B2 (en) 2013-09-27 2019-03-12 Intel Corporation Non-planar I/O and logic semiconductor devices having different workfunction on common substrate
WO2015047317A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Semiconductor device having group iii-v material active region and graded gate dielectric
CN104681535B (zh) * 2013-11-29 2017-09-29 台湾积体电路制造股份有限公司 半导体器件的接触件结构
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
EP3084835A4 (en) * 2013-12-18 2017-08-02 Intel Corporation Techniques for improving gate control over transistor channel by increasing effective gate length
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9842928B2 (en) * 2013-12-23 2017-12-12 Intel Corporation Tensile source drain III-V transistors for mobility improved n-MOS
CN110610866B (zh) 2013-12-27 2023-05-30 英特尔公司 扩散的尖端延伸晶体管
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) * 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
WO2015127363A1 (en) 2014-02-21 2015-08-27 Samsung Electronics, Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
EP3120388A4 (en) 2014-03-21 2017-11-29 Intel Corporation Techniques for integration of ge-rich p-mos source/drain contacts
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
CN106463416A (zh) * 2014-06-13 2017-02-22 英特尔公司 用于晶圆键合的表面包封
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
EP3158586A4 (en) 2014-06-20 2018-01-17 Intel Corporation Monolithic integration of high voltage transistors&low voltage non-planar transistors
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
JP6529992B2 (ja) * 2014-07-25 2019-06-12 インテル・コーポレーション 半導体デバイスにおけるタングステン合金
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
JP6376574B2 (ja) * 2014-08-05 2018-08-22 インテル・コーポレーション 触媒酸化物の形成によって生成されたマイクロ電子デバイス分離を含む非プレーナトランジスタ、システム、および非プレーナトランジスタを製造する方法
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (zh) * 2014-08-28 2020-06-02 联华电子股份有限公司 半导体元件及其制作方法
EP3192101A4 (en) * 2014-09-09 2018-05-23 Intel Corporation Multi-gate high electron mobility transistors and methods of fabrication
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (zh) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 半導體元件、電子元件及電子元件端子結構
US10243080B2 (en) * 2014-12-19 2019-03-26 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
US11205707B2 (en) 2014-12-22 2021-12-21 Intel Corporation Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (ko) * 2015-03-16 2016-09-26 엘지전자 주식회사 전기제품, 전기제품의 펌웨어 업데이트 방법 및 네트워크 시스템
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
DE102015106397B4 (de) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US9954107B2 (en) 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180151732A1 (en) * 2015-06-19 2018-05-31 Intel Corporation Resistance reduction in transistors having epitaxially grown source/drain regions
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
EP3311417A4 (en) * 2015-06-19 2019-01-16 Intel Corporation CARBON-BASED INTERFACE FOR EPITAXIAL GROWTH SOURCE / DRAIN TRANSISTOR REGIONS
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US10103249B2 (en) 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
CN106548940A (zh) * 2015-09-16 2017-03-29 联华电子股份有限公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN116825818A (zh) * 2015-11-12 2023-09-29 蓝枪半导体有限责任公司 栅极全包覆式纳米线场效晶体管装置
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10586866B2 (en) 2015-12-09 2020-03-10 Intel Corporation Stressors for compressively strained GaN p-channel
US10079302B2 (en) * 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
KR102532202B1 (ko) 2016-01-22 2023-05-12 삼성전자 주식회사 반도체 소자
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (zh) 2016-05-12 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
JP6606020B2 (ja) * 2016-06-15 2019-11-13 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
US10903364B2 (en) * 2016-07-02 2021-01-26 Intel Corporation Semiconductor device with released source and drain
US10128187B2 (en) 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102302000B1 (ko) * 2016-09-15 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 콘택 집적 및 선택적 실리사이드 형성 방법들
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
KR102253546B1 (ko) * 2016-09-19 2021-05-18 어플라이드 머티어리얼스, 인코포레이티드 도핑된 게르마늄 형성 방법
JP6724685B2 (ja) * 2016-09-23 2020-07-15 住友電気工業株式会社 半導体装置
US10326019B2 (en) * 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
WO2018063192A1 (en) 2016-09-28 2018-04-05 Intel Corporation Transistors with lattice matched gate structure
US11004954B2 (en) 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
CN109075204B (zh) * 2016-10-12 2021-11-05 京东方科技集团股份有限公司 薄膜晶体管、具有该薄膜晶体管的阵列基板、显示面板和显示装置、及其制造方法
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10312096B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
US11605556B2 (en) 2017-03-30 2023-03-14 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10043893B1 (en) 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102379707B1 (ko) * 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10319855B2 (en) 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671673B (zh) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) * 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (ko) * 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2019093206A1 (ja) * 2017-11-09 2019-05-16 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
CN109817525B (zh) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10504899B2 (en) * 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10777663B2 (en) 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
CN108155101A (zh) * 2017-12-22 2018-06-12 中国科学院微电子研究所 一种堆叠纳米线及其制造方法
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (ko) 2018-03-21 2019-12-16 한국과학기술원 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (ja) 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
TWI827644B (zh) 2018-08-28 2024-01-01 日商索尼半導體解決方案公司 半導體裝置及其製造方法
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium
CN110634866B (zh) * 2019-09-05 2021-09-14 中国科学院微电子研究所 一种cmos晶体管、cmos晶体管的制备方法及电子设备
KR20210032845A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (zh) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 金属氧化物半导体器件及其制造方法
CN112466932A (zh) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 晶体管外延结构及其制备方法
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (zh) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 基于内嵌空腔soi衬底的多栅mos器件及其制备方法
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN115148799B (zh) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 Rf ldmos器件及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US20050130454A1 (en) * 2003-12-08 2005-06-16 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel
WO2010014246A1 (en) * 2008-07-31 2010-02-04 Globalfoundries Inc. Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (ja) * 1991-12-26 1993-07-23 Toshiba Corp 半導体装置及びその製造方法
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (ja) * 1993-12-16 1995-07-04 Nec Corp 半導体装置およびその製造方法
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (ja) 1997-03-18 1998-09-29 Hitachi Ltd 半導体装置およびその製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
AU2002349881A1 (en) * 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
JP2005183160A (ja) 2003-12-19 2005-07-07 Jst Mfg Co Ltd 雌コンタクト
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
JP4568286B2 (ja) * 2004-10-04 2010-10-27 パナソニック株式会社 縦型電界効果トランジスタおよびその製造方法
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (de) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8811915B2 (en) * 2005-03-04 2014-08-19 Psion Inc. Digital wireless narrow band radio
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
KR100733419B1 (ko) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 내부전원 생성장치
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
WO2007053381A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
DE102005051994B4 (de) 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4536001B2 (ja) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 透光壁
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US8134142B2 (en) * 2006-01-25 2012-03-13 Nxp B.V. Tunneling transistor with barrier
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007214481A (ja) 2006-02-13 2007-08-23 Toshiba Corp 半導体装置
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP4960007B2 (ja) * 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
JP5130648B2 (ja) 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7605407B2 (en) 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US7732285B2 (en) 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
JP5018473B2 (ja) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5317483B2 (ja) 2008-01-29 2013-10-16 株式会社東芝 半導体装置
US20110058126A1 (en) * 2008-02-14 2011-03-10 Yasunobu Okada Semiconductor element, method of manufacturing fine structure arranging substrate, and display element
JP2009200090A (ja) 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (ja) 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (zh) * 2010-03-03 2014-09-11 Huang Chung Cheng 奈米結構的製造方法及奈米結構於三維結構之應用
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US20050130454A1 (en) * 2003-12-08 2005-06-16 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel
WO2010014246A1 (en) * 2008-07-31 2010-02-04 Globalfoundries Inc. Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
林庭宇(學位論文),矽奈米線場效電晶體之研究,2009年08月05日 *

Also Published As

Publication number Publication date
US9722023B2 (en) 2017-08-01
US20200144362A1 (en) 2020-05-07
US9349810B2 (en) 2016-05-24
US20170373147A1 (en) 2017-12-28
CN103270597A (zh) 2013-08-28
CN105720091A (zh) 2016-06-29
JP2020074389A (ja) 2020-05-14
EP3361512B1 (en) 2020-09-02
WO2012087581A2 (en) 2012-06-28
WO2012087403A1 (en) 2012-06-28
US9437691B2 (en) 2016-09-06
US20210005712A1 (en) 2021-01-07
JP2014507792A (ja) 2014-03-27
JP2018113484A (ja) 2018-07-19
US11251281B2 (en) 2022-02-15
CN103329274B (zh) 2016-03-23
US20130264639A1 (en) 2013-10-10
KR20170000404A (ko) 2017-01-02
US10811496B2 (en) 2020-10-20
US20180342582A1 (en) 2018-11-29
EP2656389B1 (en) 2019-07-10
CN103270597B (zh) 2016-06-22
EP2656389A1 (en) 2013-10-30
US20160322359A1 (en) 2016-11-03
KR101784226B1 (ko) 2017-10-11
KR102079356B1 (ko) 2020-02-19
CN103270599A (zh) 2013-08-28
SG191005A1 (en) 2013-07-31
JP6329294B2 (ja) 2018-05-23
TWI690084B (zh) 2020-04-01
PL3361512T3 (pl) 2021-04-19
US10553680B2 (en) 2020-02-04
KR20200018740A (ko) 2020-02-19
US20200287011A1 (en) 2020-09-10
JP2014504453A (ja) 2014-02-20
US20200127091A1 (en) 2020-04-23
JP2017135399A (ja) 2017-08-03
TW201701481A (zh) 2017-01-01
KR20130111592A (ko) 2013-10-10
CN103329274A (zh) 2013-09-25
WO2012088097A2 (en) 2012-06-28
CN105720091B (zh) 2019-06-28
US20190259835A1 (en) 2019-08-22
SG190998A1 (en) 2013-07-31
KR20150058552A (ko) 2015-05-28
CN103270598A (zh) 2013-08-28
CN105826390B (zh) 2021-05-25
US9117791B2 (en) 2015-08-25
KR20130088179A (ko) 2013-08-07
KR101978085B1 (ko) 2019-05-13
JP2014508396A (ja) 2014-04-03
KR101691115B1 (ko) 2016-12-30
TWI544630B (zh) 2016-08-01
CN106684148B (zh) 2020-12-01
CN105932063A (zh) 2016-09-07
TW201242022A (en) 2012-10-16
CN106684148A (zh) 2017-05-17
KR20170116200A (ko) 2017-10-18
KR101510029B1 (ko) 2015-04-08
EP2656393B1 (en) 2020-01-01
US20170221724A1 (en) 2017-08-03
TWI756520B (zh) 2022-03-01
TW201824567A (zh) 2018-07-01
KR20200070434A (ko) 2020-06-17
US20150333180A1 (en) 2015-11-19
EP2656392A2 (en) 2013-10-30
SG191003A1 (en) 2013-07-31
KR20180005251A (ko) 2018-01-15
US9627384B2 (en) 2017-04-18
EP3312886A1 (en) 2018-04-25
US11508813B2 (en) 2022-11-22
JP5732142B2 (ja) 2015-06-10
CN105932063B (zh) 2019-12-17
EP2656391A4 (en) 2014-09-10
EP2656393A1 (en) 2013-10-30
SG191004A1 (en) 2013-07-31
US10879353B2 (en) 2020-12-29
WO2012087404A1 (en) 2012-06-28
WO2012088097A3 (en) 2012-10-26
EP3726588A1 (en) 2020-10-21
US20120153387A1 (en) 2012-06-21
CN103270598B (zh) 2016-06-15
KR101949894B1 (ko) 2019-02-20
KR102168550B1 (ko) 2020-10-21
EP3582265A1 (en) 2019-12-18
US8901537B2 (en) 2014-12-02
US10090383B2 (en) 2018-10-02
EP3588579A1 (en) 2020-01-01
US20150060945A1 (en) 2015-03-05
KR20150058546A (ko) 2015-05-28
US10304927B2 (en) 2019-05-28
KR101812389B1 (ko) 2017-12-26
EP2656391B1 (en) 2018-08-22
EP2656393A4 (en) 2014-09-10
KR101489611B1 (ko) 2015-02-04
CN103270599B (zh) 2016-08-03
US20130240989A1 (en) 2013-09-19
EP3361512A1 (en) 2018-08-15
JP5714722B2 (ja) 2015-05-07
EP2656392A4 (en) 2014-09-10
EP2656389A4 (en) 2014-09-10
WO2012087581A3 (en) 2012-09-07
KR20130088188A (ko) 2013-08-07
JP5714721B2 (ja) 2015-05-07
US11387320B2 (en) 2022-07-12
EP2656391A2 (en) 2013-10-30
KR20190018755A (ko) 2019-02-25
KR102123036B1 (ko) 2020-06-15
TW201946283A (zh) 2019-12-01
KR20130111595A (ko) 2013-10-10
CN105826390A (zh) 2016-08-03
JP2014501452A (ja) 2014-01-20
US20220271125A1 (en) 2022-08-25
US20160372547A1 (en) 2016-12-22

Similar Documents

Publication Publication Date Title
TWI643342B (zh) 用於pmos整合之第iv族電晶體
US10541334B2 (en) Techniques for integration of Ge-rich p-MOS source/drain
KR101891458B1 (ko) Iii-v 반도체 재료 층을 갖는 반도체 디바이스
US8896066B2 (en) Tin doped III-V material contacts
TWI697053B (zh) 磊晶生長之源極/汲極電晶體區域的碳基介面
TWI556439B (zh) 用於pmos整合之第iv族電晶體