KR101510029B1 - 고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터 - Google Patents

고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터 Download PDF

Info

Publication number
KR101510029B1
KR101510029B1 KR1020137016371A KR20137016371A KR101510029B1 KR 101510029 B1 KR101510029 B1 KR 101510029B1 KR 1020137016371 A KR1020137016371 A KR 1020137016371A KR 20137016371 A KR20137016371 A KR 20137016371A KR 101510029 B1 KR101510029 B1 KR 101510029B1
Authority
KR
South Korea
Prior art keywords
germanium
concentration
boron
source
substrate
Prior art date
Application number
KR1020137016371A
Other languages
English (en)
Other versions
KR20130088188A (ko
Inventor
아난드 에스. 머시
글렌 에이. 글라스
타히르 가니
라비 필라리세티
닐로이 무크헤르지
잭 티. 카바리에로스
로자 코틀야
윌리 라치매디
마크 와이. 리우
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20130088188A publication Critical patent/KR20130088188A/ko
Application granted granted Critical
Publication of KR101510029B1 publication Critical patent/KR101510029B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Abstract

고농도의 붕소 도핑된 게르마늄의 소스 및 드레인 영역을 갖는 트랜지스터 소자를 형성하기 위한 기술들이 개시된다. 일부 실시예들에서, 인 시츄(in situ) 붕소 도핑된 게르마늄, 또는 대안으로서, 고농도 붕소 도핑된 게르마늄층으로 캡핑된(capped) 붕소 도핑된 실리콘 게르마늄이 선택적 에피텍셜 피착을 이용하여 소스 및 드레인 영역들과 그들의 대응하는 팁 영역(tip region)들에 제공된다. 일부 이러한 경우, 게르마늄 농도는, 예를 들어, 50 원자 %를 초과하여 100 원자 %에 이를 수 있고, 붕소 농도는, 예를 들어, 1E20 cm-3을 초과할 수 있다. 더 양호한 계면 이질 층(disparate layer)들을 위해 등급화된 게르마늄 및/또는 붕소 농도를 제공하는 버퍼가 이용될 수 있다. 에피-금속 계면에서 게르마늄 내에 도핑되는 붕소의 농도는 팁 돌연성을 저하시키지 않고 기생 저항을 효과적으로 낮춘다. 이 기술들은, 예를 들어, 평면 또는 비평면 트랜지스터 소자에서 구현될 수 있다.

Description

고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터{TRANSISTORS WITH HIGH CONCENTRATION OF BORON DOPED GERMANIUM}
반도체 기판 상에 형성되는 트랜지스터, 다이오드, 저항기, 커패시터, 및 기타의 수동 및 능동 전자 소자들을 포함한 회로 소자들의 증가된 성능은, 통상적으로, 이들 소자들의 설계, 제조 및 동작 동안에 주요 요인으로 간주된다. 예를 들어, 상보형 금속 산화물 반도체(CMOS; complementary metal oxide semiconductor)에서 사용되는 것과 같은, 금속 산화물 반도체(MOS) 트랜지스터 반도체 소자의 설계 및 제조 또는 형성 동안에, N-타입 MOS 소자(NMOS) 채널 영역에서 전자의 이동을 증가시키고 P-타입 MOS 소자(PMOS) 채널 영역에서 양으로 대전된 정공(hole)들의 이동을 증가시키는 것이 종종 바람직하다. 트랜지스터에서의 이러한 증가된 구동 전류는 소자 저항을 감소시킴으로써 달성될 수 있다.
MOS 소자의 전체 저항을 감소시키는 한 방법은, MOS 소자의 팁 영역(tip region)(또는 때때로 소스/드레인 확장부)이라고 알려진 소스/드레인 영역과 채널 영역 사이의 영역을 도핑하는 것이다. 예를 들어, 도펀트(dopant)가 소스/드레인 영역에 주입(implant)될 수 있고 도펀트를 채널 영역쪽으로 확산시키기 위해 후속 어닐링이 실행될 수 있다. 주입(implant) 및 확산법이 이용되기 때문에, 도펀트 농도와 위치를 제어하는 능력이 제한된다. 또한, 그 오프셋 스페이서(offset spacer)의 두께와 같은, MOS 소자의 다른 부분들의 크기도 역시 팁 영역의 위치에 큰 영향을 줄 수 있다. 이들 모두는, 차례로, 도펀트 농도를 최대화하고 채널 영역에 아주 가깝게 위치하게 되는 팁 영역의 능력에 영향을 준다.
따라서, 종래의 팁 영역의 제한을 극복하기 위해 개선된 방법 또는 구조가 필요하다.
도 1a는 주입 및 확산을 이용하여 형성된 소스 및 드레인 팁 영역을 포함하는 종래의 MOS 소자를 나타낸다.
도 1b는 본 발명의 실시예에 따라 구성된 소스 및 드레인 에피텍셜 팁을 포함하는 MOS 소자를 나타낸다.
도 1c는 스페이서 두께가 MOS 소자의 에피텍셜 팁의 에칭에 어떻게 영향을 주는지를 나타낸다.
도 1d는 UC-대-UC 거리의 스페이서 두께 의존성을 나타내는 그래프이다.
도 2는 본 발명의 실시예에 따른 소스 및 드레인 에피텍셜 팁을 형성하는 방법이다.
도 3a 내지 도 3j는 본 발명의 다양한 실시예에 따른, 도 2의 방법을 실행할 때 형성되는 구조를 나타낸다.
도 4는 본 발명의 한 실시예에 따라 구성된, FinFET 트랜지스터 아키텍쳐의 사시도를 도시한다.
도 5는 본 발명의 실시예에 따라 형성된 MOS 소자의 UC-대-UC 거리가 스페이서 두께에 어떻게 덜 의존하는지를 나타내는 그래프이다.
도 6a는 본 발명의 일부 실시예들에 따른, NiGe 일함수가 가전대 가장자리의 약 85 mV임을 확인해 주는, 쇼트키 장벽(Schottky barrier) 니켈 저마나이드(NiGe) 다이오드 측정치를 나타낸다.
도 6b는 본 발명의 일부 실시예에 따라, 이러한 저마나이드 재료(germanide material)가 종래의 실리콘 게르마늄 소스/드레인 PMOS 소자에 경우에 비해 상당한 Rext 개선을 제공한다는 것을 보여주는 시뮬레이션 데이터를 플로팅하고 있다.
고농도의 붕소 도핑된 게르마늄의 소스 및 드레인 영역을 갖는 트랜지스터 소자를 형성하기 위한 기술들이 개시된다. 이 기술들은, 예를 들어, 자기-정렬된 에피텍셜 팁(SET; self-aligned epitaxial tip) 트랜지스터를 연장하여 단축 응력(uniaxial strain)의 이론적 한계에 매우 근접하게 달성하기 위해 이용될 수 있다. 일부 실시예들에서, 이것은 소스 및 드레인 영역뿐만 아니라 그들의 대응하는 팁 영역에서 선택적 에피텍셜 피착에 의해 제공된 인 시츄(in situ) 붕소 도핑된 게르마늄의 이용에 의해 달성된다. 다른 실시예들에서, 선택적 에피텍셜 피착은, 소스/드레인 및 각각의 팁 영역에서 고농도 붕소 도핑된 게르마늄층으로 캡핑된(capped) 붕소 도핑된 실리콘 게르마늄의 2중층 구조를 형성하는데 이용된다. 이러한 경우, 게르마늄 농도는, 예를 들어, 20 원자 % 내지 100 원자 % 범위일 수 있고, 붕소 농도는, 예를 들어, 1E20 cm-3 to 2E21 cm-3일 수 있다(예를 들어, 50 원자 %를 초과하는 게르마늄 농도와 2E20 cm-3을 초과하는 붕소 농도). 붕소 도핑된 게르마늄층을 갖는 기저 기판 재료 또는 재료들에 대한 계면층으로서, 등급화된(graded) 게르마늄 및/또는 붕소 농도를 갖는 선택사항적 얇은 버퍼가 이용될 수 있다. 마찬가지로, 2중층 구성에서, 붕소 도핑된 게르마늄 캡을 갖는 실리콘 게르마늄층에 대한 계면층으로서, 등급화된 게르마늄 및/또는 붕소 농도를 갖는 얇은 버퍼가 이용될 수 있다. 역시 또 다른 실시예에서, 붕소 도핑된 게르마늄 또는 실리콘 게르마늄층들 자체는, 선택사항적 버퍼의 경우와 유사한 방식으로 등급화된 게르마늄 및/또는 붕소 농도를 가질 수 있다. 어떤 경우이든, 붕소 확산은 게르마늄에서 억제되기(농도가 높을수록, 억제가 더 크다) 때문에, 고농도의 붕소가 게르마늄에 도핑될 수 있고, 이것은 결과적으로 차례로 기생 저항이 낮아지고 팁 급변(tip abruptness)이 없게 되는 것으로 이어진다. 또한, 쇼트키-장벽 높이를 낮춤으로써 컨택트 저항이 감소된다. 이 기술들은, 예를 들어, 평면 또는 비평면 FinFET 트랜지스터 소자에서 구현될 수 있다.
전체 개관
알려진 바와 같이, 금속 산화물 반도체(MOS) 트랜지스터는 단채널 효과(SCE; short channel effect)를 개선시키면서 트랜지스터의 전체 저항을 감소시키도록 설계된 소스 및 드레인 팁 영역을 포함할 수 있다. 종래에는, 이들 팁 영역들은, 주입 및 확산 기술을 이용하여 붕소 또는 탄소와 같은 도펀트가 주입되는 기판의 부분들이다. 소스 팁 영역은 소스 영역과 채널 영역 사이의 영역에 형성된다. 마찬가지로, 드레인 팁 영역은 소스 영역과 채널 영역 사이의 영역에 형성된다. 이러한 종래의 처리로부터 생기는 팁 영역은 트랜지스터의 게이트 유전체층을 최소한으로 저부확산(underdiffuse)한다.
더 상세히는, 도 1a는 기판(102) 상에 형성된 종래의 MOS 트랜지스터(100A)를 나타낸다. 소스 영역(110)과 드레인 영역(112)은 통상적으로 기판 내에 붕소와 같은 도펀트를 주입하거나 기판을 에칭한 다음 실리콘 또는 실리콘 게르마늄 재료(10 내지 40 원자 % 범위의 게르마늄 농도)를 에피텍셜 피착함으로써 형성된다. 게이트 스택(122)은 트랜지스터(100A)의 채널 영역(120) 위에 형성된다. 추가로 알 수 있는 바와 같이, 게이트 스택(122)은 게이트 유전체층(106)과 게이트 전극(104)을 포함하고, 스페이서(108)는 게이트 스택(122)에 인접하게 형성된다. 일부 예시적 경우에, 및 기술 노드에 따라, 스페이서(108)는 게이트 유전체층(106)과 소스 및 드레인 영역(110/112)들 각각의 가장자리 사이에서 약 10 내지 20 나노미터(nm)의 거리를 생성한다. 소스 팁 영역(110A)과 드레인 팁 영역(112A)이 형성되는 곳은 이 공간 내에서 이다. 알 수 있는 바와 같이, 주입-확산 기반의 팁 영역(110A/112A)은 스페이서(108)와 중첩하며, 10 nm보다 작은 거리만큼 게이트 유전체층(106)과 중첩하거나 이를 저부확산한다. 주입-확산 기반의 팁 영역(110A/112A)을 형성하는데 있어서, 붕소 또는 탄소와 같은 도펀트가 소스 영역(110) 및 드레인 영역(112) 내에 주입된다. 그 다음 트랜지스터(100A)가 어닐링되어 도펀트가 채널 영역(120) 쪽으로 확산하게 한다. 게이트 유전체층(106)과 소스/드레인 영역(110/112) 사이의 이들 영역 내에 도펀트를 더 주입하기 위해 경사 이온 주입(Angled ion implantation) 기술이 또한 이용될 수 있다. 불행하게도, 팁 영역(110A/112A)의 형상, 도펀트가 스페이서(108) 아래로 침투하는 거리, 및 팁 영역(110A/112A)의 농도 기울기와 같은 요인들은 기판 재료 내의 도펀트의 확산 속성에 의존한다. 예를 들어, 팁 영역의 농도는 소스/드레인 영역(110/112) 부근에서 높고 채널 영역(120) 부근에서 낮을 것이다. 대단히 바람직하긴 하지만, 채널 영역(120) 내에 도펀트를 밀어 넣지 않고 채널 영역(120) 부근에서 도펀트 농도를 매우 높게 만드는 것이 거의 불가능하다. 또한, 소스 및 드레인 영역(110/112)은 채널 영역(120)에 가까이 이동될 수 없는데, 이것은 다시 한 번 도펀트가 채널 영역(120) 내로 밀어 넣어질 수 없기 때문이다. 이것은 소스 및 드레인 영역(110/112)이 채널 영역(120)에 얼마나 가깝게 형성될 수 있는지를 제한하며, 이로써 게이트 길이 스케일링을 제약한다.
도 1b는 본 발명의 실시예에 따라 구성된 소스 및 드레인 에피텍셜 팁(일반적으로 여기서는 에피-팁(epi-tip)이라 함)을 포함하는 예시적인 MOS 소자(100B)를 나타낸다. 더 상세히는, MOS 트랜지스터(100b)는, 소스 영역(110) 및 드레인 영역(112)이 스페이서(108) 아래로 연장하는 것, 일부 경우에는, 게이트 유전체층(106) 아래로 연장하는 것을 허용하기 위해 언더컷 에칭(undercut etch)을 이용한다. 스페이서(108)(및 아마도 게이트 유전체층(106)) 아래로 연장하는 소스/드레인 영역(110/112)의 일부를 여기서는 각각 소스 에피-팁(110B) 및 드레인 에피-팁(112B)이라 한다. 소스 및 드레인 에피-팁(110B/112B)은, 도 1a에 관하여 설명된 주입/확산 기반의 팁 영역(110A/112A)을 대체한다.
본 발명의 실시예에 따르면, 소스/드레인 영역(110/112)과 소스/드레인 에피-팁(110B/112B)은, 예를 들어, 스페이서(108)(및 아마도 게이트 유전체층(106))을 언더컷팅하는 것을 포함한 기판(102)을 에칭한 다음, 인 시츄(in situ) 붕소 도핑된 게르마늄, 또는 고농도 붕소 도핑된 게르마늄으로 캡핑된 붕소 도핑된 실리콘 게르마늄(SiGe)을 제공하여 도 1b에 도시된 바와 같이 소스/드레인 영역(110/112)과 소스/드레인 에피-팁(110B/112B)을 채우기 위해 선택적 에피텍셜 피착을 이용하는 단계에 의해 형성될 수 있다. 도 1b에 더 도시된 바와 같이, 에피텍셜 충전(fill)은 기판(102)의 표면에 관해 상대적으로 융기될 수 있다는 점에 주목해야 한다.
본 발명의 일부 실시예들에 따르면, 기판 조성, 및 소자 구조의 이질층들 사이에서 불합치 전위(misfit dislocation)가 금지되어야 하는 정도와 같은 요인들에 따라, 구조물의 하나 이상의 위치에서 등급화된 버퍼가 이용될 수 있다. 예를 들어, 기판(102)은, 실리콘 기판, 또는 SOI(silicon on insulator) 기판의 실리콘 막, 또는 실리콘, 실리콘 게르마늄, 게르마늄, 및/또는 III-V 화합물 반도체를 포함하는 다중층 기판일 수 있다. 따라서, 예로서, 실리콘 또는 실리콘 게르마늄 기판(102)을 갖고 소스/드레인 영역(110/112) 및 소스/드레인 에피-팁(110B/112B)을 채우기 위해 인 시츄 붕소 도핑된 게르마늄이 이용되는 실시예에서, 기저 기판(102)과 상위 붕소 도핑된 게르마늄 사이에 버퍼가 제공될 수 있다. 이러한 실시예에서, 버퍼는, 기저 실리콘 기판 또는 기저 게르마늄 기판과 호환되는 베이스 레벨 농도로부터 100 원자 %(또는, 90 원자 % 또는 95 원자 % 또는 98 원자 %를 초과하는 것과 같은, 거의 100 원자 %)에 이르기까지 등급화된 게르마늄 조성을 갖는 등급화된 붕소 도핑된(또는 진성) 실리콘 게르마늄층일 수 있다. 하나의 특정한 이러한 실시예에서, 게르마늄 농도는 40 원자 % 이하로부터 98 원자 % 초과 범위까지 이른다. 이 버퍼 내의 붕소 농도는, 예를 들어, 고 수준으로 고정되거나, 예를 들어, 베이스 농도 또는 기저 기판과 기타의 방식으로 호환되는 농도로부터 원하는 고농도로까지(예를 들어, 1E20 cm-3, 또는 5E20 cm-3을 초과하여) 등급화될 수 있다. 여기서 사용될 때 호환성이란 반드시 농도 레벨에서의 중첩을 필요로 하지 않는다는 점에 주목한다(예를 들어, 기저 기판의 게르마늄 농도는 0 내지 20 원자 %이고 버퍼의 초기 게르마늄 농도는 30 내지 40 원자 %일 수 있다). 또한, 여기서 사용될 때, 농도 레벨에 관한 용어 "고정된"은 비교적 일정한 농도 레벨을 나타내기 위함이다(예를 들어, 층 내의 가장 낮은 농도 레벨은 그 층 내의 가장 높은 농도 레벨의 10% 이내이다). 더 일반적인 의미에서, 고정된 농도 레벨은 의도적으로 등급화된 농도 레벨이 없음을 나타내기 위함이다. 버퍼의 두께는 버퍼링되고 있는 농도의 범위와 같은 요인들에 따라 달라질 수 있지만, 일부 실시예들에서는 50 내지 100Å(예를 들어, 60 Å or 65 Å)과 같은, 30 내지 120 Angstroms (Å)의 범위 내에 있다. 본 개시에 비추어 이해하겠지만, 이러한 등급화된 버퍼는 유익하게도 쇼트키-장벽 높이를 낮춘다.
대안으로서, 기저 기판(102)과 상위 붕소 도핑된 게르마늄 사이에서 얇은 버퍼를 이용하는 것이 아니라, 붕소 도핑된 게르마늄층 그 자체가 유사한 방식으로 등급화될 수 있다. 예를 들어, 및 한 예시적 실시예에 따르면, 붕소 도핑된 게르마늄층은 기저 기판과 호환되는 베이스 레벨 농도(예를 들어, 30 내지 70 원자 % 범위)로부터 100 원자 %에 이르기까지 등급화된 게르마늄 농도로 구성될 수 있다. 일부 이러한 실시예에서, 이러한 붕소 도핑된 게르마늄층 내의 붕소 농도는, 예를 들어, 베이스 농도 또는 기타의 방식으로 기저 기판과 호환되는 농도로부터 원하는 고농도(예를 들어, 1E20 cm-3 초과)까지의 범위에 이를 수 있다.
실리콘 또는 실리콘 게르마늄 기판(102)과 소스/드레인 영역(110/112) 및 소스/드레인 에피-팁(110B/112B)을 채우는 붕소 도핑된 게르마늄 캡 및 인 시츄 붕소 도핑된 SiGe의 2중층 구조를 갖는 다른 실시예들에서, 버퍼는 붕소 도핑된 SiGe층과 상위 붕소 도핑된 게르마늄 캡 사이에 버퍼가 제공될 수 있다. 이러한 한 실시예에서, 붕소 도핑된 SiGe층은 고정된 농도의 게르마늄(예를 들어, 30 내지 70 원자 %의 범위)을 가지며, 버퍼는 기저 붕소 도핑된 SiGe층과 호환되는 베이스 레벨 농도로부터 100 원자 %(또는, 90 원자 % 또는 95 원자 % 또는 98 원자 % 초과 등의, 거의 100 원자 %)에 이르기까지 등급화된 게르마늄 농도를 갖는 얇은 SiGe층(예를 들어, 50 내지 100 Å 등의, 30 내지 120 Å)일 수 있다. 일부 이러한 경우, 이 버퍼 내의 붕소 농도는, 예를 들어, 높은 레벨로 고정되거나, 예를 들어, 베이스 농도 또는 기저 SiGe층과 기타의 방식으로 호환되는 농도로부터 원하는 고농도(예를 들어, 1E20 cm-3, 2E20 cm-3, 3E20 cm-3, 4E20 cm-3, 또는 5E20 cm-3을 초과하여)까지의 범위에 이를 수 있다.
대안으로서, 2중층 구조의 2개 층들 사이에서 얇은 버퍼를 이용하는 것이 아니라, 붕소 도핑된 SiGe층 그 자체가 유사한 방식으로 등급화될 수 있다. 예를 들어, 및 한 예시적 실시예에 따르면, 붕소 도핑된 SiGe층은 기저 기판과 호환되는 베이스 레벨 농도(예를 들어, 30 내지 70 원자 %의 범위)로부터 100 원자 %(또는, 앞서 설명한 바와 같이, 거의 100 원자 %)에 이르기까지 등급화된 게르마늄 농도로 구성될 수 있다. 이 붕소 도핑된 SiGe층 내의 붕소 농도는, 예를 들어, 고수준으로 고정되거나, 예를 들어, 베이스 농도 또는 기저 기판과 기타의 방식으로 호환되는 농도로부터 원하는 고농도로까지(예를 들어, 1E20 cm-3을 초과)의 범위에 이를 수 있다.
따라서, 평면 또는 비평면형 FinFET 트랜지스터 소자를 위한 SET 아키텍쳐가 제공된다. 소자들은, 예를 들어, 더미 게이트 산화물, 얇은 스페이서, 및 등방성 언더컷 에칭(또는 단결정 기판에 면체형 핀 오목부(faceted fin recess)를 형성하는 암모니아 에칭, 또는 핀 오목부를 형성하기 위한 기타의 적절한 에칭)과 같은 종래의 프로세스를 부분적으로 이용하여 형성될 수 있다. 일부 실시예들에 따르면, 인 시츄 붕소 도핑된 게르마늄 또는 대안으로서 고농도 붕소 도핑된 순수 게르마늄으로 캡핑된 완전 변형된(fully strained) 붕소 도핑된 실리콘 게르마늄층을 제공하여 팁과 소스/드레인 영역 양쪽 모두를 형성하기 위해 선택적 에피텍셜 피착이 이용될 수 있다. 앞서 설명된 바와 같이 선택사항적 버퍼가 이용될 수 있다. 이러한 실시예에서, 어떠한 P-타입 소스 및 드레인(PSD) 주입 또는 고온 확산-기반의 어닐링도 요구되지 않는데, 이것은 붕소가 피착될 때 충분히 활성이기 때문이다. 임의의 적절한 하이-k 대체 금속 게이트(RMG; replacement metal gate) 프로세스 흐름이 또한 이용될 수 있으며, 여기서 하이-k 유전체는 더미 게이트 산화물을 대체한다. 저저항 저마나이드(germanide)를 형성하기 위해, 예를 들어, 게르마늄 사전-비정질 주입(pre-amorphization implant)을 동반하거나 동반하지 않은 니켈, 니켈-백금, 또는 티타늄을 이용한 실리사이드화(Silicidation)가 이용될 수 있다. 앞서 설명된 바와 같이, 이와 같은 실시예들은 단축 응력의 이론적 한계를 (거의) 달성하기 위해 SET 트랜지스터 소자 아키텍쳐를 확장한다. 여기서 제공되는 기술들은, 예를 들어, 임의의 기술 노드(예를 들어, 90nm, 65nm, 45nm, 32nm, 22nm, 14nm, 및 10nm 트랜지스터 및 그 이하)의 혜택을 받기 위해 적용될 수 있고, 청구되는 발명은 소자 지오메트리의 임의의 특정한 이러한 노드 또는 범위로 제약되고자 함이 아니다. 본 개시에 비추어 다른 이점들이 명백할 것이다.
예를 들어, 본 발명의 실시예에 따라 구성된 소스 및 드레인 에피-팁(110B/112B)은, 소스 및 드레인 영역(110/112)과 동일한 프로세스에서 형성될 수 있어서, 프로세스 시간을 단축시킨다는 점에 주목한다. 또한, 종래의 주입/확산 기반의 팁 영역들과는 달리, 본 발명의 실시예에 따라 구성된 소스/드레인 에피-팁(110B/112B)의 격자 파라미터는 정공 이동도를 증가시키는 변형(strain)을 채널 영역(120)에 도입하므로 채널에서의 저항을 줄인다. 본 발명의 일부 실시예들에 따라 구성된 SET 아키텍쳐의 또 다른 이점은, 소스/드레인 에피-팁(110B 및 112B)과 채널 영역(120)을 형성하는 기판 재료(102) 사이의 계면이 급변(abrupt)한다는 것이다. 예를 들어, 계면의 한 측 상에는 붕소 도핑된 게르마늄(B:Ge) 재료(예를 들어, 2E20 cm-3 또는 5E20 cm-3을 초과하는 B 농도)가 에피텍셜 피착되고, 계면의 다른 측 상에는 채널 영역(120)을 구성하는 기판 재료(예를 들어, 실리콘 게르마늄, 또는 기타의 적절한 기판 재료)가 존재한다. 이 구조는, 에피텍셜 소스/드레인 에피-팁(110B/112B)이 고농도 붕소 도핑된 고농도 게르마늄 재료를 채널 영역(120)에 매우 근접하게 가져올 수 있게 한다. 에피텍셜 소스/드레인 에피-팁(110B/112B) 내의 붕소는 실질적으로 또는 완전히 에피-팁 내에 머무르고, 채널 영역(120) 내로 확산되지 않는 경향이 있다.
소스 및 드레인 에피-팁(110B/112B)을 형성하는데 이용될 수 있는 종래의 방법은 고려되어야 하는 문제가 있을 수 있다. 특히, 도 1b 및 도 1c를 참조하면, 종래의 언더컷 에칭 기술은 언더컷 영역에 대한 탄환형 프로파일(bulleted profile)의 형성을 초래할 수 있다. 이러한 경우, 게이트 유전체층(106)에 바로 인접한 부분에서 에칭되는 양보다 게이트 유전체층(106) 아래의 약간 떨어진 부분에서 더 많은 기판 재료가 에칭된다. 이와 같이, 소스 에피-팁(110B)과 드레인 에피-팁(112B) 각각은 탄환형 프로파일을 따르고, 이것은 채널 영역(120)에서 최적 미만의 변형(strain)을 생성할 수 있다. 또한, 종래의 언더컷 에칭 기술에서의 변화는, 형성되는 결과적인 소스 및 드레인 에피-팁(110B/112B)에서의 변화로 해석될 수 있다. 소스 및 드레인 에피-팁(110B/112B)을 형성하는 종래 방법에서의 또 다른 문제점은, 도 1b 및 도 1c에 도시된 바와 같이, 스페이서 두께가 언더컷 에칭에 미치는 영향에 관한 것이다. 도 1b를 참조하면, MOS 트랜지스터(100B)는 제1 두께 x1의 오프셋 스페이서(108)를 갖는 것으로 도시되어 있다. 소스 및 드레인 에피-팁(110B/112B)의 형성을 가능하게 하기 위해, 스페이서(108) 및 게이트 유전체층(106)의 일부를 언더컷하는 기판 에칭이 수행되었다. 언더컷-대-언더컷(UC-to-UC) 거리(114)는 소스 에피-팁(110B)으로부터 드레인 에피팁(112B)을 분리한다. 도 1c를 참조하면, MOS 트랜지스터(100C)는 두께 x2를 갖는 오프셋 스페이서(108)를 갖는 것으로 도시되어 있다. 여기서, 두께 x2는 도 1b의 스페이서(108)의 두께 x1보다 훨씬 크다. 그 결과, 기판 에칭이 수행될 때, 더 두꺼운 스페이서(108)는 언더컷 에칭을 바깥쪽으로 밀어 소스 및 드레인 에피-팁(110B/112B)이 트랜지스터(100C)의 채널 영역(120)으로부터 더 멀리 형성되게 한다. 따라서 기판 에칭은 MOS 트랜지스터(100C) 아래의 표면 영역을 덜 언더컷한다. 따라서, MOS 트랜지스터(100C)에 대한 UC-대-UC 거리(116)는 MOS 트랜지스터(100B)에 대한 UC-대-UC 거리(114)보다 훨씬 크다. 이런 방식으로 UC-대-UC 거리를 변경하는 것은 MOS 트랜지스터에 대한 큰 구동 전류 변동을 생성한다. 도 1d는 공지된 방법을 이용하여 형성된 소자에서 스페이서 두께가 UC-대-UC 거리에 어떻게 영향을 미치는지를 나타내는 그래프이다. 그래프는 라인(118)으로 표현된 데이터를 제공하며, 이것은 스페이서 두께가 증가함에 따라 UC-대-UC 거리도 역시 증가하여, 큰 구동 전류 변동으로 이어진다는 것을 보여준다. 통상적으로, 매 나노미터의 스페이서 두께 증가에 대해, UC-대-UC 거리는 약 2nm만큼씩 증가한다. 이러한 의미에서, 적어도 일부 경우에 종래 방법을 이용하여 소스/드레인 에피-팁을 형성하는 것은, 오프셋 스페이서의 두께가 MOS 소자의 성능에 상당한 영향을 미치는 것을 허용한다. 본 개시에 비추어 이해하겠지만, 본 발명의 일부 실시예들은, 이러한 문제를 해결하는 자기-정렬되고 에피텍셜 피착된 소스 및 드레인 팁을 형성하는 방법을 제공한다.
아키텍쳐 및 방법론
도 2의 아키텍쳐 및 방법론은, 본 발명의 실시예에 따른, 자기-정렬된 소스 및 드레인 에피-팁을 갖는 MOS 트랜지스터를 구축하는 방법(200)이다. 도 3a 내지 도 3j는, 일부 실시예들에 따라 방법(200)이 실행될 때 형성되는 예시적 구조를 나타낸다.
알 수 있는 바와 같이, 방법(200)은, PMOS 트랜지스터와 같은 MOS 소자가 형성될 수 있는 반도체 기판을 제공하는 단계(202)로 시작한다. 반도체 기판은, 예를 들어, 벌크 실리콘 또는 실리콘-온-절연체(silicon-on-insulator) 구성에 의해 구현될 수 있다. 다른 구현들에서, 반도체 기판은, 게르마늄, 실리콘 게르마늄, 인듐 안티몬, 납 텔룰라이드, 인듐 비소, 인듐 인화물, 갈륨 비소, 또는 갈륨 안티몬과 같은 실리콘과 결합되거나 결합되지 않을 수도 있는 대안적 재료를 이용하여 형성될 수 있다. 더 일반적 의미에서, 반도체 소자가 구축될 수 있는 토대(foundation)로서 역할할 수 있는 임의의 재료가 본 발명의 실시예들에 따라 이용될 수 있다.
방법(200)은 반도체 기판 상에 게이트 스택을 형성하는 단계(204)를 계속한다. 게이트 스택은 종래에 행해지던 바와 같이 형성되거나 임의의 적절한 맞춤형 기술을 이용하여 형성될 수 있다. 본 발명의 일부 실시예들에서, 게이트 스택은 게이트 유전체층 및 게이트 전극층을 피착한 다음 패터닝함으로써 형성될 수 있다. 예를 들어, 한 예시적 경우에, 게이트 유전체층은, 화학적 증착(CVD; chemical vapor deposition), 원자층 피착(ALD; atomic layer deposition), 스핀-온 피착(SOD; spin-on deposition) 또는 물리적 증착(PVD; physical vapor deposition)과 같은 종래의 피착 프로세스를 이용하여 반도체 기판 상에 블랭킷 피착될 수 있다. 대안적 피착 기술이 역시 이용될 수 있는데, 예를 들어, 게이트 절연층은 열 성장(thermally grown)될 수 있다. 게이트 유전체 재료는, 예를 들어, 실리콘 이산화물 또는 하이-k 유전체 재료와 같은 재료로부터 형성될 수 있다. 하이-k 게이트 유전체 재료의 예로서는, 예를 들어, 하프늄 산화물, 하프늄 실리콘 산화물, 란탄 산화물, 란탄 알루미늄 산화물, 산화 지르코늄, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물, 및 납 아연 니오브산염이 포함된다. 일부 특정 예시적 실시예에서, 하이-k 게이트 유전체층은 두께 약 5 Å 내지 약 200 Å(예를 들어, 20Å 내지 50Å)일 수 있다. 일반적으로, 게이트 유전체층의 두께는 게이트 전극을 이웃하는 소스 및 드레인 컨택트로부터 전기적으로 격리하기에 충분해야 한다. 추가의 실시예들에서, 하이-k 재료의 품질을 향상시키기 위해 어닐링 프로세스와 같은 추가 처리가 하이-k 게이트 유전체층에 수행될 수 있다. 그 다음, ALD, CVD, 또는 PVD와 같은 유사한 피착 기술을 이용하여 게이트 전극 재료가 게이트 유전체층 상에 피착될 수 있다. 일부 이러한 특정 실시예들에서, 게이트 전극 재료는 폴리실리콘 또는 금속층이지만, 기타의 적절한 게이트 전극 재료도 역시 이용될 수 있다. 대체 금속 게이트(RMG; replacement metal gate) 프로세스를 위해 통상적으로 나중에 제거되는 희생 재료인 게이트 전극 재료는, 일부 실시예들에서는, 50Å 내지 500Å 범위의 두께(예를 들어, 100Å)를 가진다. 그 다음 종래의 패터닝 프로세스가 실행되어 게이트 전극층 및 게이트 유전체층의 일부를 에칭하여 도 3a에 도시된 바와 같이 게이트 스택을 형성한다.
도 3a는 게이트 스택이 형성되는 기판(300)을 나타낸다. 이 예시적 실시예에서 알 수 있는 바와 같이, 게이트 스택은 (하이-k 게이트 유전체 재료일 수 있는) 게이트 유전체층(302) 및 희생 게이트 전극(304)을 포함한다. 한 특정 예시적 경우에, 게이트 스택은 실리콘 이산화물 게이트 유전체층(302) 및 폴리실리콘 게이트 전극(304)을 포함한다. 게이트 스택은 또한, 후속하는 이온 주입 프로세스로부터 게이트 전극(304)을 보호하는 것과 같은, 처리 동안에 소정의 혜택 또는 이용을 제공하는 게이트 하드 마스크층(306)을 포함할 수 있다. 하드 마스크층(306)은, 실리콘 이산화물, 실리콘 질화물, 및/또는 기타 종래의 유전체 재료와 같은 전형적인 하드 마스크 재료를 이용하여 형성될 수 있다.
도 2를 더 참조하면, 게이트 스택이 형성된 후에, 방법(200)은, 기판 내에 도펀트를 주입함으로써(206), 게이트 스택에 인접한 기판의 고농도 도핑 부분에 이온 주입 프로세스를 계속한다. 이온 주입 프로세스에서 이용되는 도펀트는, 예를 들어, 주입이 이루어지는 기판 재료의 에칭 속도를 증가시키는 능력에 기초하여 선택될 수 있고, 이온 주입 프로세스에 대해 선택된 특정 도펀트는 기판 재료(들) 및 후속하는 에칭 프로세스에서 이용되는 에칭제(etchant)에 기초하여 달라질 수 있다. 기판의 에칭 속도를 증가하기 위해 선택될 수 있는 특정 도펀트는, 예를 들어, 탄소, 인, 및 비소를 포함한다. 예를 들어, 탄소는, 5 내지 15 킬로-전자 볼트(keV) 사이에 해당하는 주입 에너지를 이용하여 1 x 1014 내지 1 x 1016 atoms/cm3의 범위에 이르는 사용량(dosage)에서 이용될 수 있다. 인은, 1 내지 5 keV에 해당하는 주입 에너지를 이용하여 1 x 1014 내지 5 x 1015 atoms/cm3의 범위에 이르는 사용량에서 이용될 수 있다. 비소는, 2 내지 5 keV에 해당하는 주입 에너지를 이용하여 1 x 1014 내지 5 x 1015 atoms/cm3의 범위에 이르는 사용량에서 이용될 수 있다. 기타의 적절한 도펀트와 사용량 방식이 본 개시에 비추어 명백할 것이다. 일부 실시예들에서, 이온 주입은 실질적으로 수직 방향(즉, 기판에 수직인 방향)으로 발생한다; 반면, 다른 실시예들에서는 이온 주입 프로세스의 적어도 일부는 게이트 스택 아래에 이온을 주입하기 위해 경사 방향으로 발생한다. 게이트 전극(304) 재료의 도핑을 방지하기 위해 하드 마스크(306)가 이용될 수 있다는 점에 주목한다.
그 다음, 방법(200)은 기판 내에 도펀트를 추가로 밀어 넣고 이온 주입 프로세스 동안에 기판이 받는 손상을 줄이기 위해 어닐링(207)을 계속한다. 일부 실시예들에서, 주입(206) 및 후속하는 어닐링(207)은, 예를 들어, 2 nm 내지 20 nm 사이에 해당하는 기판 깊이에 이온을 밀어 넣을 수 있다. 어닐링(207)은, 예를 들어, 700°C와 1100°C 사이에 해당하는 온도에서 60초 이하의 시간 동안(예를 들어, 5초) 실행될 수 있다. 이해하겠지만, 어닐링 온도 및 지속기간은, 확산 속도, 기판 재료, 이용된 도펀트, 및 원하는 최종 도펀트 농도와 같은 요인들에 따라 실시예마다 다를 수 있다.
도 3b는 이온 주입 및 확산 프로세스 후의 기판(300)을 나타낸다. 이 예시적 실시예에 도시된 바와 같이, 이온 주입 프로세스는, 형성되고 있는 MOS 트랜지스터에 대해, 게이트 유전체층(302)에 인접한 2개의 도핑된 영역(308)을 생성한다. 적절한 에칭제에 노출될 때, 도핑된 영역(308)은 주변 기판 재료의 에칭 속도보다 높은 에칭 속도를 가질 것이다. 도핑된 영역(308)들 중 하나는 자기-정렬된 에피-팁을 포함한, 소스 영역의 일부로서 역할할 것이다. 도핑된 영역(308)들 중 다른 하나는 자기-정렬된 에피-팁을 포함한, 드레인 영역의 일부로서 역할할 것이다. 도시된 예시적 실시예에서, 도핑된 영역(308)의 일부는 게이트 유전체층(302) 아래에 위치해 있다. 도핑된 영역(308)들의, 그들의 깊이를 포함한, 크기는 형성되고 있는 MOS 트랜지스터의 요건에 기초하여 변할 수 있다는 점에 주목해야 한다.
그 다음, 방법(200)은 게이트 스택의 각 측에 스페이서들을 형성하는 단계(208)를 계속한다. 스페이서들은, 예를 들어, 실리콘 산화물, 실리콘 질화물, 또는 기타의 적절한 스페이서 재료와 같은 종래의 재료를 이용하여 형성될 수 있다. 스페이서들의 폭은, 일반적으로, 형성되고 있는 MOS 트랜지스터에 대한 설계 요건에 기초하여 선택될 수 있다. 일부 실시예에 따르면, 그러나, 스페이서들의 폭은 소스 및 드레인 에피-팁의 형성에 의해 부과되는 설계 제약에 예속되지 않는다. 도 3c는 예시적 실시예에 따라 게이트 전극층(304) 및 게이트 유전체층(302)의 각 측에 형성된 스페이서(310)를 갖는 기판(300)을 나타낸다.
도 2를 더 참조하면, 방법(200)은 각각의 에피-팁을 포함하는 소스/드레인 영역이 형성될 수 있는 캐버티를 형성하기 위해 기판의 도핑된 영역들을 건식 에칭하는 단계(210)를 계속한다. 도 3d를 참조하여 최상으로 알 수 있는 바와 같이, 에칭된 캐버티는 대체로 게이트 스택에 인접하고, 에피-팁 영역은 소스/드레인 캐버티 영역의 사실상 확장부이다. 일부 예시적 실시예에서, 에칭된 캐버티는, 도핑된 영역들보다 더 깊을 수 있는, 50 nm와 1500 nm 사이에 해당하는 깊이로 형성될 수 있다. 더 일반적 의미에서, 에칭 깊이는 원하는 MOS 소자 성능에 기초하여, 필요한 만큼 설정될 수 있다. 일부 실시예에서, 건식 에칭 프로세스는 도핑된 영역들의 에칭 속도를 증가시키기 위해 이온 주입 프로세스에서 이용되는 도펀트를 보완하는 에칭제 레시피(etchant recipe)를 이용할 수 있고, 이로써 에칭 프로세스가 기판(300)의 나머지 부분보다 빠른 속도로 도핑된 영역들로부터 기판 재료를 제거하는 것을 가능케 한다. 일부 실시예들에서, 이것은 스페이서(310) 및 게이트 유전체층(302)을 언더컷하는 도핑된 영역의 부분들을 포함함으로써, 트랜지스터의 자기-정렬된 팁 아키텍쳐를 정의한다. 도핑된 영역의 에칭 속도를 증가시키는 것은, 스페이서의 두께, 건식 에칭 프로세스에서의 편차, 및 기타의 프로세스 편차와 같은 요인들에 의해 UC-대-UC 거리가 실질적으로 영향을 받지 않고, 에칭된 소스 및 드레인 팁 캐버티가 스페이서(310) 및 게이트 유전체층(302)을 언더컷하는 것을 가능케 한다.
일부 실시예들에 따르면, 건식 에칭 프로세스는 플라즈마 반응기에서 일어나는 염소처리 화학 반응(chlorinated chemistry)을 이용할 수 있다. 일부 특정의 이러한 실시예에서, 에칭제 레시피는 버퍼 또는 캐리어 개스로서 이용되는 아르곤 또는 헬륨과 NF3 및 Cl2의 조합을 포함할 수 있다. 일부 이러한 실시예에 따르면, 활성 에칭종(active etchant species)에 대한 유량은, 예를 들어, 50 내지 200 SCCM(standard cubic centimeters per minute) 사이에서 달라질 수 있는 반면, 캐리어 개스의 유량은, 예를 들어, 150 내지 400 SCCM 사이에서 변할 수 있다. 일부 이러한 실시예에 따라, 예를 들어, 100W 미만의 낮은 RF 바이어스와 함께 700W 내지 1100W의 범위에 이르는 전력에서 고 에너지 플라즈마가 채용될 수 있다. 반응기 압력은, 일부 이러한 실시예에 따라 약 1 파스칼(Pa) 내지 약 2 Pa의 범위에 이를 수 있다. 또 다른 특정 예시적 실시예에 따르면, 에칭제 화학은 HBr과 Cl2의 조합을 포함할 수 있다. 일부 이러한 실시예에서, 에칭종에 대한 유량은, 예를 들어, 40 SCCM과 100 SCCM 사이에서 변할 수 있다. 고 에너지 플라즈마는 100W 미만의 낮은 RF 바이어스와 함께 약 600W 내지 약 1000W의 범위에 이르는 전력에서 채용될 수 있고, 반응기 압력은 일부 이러한 실시예에 따라 약 0.3 Pa 내지 약 0.8 Pa의 범위에 이를 수 있다. 역시 또 다른 예시적 실시예에 따르면, 에칭제 화학은 Ar와 Cl2의 조합을 포함할 수 있다. 일부 이러한 실시예에서, 에칭종에 대한 유량은, 예를 들어, 40 SCCM과 80 SCCM 사이에서 변할 수 있다. 중(medium) 에너지 플라즈마는 약 100W 내지 약 200W의 높은 RF 바이어스와 함께 약 400W 내지 약 800W의 범위에 이르는 전력에서 채용될 수 있고, 반응기 압력은 일부 이러한 실시예에 따라 약 1 Pa 내지 약 2 Pa의 범위에 이를 수 있다. 이들 예시적 실시예들 각각에 대한 건식 에칭 프로세스 시간은, 예를 들어, 기판마다 60초에 이를 수 있지만, 원하는 에칭 깊이 및 에칭제와 같은 요인들에 따라 달라질 수 있다. 이러한 에칭 프로세스 파라미터는, 이해하는 바와 같이, 달라질 수 있다.
도 3d는 본 발명의 일부 실시예들에 따라 건식 에칭 프로세스가 실행된 후의 기판(300)을 나타낸다. 도시된 바와 같이, 소스 영역 캐버티(312) 및 드레인 영역 캐버티(314)가 형성된다. 또한, 앞서 논의된 바와 같이 도핑된 영역의 에칭(210)에 의해, 소스 팁 캐버티(312A) 및 드레인 팁 캐버티(314A)가 각각 캐버티(312 및 314)의 확장부로서 형성되었다. 에칭(210) 동안에 도핑된 영역의 에칭 속도를 증가시키는 도펀트 및 에칭제 레시피의 사용으로 인해 스페이서(310)의 두께는 소스 팁 캐버티(312A) 및 드레인 팁 캐버티(314A)의 에칭에 최소한의 영향을 미친다는 점에 주목해야 한다.
건식 에칭 프로세스가 완료된 후에, 도 2를 더 참조하면, 이 예시적 실시예의 방법은, 소스 영역 캐버티(312) 및 그 소스 에피-팁 캐버티(312A) 뿐만 아니라 드레인 영역 캐버티(314) 및 그 드레인 에피-팁 캐버티(314A)를 세정하고 추가로 에칭하는 습식 에칭하는 단계(212)를 계속한다. 종래의 또는 맞춤형 습식 에칭 화학반응을 이용하여 실행될 수 있는 습식 에칭(212)은 탄소, 염소, 염화불화탄소, 및 실리콘 이산화물 등의 산화물과 같은 오염 물질을 제거하여 후속하는 프로세스가 실행될 수 있는 청정 표면을 제공하기 위해 이용될 수 있다. 또한, 단결정 실리콘 기판을 가정하면, 습식 에칭(212)은 고품질 에피텍셜 피착이 발생할 수도 있는 평활한 표면을 제공하기 위해 <111> 및 <001> 결정학적 평면을 따른 기판의 얇은 부분을 제거하는데에도 이용될 수 있다. 일부 예시적 경우에, 에칭 제거되는 기판의 얇은 부분은, 예를 들어, 5 nm 두께에 이를 수도 있고, 잔여 오염 물질을 제거할 수도 있다. 도 3e에 최상으로 도시된 바와 같이, 습식 에칭(212)은, 소스 영역 캐버티(312) 및 그 에피-팁 영역(312A)의 가장자리 뿐만 아니라 드레인 영역 캐버티(314) 및 그 에피-팁 영역(314A)이 <111> 및 <001> 결정학적 평면을 따르게 한다. 또한 소스 및 드레인 에피-팁 영역(312A 및 314A)은 종래의 처리에서 발생하는 탄환형 프로파일을 갖지 않는다는 점에 유의한다.
습식 에칭 프로세스가 완료된 후에, 도 2를 더 참조하면, 방법(200)은, 인 시츄 붕소 도핑된 게르마늄(일부 경우에는 얇은 버퍼가 개재함) 또는 고농도 붕소 도핑된 게르마늄층으로 캡핑된 붕소 도핑된 실리콘 게르마늄에서, 소스/드레인과 각각의 팁 캐버티에서 에피텍셜 피착하는 단계(214)를 계속한다. 이 에피텍셜 피착은, 일부 실시예에 따라, 한 프로세스에서 그들 각각의 에피-팁 영역을 포함하는 소스 및 드레인 캐버티를 채운다. 피착(214)에 대해 CVD 프로세스 또는 기타의 적절한 피착 기술이 이용될 수 있다. 예를 들어, 피착(214)은, CVD 반응기, LPCVD 반응기, 또는 초고진공 CVD(UHVCVD)에서 실행될 수 있다. 일부 예시적인 경우에, 반응기 온도는, 예를 들어, 600℃ 내지 800℃에 들 수 있고, 반응기 압력은, 예를 들어 1 내지 760 Torr에 들 수 있다. 캐리어 개스는, 예를 들어, 10 내지 50 SLM과 같은, 적절한 유량의, 예를 들어, 수소 또는 헬륨을 포함할 수 있다. 일부 특정 실시예에서, H2로 희석된 GeH4와 같은 게르마늄 소스 전구체 개스를 이용하여 피착이 실행될 수 있다(예를 들어, GeH4는 1-5%에서 희석될 수 있다). 예를 들어, 1% 농도 및 50 내지 300 SCCM 범위의 유량에서 희석된 GeH4가 이용될 수 있다. 붕소의 인 시츄 도핑을 위하여, 희석된 B2H6가 이용될 수도 있다(예를 들어, B2H6가 H2에서 1-5%로 희석될 수 있다). 예를 들어, 3% 농도 및 10 내지 100 SCCM 범위의 유량에서 희석된 B2H6가 이용될 수 있다. 일부 예시적 경우에, 피착의 선택성을 증가시키기 위해 에칭제가 첨가될 수 있다. 예를 들어, HCl 또는 Cl2가, 예를 들어, 50 내지 300 SCCM 범위의 유량으로 첨가될 수 있다.
본 발명의 일부 예시적 실시예에 따르면, 도 3f에 최상으로 도시된 바와 같이, 그들 각각의 팁 영역(312A/314A)과 함께 소스 및 드레인 영역 캐버티(312/314)는 인 시츄 붕소 도핑된 게르마늄으로 채워짐으로써, 기판(300)의 MOS 트랜지스터(316)의 소스 영역(318)(에피-팁(318A)과 함께) 및 드레인 영역(320)(드레인 에피-팁(320A)과 함께)을 형성한다. 일부 이러한 실시예들에서, 붕소 도핑된 게르마늄은 2E21 cm-3 이상과 같은 5E20 cm-3을 초과하는 붕소 농도를 가진다. 붕소 도핑된 게르마늄 피착된 층의 두께는, 일부 특정 실시예에 따르면, 예를 들어, 50 내지 500 nm(예를 들어, 120 nm)의 범위에 이를 수 있지만, 본 개시에 비추어 다른 층 두께도 명백하다. 앞서 설명된 바와 같이, 일부 이러한 실시예들은 순수 게르마늄층과 기판 사이에 얇은 버퍼를 포함할 수 있다. 예를 들어, 도 3f에 도시된 예시적 실시예에서 추가로 알 수 있는 바와 같이, 소스 버퍼(313) 및 드레인 버퍼(315)가 인 시츄 붕소 도핑된 게르마늄을 피착하기에 앞서 피착된다. 일부 이러한 실시예에서, 버퍼(313 및 315)는, 기저 기판(300) 재료와 호환되는 베이스 레벨 농도로부터 100 원자 %(또는 앞서 설명된 바와 같이 거의 100 원자 %)에 이르기까지의 게르마늄 조성을 갖는 등급화된 붕소 도핑된 실리콘 게르마늄층일 수 있다. 버퍼(313 및 315)의 두께는 기저 기판(300)의 버퍼 천이 및 구성에 관한 농도 범위와 같은 요인들에 따라 달라질 것이다. 실리콘 게르마늄 기판을 갖는 한 예시적 실시예에서, 버퍼 두께는 2 nm 내지 10 nm의 범위에 이르지만, 다른 적절한 두께도 역시 이용될 수 있다. 한 특정의 이러한 실시예에서, 버퍼(313 및 315) 내의 붕소 농도는, 예를 들어, 기저 실리콘 게르마늄 기판과 호환되는 베이스 농도로부터 원하는 농도(예를 들어, 1E20 cm-3을 초과하여 2E21 cm-3에 이르기까지)까지의 범위에 이를 수 있으며, 2개의 특정 실시예들은 2E20 cm-3을 초과하거나 5E20 cm-3을 초과한다. 더 일반적 의미에서, 본 개시에 비추어 이해하겠지만, 원하는 정도의 전도도를 제공하기 위해 붕소 농도는 필요한 대로 조정될 수 있다.
본 발명의 다른 예시적 실시예에 따르면, 도 3g에 최상으로 도시된 바와 같이, 각각의 팁 영역(312A/314A)과 함께 소스 및 드레인 영역 캐버티(312/314)는 인 시츄 붕소 도핑된 실리콘 게르마늄으로 채워져 기판(300)의 MOS 트랜지스터(316)의 소스 영역(318)(에피-팁(318A)과 함께) 및 드레인 영역(320)(드레인 에피-팁(320A)과 함께)을 형성한다. 그 다음 붕소 도핑된 실리콘 게르마늄 충전(fill)은 고농도 붕소 도핑된 게르마늄층으로 캡핑되어 소스 캡(317) 및 드레인 캡(319)을 제공한다. 일부 이러한 2중층 구성 실시예들에서, 하나 이상의 층들에서 에피텍셜 피착될 수 있는 붕소 도핑된 실리콘 게르마늄 충전(fill)은 30 내지 70 원자 % 이상 범위의 게르마늄 농도를 가진다. 앞서 설명된 바와 같이, SiGe 충전의 이 게르마늄 농도는 고정되거나 베이스 레벨(기판(300) 부근에서)로부터 하이 레벨(예를 들어, 순수 게르마늄 캡(317/319) 부근에서 50 원자 % 초과)로 증가하도록 등급화될 수 있다. 일부 이러한 실시예들에서의 붕소 농도는 5E20 cm-3 또는 2E21 cm-3 초과와 같은 1E20 cm-3을 초과할 수 있으며, 또한 기판(300) 부근에서의 베이스 레벨로부터 하이 레벨로 증가하도록 등급화될 수 있다(예를 들어, 캡(317/319) 부근에서 1E20 cm-3 또는 2E20 cm-3 또는 3E20 cm-3 등을 초과). 붕소 도핑된 SiGe층의 게르마늄 농도가 고정된 실시예들에서, 앞서 설명된 바와 같이, 붕소 도핑된 SiGe층을 붕소 도핑된 Ge 캡과 더 양호하게 인터페이싱시키기 위해 얇은 등급화된 버퍼가 이용될 수 있다. 붕소 도핑된 SiGe 피착된 층(또는 층들의 집합)(318/320)의 두께는, 일부 특정 실시예들에서, 예를 들어, 50 내지 250 nm 범위(예를 들어, 60 nm)에 이를 수 있고, 순수 게르마늄 캡(317/319)은, 예를 들어, 50 내지 250 nm(예를 들어, 50 nm) 범위의 두께를 가질 수 있지만, 대안적 실시예들은, 본 개시에 비추어 명백하겠지만, 다른 층 및 캡 두께를 가질 수도 있다. 일부 실시예들에서, 캐버티는 순환적 피착-에칭 처리 동안에 스페이서 아래에 생성될 수 있고, 이들 캐버티들도 역시 (예를 들어, 붕소 도핑된 게르마늄 캡(317/319)과 동일한 조성을 가질 수 있는) 에피텍셜 캡층에 의해 후방충전(backfill)될 수 있다는 점에 주목해야 한다.
본 개시에 비추어 추가로 이해하겠지만, PMOS SET 트랜지스터 소자에서 소스 및 드레인 영역 뿐만 아니라 그들 각각의 팁 영역에서 상당히 높은 전도도를 실현하기 위해, 도 3f 및 도 3g에 도시된 실시예들에 관해 논의된 바와 같은, (예를 들어, 50 원자 %를 초과하여 순수 게르마늄에 이르기까지의) 높은 게르마늄 농도와 (예를 들어, 1E20 cm-3을 초과하는) 높은 붕소 농도의 조합이 이용될 수 있다. 또한, 앞서 설명된 바와 같이, 붕소 확산은 순수 게르마늄에 의해 충분히 억제되기 때문에, 피착된 스트레서막(stressor film) 내의 높은 붕소에도 불구하고 후속하는 열적 어닐링에 의해 어떠한 악성 SCE 열화도 실현되지 않는다. 컨택트 표면에서의 게르마늄의 더 높은 농도로부터 배리어 높이 낮추기도 역시 가능해진다. 일부 예시적 실시예들에서, 이러한 혜택을 달성하기 위해 95 원자 %를 초과하여 순수 게르마늄(100 원자 %)까지의 게르마늄 농도가 이용될 수 있다.
도 3f 및 도 3g에 더 도시된 바와 같이, 주입 및 확산 기술을 통해 형성되므로 팁 영역과 채널 영역 사이에 명확한 경계를 갖지 않는 종래의 소스 및 드레인 팁 영역과 달리, MOS 트랜지스터(316)의 자기-정렬된 소스 및 드레인 에피-팁은 급변하는 경계를 가진다. 즉, 소스/드레인 영역 에피-팁과 채널 영역 사이의 계면은 명확히 잘 정의된다. 인터페이스의 한 측에는 고농도 붕소 도핑된 게르마늄층(도 3f의 층(318/320) 또는 도 3g의 캡(317/319)이 있고, 계면의 다른 측에는 채널 영역을 구성하는 기판(300) 재료가 있다. 소스/드레인 에피-팁(318A/320A) 내의 붕소는 실질적으로 또는 완전히 에피-팁 내에 머무르고 채널 영역 내로 확산하지 않는 경향이 있음으로써, 고농도 붕소 도핑된 게르마늄 재료가 종래의 기술에 비해 채널 영역에 매우 근접하게 하는 것을 가능케 한다. 예를 들어, 일부 특정 실시예들에서, 소스/드레인 에피-팁(318A/320A)은 게이트 유전체층(302)을 10 nm보다 많이 언더컷할 수 있다. 이것은 차례로 채널 영역을 단축시키지 않고도 게이트 길이가 축소되는 것을 가능케 한다.
채널 영역에 비교적 매우 가까이 소스 및 드레인 에피-팁을 형성하는 것은 또한 큰 등방 응력(hydrostatic stress)을 채널에 부여한다. 이 응력은 채널 내의 변형(strain)을 증가시킴으로써, 채널 내의 이동도를 증가시키고 구동 전류를 증가시킨다. 이 응력은 소스 및 드레인 에피-팁의 게르마늄 농도를 증가시킴으로써 더욱 증폭될 수 있다. 이것은, 팁 영역이 대체로 채널 영역에 변형을 유도하지 않는 확산-기반의 프로세스에 비해 개선사항이다.
일단 소스 및 드레인 영역이 본 발명의 실시예에 따라 채워지고 나면, MOS 트랜지스터(316)의 제조를 완료하기 위해, 트랜지스터(316)를 더 수정하거나 및/또는 필요한 전기적 상호접속을 제공할 수 있는, 대체 게이트 산화 프로세스, 대체 금속 게이트 프로세스, 어닐링, 및 살리사이드화(salicidation) 프로세스와 같은, 다양한 종래의 MOS 처리가 실행될 수 있다. 예를 들어, 소스/드레인 영역과 함께 그들 각각의 팁의 에피텍셜 피착 후에, 도 2를 더 참조하면, 방법(200)은 트랜지스터(316) 위에 층간 유전체(ILD)를 피착(216)한 다음, 통상 행해지는 바와 같이 ILD 층을 평탄화하는 단계를 계속할 수 있다. ILD 층은, 로우-k 유전체 재료와 같은, 집적 회로 구조에 대한 유전체층으로서 적용가능한 것으로 알려진 재료를 이용하여 형성될 수 있다. 이러한 유전체 재료는, 예를 들어, 실리콘 이산화물(SiO2) 및 탄소 도핑된 산화물(CDO)과 산화물, 실리콘 질화물, 퍼플루오로시클로부탄(perfluorocyclobutane) 또는 플로오린화수소산(polytetrafluoroethylene)과 같은 유기 고분자, 규불화 유리(FSG; fluorosilicate glass), 및 실세스퀴옥산(silsesquioxane), 실록산(siloxan) 또는 유기실리케이트 유리(organosilicate glass)와 같은 유기실리케이트를 포함할 수 있다. 일부 예시적 구성에서, ILD층은 유전 상수를 더 줄이기 위해 구멍이나 빈 공간을 포함할 수 있다. 도 3h는 피착된 다음 하드 마스크(306) 아래로 평탄화된 예시적 ILD 층(322)을 나타낸다.
그 다음, 대체 금속 게이트 프로세스가 이용되는 본 발명의 일부 실시예들에서, 방법(200)은, 종래에 행해지던 바와 같은 에칭 프로세스를 이용하여 (하이-k 게이트 유전체층(302), 희생 게이트 전극(304), 및 하드 마스크층(306)을 포함한) 게이트 스택을 제거하는 단계(218)를 계속한다. 대안적 구현에서, 희생 게이트(304)만이 제거된다. 도 3i는 이러한 한 실시예에 따른 게이트 스택이 에칭 제거될 때 형성되는 트렌치 개구를 나타낸다. 게이트 유전체층이 제거되면, 이 방법은 트렌치 개구 내에 새로운 게이트 유전체층을 피착하는 단계(220)를 계속한다. 하프늄 산화물과 같은, 앞서 설명된 것들과 같은 임의의 적절한 하이-k 유전체 재료가 여기서 이용될 수 있다. 동일한 피착 프로세스가 역시 이용될 수 있다. 예를 들어, 건식 및 습식 에칭 프로세스의 적용 동안에 원래의 게이트 유전체층에 발생했을 수도 있는 임의의 손상을 해결하기 위해, 및/또는 로우-k 또는 희생 유전체 재료를 하이-k 또는 기타의 원하는 게이트 유전체 재료로 대체하기 위해 게이트 유전체층의 대체가 이용될 수 있다.
방법(200)은 트렌치 내에 및 게이트 유전체층 위에 금속 게이트 전극층을 피착하는 단계(222)를 계속할 수 있다. 금속 게이트 전극층을 형성하기 위해, CVD, ALD, PVD, 무전해 도금 또는 전기 도금과 같은, 종래의 금속 피착 프로세스가 이용될 수 있다. 금속 게이트 전극층은, 예를 들어, 루테늄, 팔라듐, 백금, 코발트, 니켈, 전도성 금속 산화물, 예를 들어, 루테늄 산화물과 같은, P-타입 일함수 금속을 포함할 수 있다. 일부 예시적 구성에서, 2개 이상의 금속 게이트 전극층들이 피착될 수 있다. 예를 들어, 일함수 금속은 피착된 다음, 알루미늄과 같은 적절한 금속 게이트 전극 충전 금속이 후속한다. 도 3j는 한 실시예에 따른 트렌치 개구 내에 피착된 금속 게이트 전극(326) 및 예시적인 하이-k 게이트 유전체층(324)을 나타낸다.
소스 및 드레인 컨택트의 금속화는 실리사이드화 프로세스(일반적으로, 컨택트 금속의 피착 및 후속 어닐링)를 이용하여 실행될 수 있다. 저저항 저마나이드를 형성하기 위해, 예를 들어, 게르마늄 사전-비정질 주입을 동반하거나 동반하지 않고, 니켈, 알루미늄, 니켈-백금 또는 니켈-알루미늄 또는 니켈과 알루미늄과 티탄의 기타 합금에 의한 실리사이드화가 이용될 수 있다. 붕소 도핑된 게르마늄 에피층은 금속-저마나이드(예를 들어, 니켈-게르마늄) 형성을 허용한다. 저마나이드는, 종래의 금속-실리사이드 시스템에 비해 상당히 낮은 쇼트키-장벽 높이와 (Rext를 포함한) 개선된 컨택트 저항을 허용한다. 예를 들어, 종래의 트랜지스터는 통상 소스/드레인 SiGe 에피 프로세스를 이용하며, 게르마늄 농도는 30-40 원자 %의 범위이다. 이러한 종래의 시스템은, 높은 값으로서 향후의 게이트 피치 스케일링을 방해할 수도 있는 에피/실리사이드 계면 저항에 의해 제한되는 약 140 Ohm*㎛의 Rext 값을 나타낸다. 본 발명의 일부 실시예들은 PMOS 소자에서 Rext의 상당한 개선(예를 들어, 약 2x의 개선, 또는 약 70 Ohm*㎛의 Rext)을 허용하여, PMOS 소자 스케일링을 더욱 잘 지원할 수 있다. 따라서, 본 발명의 실시예에 따라, 소스/드레인 에피팁과 채널 영역 사이의 계면에서 1E20 cm-3을 초과하는 붕소와 50 원자 %를 초과하고 기타 방식으로 순수 게르마늄(100 원자 %)에 근접하는 게르마늄 농도를 갖는, 고농도 붕소 도핑된 게르마늄으로 구성된 소스/드레인을 갖는 트랜지스터는 100 Ohm*㎛ 미만의 Rext 값을 나타낼 수 있고, 어떤 경우에는 90 Ohm*㎛ 미만, 및 어떤 경우에는 80 Ohm*㎛ 미만, 및 어떤 경우에는 75 Ohm*㎛ 미만 또는 그 더 낮은 값을 보일 수 있다.
따라서, MOS 트랜지스터의 전체 저항을 줄이고 증가된 붕소 도핑된 게르마늄 체적(예를 들어, 게르마늄 캡을 갖는 붕소 도핑된 게르마늄 또는 붕소 도핑된 실리콘 게르마늄 체적)으로 인해 채널 변형을 증가시키는 자기-정렬된 소스 및 드레인 에피-팁이 개시되었다. 일부 이러한 실시예에서, 소스 및 드레인 에피-팁은 탄환형 프로파일을 갖지 않고, 채널 영역과 소스 및 드레인 영역 사이에서 급변하는 경계를 형성하며, 및/또는 더욱 용이하게 제어되는 도핑 농도를 가지므로, 더욱 최적화된 소스-드레인 프로파일을 산출한다. 또한, 일부 실시예들에 따른 도펀트 및 에칭제 레시피의 적절한 조합을 선택함으로써, 소스 및 드레인 에피-팁이 스페이서 두께에 의해 실질적으로 영향받지 않고 에칭될 수 있다. 따라서, 이 자기-정렬 프로세스는 성능을 증가시키면서 프로세스 편차를 최소화하는 것이 바람직한 경우에 이용될 수 있다.
FinFET 구성
알려진 바와 같이, FinFET는 가느다란(thin) 스트립(일반적으로 핀(fin)이라 함)의 반도체 재료 주변에 구축된 트랜지스터이다. 이 트랜지스터는, 게이트, 게이트 유전체, 소스 영역, 및 드레인 영역을 포함하는 표준 전계 효과 트랜지스터(FET) 노드를 포함한다. 소자의 전도성 채널은 게이트 유전체 아래의 핀의 외측에 존재한다. 구체적으로는, 전류는 핀의 양쪽 측벽(측면들은 기판 표면에 수직) 및 핀의 상부(측면은 기판 표면에 평행)를 따라 흐른다. 이러한 구성의 전도성 채널은 본질적으로 핀의 3개의 상이한 외측 평면형 영역을 따라 존재하기 때문에, 이러한 FinFET 설계는 때때로 트라이-게이트(tri-gate) FinFET이라 한다. 소위 더블-게이트 FinFET이라 불리는 다른 타입의 FinFET 구성도 역시 이용가능하며, 이 경우 전도성 채널은 주로 핀의 2개 측벽을 따라서만(핀의 상부를 따라서는 아님) 존재한다.
도 4는 본 발명의 한 실시예에 따라 구성된, 예시적인 트라이-게이트 아키텍쳐의 사시도를 도시한다. 알 수 있는 바와 같이, 트라이-게이트 소자는, 격리 영역(710, 720)을 통해 기판(400)으로부터 연장되는 (점선으로 표시된) 반도체 몸체 또는 핀(260)을 갖는 기판(400)을 포함한다. 게이트 전극(340)은 핀(260)의 3개 표면 위에 형성되어 3 게이트를 형성한다. 하드 마스크(410)는 게이트 전극(340)의 상부에 형성된다. 게이트 스페이서(460, 470)는 게이트 전극(340)의 마주하는 측벽들에 형성된다. 소스 영역은 오목한 소스 계면(266)과 하나의 핀(260) 측벽 상에 형성된 에피텍셜 영역(531)을 포함하고, 드레인 영역은 오목한 소스 계면(266)과 반대편 핀(260) 측벽(미도시) 상에 형성된 에피텍셜 영역(531)을 포함한다. 캡 층(541)은 에피텍셜 영역(531) 위에 피착된다. 한 실시예에서, 격리 영역(710, 720)은, 기판(200)을 에칭하여 트렌치를 형성한 다음 트렌치 상에 산화물 재료를 피착하여 STI 영역을 형성하는 흔한 기술에 의해 형성된 STI(shallow trench isolation) 영역이다. 격리 영역(710, 720)은 SiO2와 같은 임의의 공지된 절연 재료로부터 형성될 수 있다. 기판(102)에 관한 이전의 논의는 동등하게 여기에 적용가능하다(예를 들어, 기판(400)은 실리콘 기판, SOI 기판, 또는 다층-기판일 수 있다).
본 개시에 비추어 이해하겠지만, 트라이-게이트 트랜지스터 구조를 제조하기 위해 종래의 프로세스 및 형성 기술이 이용될 수 있다. 그러나, 본 발명의 한 예시적 실시예에 따라, 에피텍셜 영역(531) 및 캡 층(541)의 2중층 구조는, 선택사항적인 게르마늄 및/또는 붕소 등급화된 버퍼를 2개의 이중층 사이에 개재시켜, 고농도 붕소 도핑된 게르마늄으로 캡핑된 인 시츄 붕소 도핑된 실리콘 게르마늄을 이용하여 구현될 수 있다. 앞서 설명된 바와 같이, 이러한 버퍼는, 오목한 소스 계면(266) 내의 에피텍셜 영역(531)에 대해 피착된 붕소 도핑된 SiGe와 호환되는 베이스 레벨 게르마늄/붕소 농도로부터의 고농도 붕소 도핑된 게르마늄 캡(541)으로 천이하는데 이용될 수 있다. 대안으로서, 게르마늄 및/또는 붕소 농도 등급화는 중간의 등급화된 버퍼 배열에서가 아니라 에피텍셜 영역(531)에서 직접 구현될 수 있다. 더 이해하겠지만, 트라이-게이트 구성에 대한 대안은 핀(260)의 상부에 유전체/격리층을 포함하는 더블게이트 아키텍쳐라는 점에 주목한다.
도 5는 본 발명의 한 예시적 실시예에 따라 구성된 자기정렬된 소스 및 드레인 에피-팁을 이용함으로써 이용가능하게 된 개선사항을 나타내는 그래프이다. 라인(500)은 여기서 제공된 기술을 이용하여 구축된 MOS 소자에 대해 수집된 데이터를 나타낸다. 도시된 바와 같이, UC-대-UC 거리는 종래의 프로세스를 이용하여 형성된 소자보다 스페이서 두께에 의해 훨씬 덜 영향받으며, 종래 프로세스를 이용하여 형성된 소자에 대한 데이터는 다시 한번 라인(118)으로 표시되어 있다. 도 6a 및 도 6b는 본 발명의 한 예시적 실시예에 따라 구성된 자기정렬된 소스 및 드레인 에피-팁을 이용함으로써 인에이블된 개선사항을 추가로 보여준다. 특히, 도 6a는 쇼트키 장벽 NiGe 다이오드 측정(누설전류 대 전압)을 나타내며, 니켈-게르마늄 일함수가 바로 p-타입임(Ge 가전대 위의 대략 85 mV)을 확인한다. 도 6b는 본 발명의 일부 예시적 실시예에 따라, 이러한 저마나이드 재료(germanide material)와 쇼트키 장벽 높이 개선이, 종래의 SiGe 소스/드레인 PMOS 소자에 비해 2x보다 큰 Rext 개선을 가능케 한다는 것을 보여주는 시뮬레이션 데이터를 플로팅하고 있다. 알려진 바와 같이, 쇼트키 장벽 높이는 반도체-금속 접합을 가로지른 전기 전도에 대한 정류 장벽(rectifying barrier)이다. 쇼트키 장벽 높이의 크기는 금속의 페르미 준위(Fermi level)의 에너지 위치와 반도체-금속 계면을 가로지르는 반도체의 다수 캐리어 대역 가장자리에서의 불합치를 반영한다. p-타입 반도체-금속 계면의 경우, 쇼트키 장벽 높이는 금속 페르미 준위와 반도체의 가전대 최대치 사이의 차이이다.
따라서, 본 개시에 비추어 이해하겠지만, 여기서 제공된 본 발명의 다양한 실시예들은, 피치 및 전원(Vcc) 스케일링과 함께 더 높은 채널 이동성을 제공하는 것, 감소된 소스/드레인 및 컨택트 저항을 제공하는 것, 개선된 채널 돌연성을 제공하는 것, 특히 평면 및 비평면 아키텍쳐에서 전체 기생 저항을 최소화하기 위해 살리사이드와 소스/드레인 사이에 감소된 장벽 높이를 제공하는 것과 같은, 수 개의 트랜지스터 스케일링 문제를 해결하는데 이용될 수 있다. 본 개시에 비추어 수 많은 실시예들이 명백할 것이다.
본 발명의 한 예시적 실시예는 트랜지스터 소자를 제공한다. 이 소자는 채널 영역을 갖는 기판을 포함한다. 이 소자는 상기 채널 영역 위의 게이트 전극을 더 포함하고, 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되며, 상기 게이트 전극의 측면들 상에 스페이서가 제공된다. 이 소자는 상기 기판에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들을 더 포함하고, 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 하나 아래로 연장되는 팁 영역을 포함하며, 상기 소스 및 드레인 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함한다. 하나의 이러한 경우, 상기 소자는 평면 또는 FinFET PMOS 트랜지스터 중 하나이다. 또 다른 이러한 경우, 상기 소자는 금속-저마나이드 소스 및 드레인 컨택트를 포함할 수 있다. 또 다른 이러한 경우, 상기 소자는 상기 소스 및 드레인 영역들 위에 층간 유전체를 포함할 수 있다. 또 다른 이러한 경우, 상기 소자는 상기 기판과 상기 붕소 도핑된 게르마늄층 사이에 버퍼를 포함할 수 있다. 하나의 이러한 특정 경우, 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 95 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 가진다. 또 다른 이러한 특정 경우, 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 또 다른 특정 실시예에서, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡을 포함하는 2중층 구성을 가진다. 하나의 이러한 특정 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 가지며, 상기 붕소 도핑된 게르마늄 캡은 95 원자 %를 초과하는 게르마늄 농도를 가진다. 또 다른 이러한 특정 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 또 다른 이러한 특정 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은 고정된 게르마늄 농도를 가지며, 상기 소자는 상기 붕소 도핑된 실리콘 게르마늄 부분과 상기 붕소 도핑된 게르마늄 캡 사이에 버퍼를 더 포함하고, 상기 버퍼는 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 또 다른 특정 경우, 트랜지스터는 (Rext = 70 Ohm*㎛ +/-10%와 같은) 100 Ohm*㎛ 미만의 Rext 값을 가진다. 이해하겠지만, 붕소 농도는 원하는 전도도와 같은 요인에 기초하여 높게 설정될 수 있고, 일부 이러한 예시적 경우에서는 2E20 cm-3 또는 3E20 cm-3 또는 4E20 cm-3 또는 5E20 cm-3 또는 2E21 cm-3을 초과한다.
본 발명의 또 다른 실시예는 트랜지스터 소자를 제공한다. 이 예시적 경우에, 상기 소자는 채널 영역과 상기 채널 영역 위의 게이트 전극을 갖는 기판을 포함하고, 상기 게이트 전극과 상기 채널 영역 사이에 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에 스페이서가 제공된다. 이 소자는 상기 기판에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들을 더 포함하고, 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 하나 아래로 연장되는 팁 영역을 포함하며, 상기 소스 및 드레인 영역들은 50 원자 %를 초과하는 게르마늄 농도와 2E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함한다. 이 소자는 금속-저마나이드 소스 및 드레인 컨택트를 더 포함한다. 일부 이러한 경우에, 이 소자는, 상기 기판과 상기 붕소 도핑된 게르마늄층 사이에 버퍼를 더 포함할 수 있고, 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 95 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 기판과 호환되는 베이스 레벨 농도로부터 2E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 다른 예시적 경우에, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡을 포함하는 2중층 구성을 가진다. 일부 이러한 특정 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 가지며, 상기 붕소 도핑된 게르마늄 캡은 95 원자 %를 초과하는 게르마늄 농도를 가진다. 일부 이러한 특정 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 2E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 다른 특정 경우에, 상기 붕소 도핑된 실리콘 게르마늄 부분은 고정된 게르마늄 농도를 가지며, 상기 소자는 상기 붕소 도핑된 실리콘 게르마늄 부분과 상기 붕소 도핑된 게르마늄 캡 사이에 얇은 버퍼를 더 포함하고, 상기 버퍼는 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 2E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가지며, 상기 버퍼는 100 옹스트롬(Angstrom) 미만의 두께를 가진다.
본 발명의 또 다른 실시예는 트랜지스터를 형성하기 위한 방법을 제공한다. 이 방법은 채널 영역을 갖는 기판을 제공하는 단계, 및 상기 채널 영역 위에 게이트 전극을 제공하는 단계를 포함하고, 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고, 상기 게이트 전극의 측면들 상에 스페이서가 제공된다. 이 방법은, 상기 기판 내에서 상기 채널 영역에 인접한 소스 및 드레인 영역들을 형성하는 단계를 계속하고, 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 하나 아래로 연장되는 팁 영역을 포함하고, 상기 소스 및 드레인 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함한다. 일부 이러한 실시예에서, 이 방법은 상기 기판과 상기 붕소 도핑된 게르마늄층 사이에 버퍼를 제공하는 단계를 더 포함하고, 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 95 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 다른 실시예들에서, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡(cap)을 포함하는 2중층 구성을 가진다. 하나의 이러한 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 가지며, 상기 붕소 도핑된 게르마늄 캡은 95 원자 %를 초과하는 게르마늄 농도를 가진다. 또 다른 이러한 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은 고정된 게르마늄 농도를 가지며, 상기 방법은 상기 붕소 도핑된 실리콘 게르마늄 부분과 상기 붕소 도핑된 게르마늄 캡 사이에 버퍼를 제공하는 단계를 더 포함하고, 상기 버퍼는 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다. 일부 이러한 경우, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가진다.
따라서, 본 발명의 예시적 실시예들의 상기 설명은 예시와 설명의 목적을 위해 제공되었다. 이 설명은 철저히 남김없이 드러내고자 하거나 본 발명을 개시된 그대로만으로 제한하고자 함이 아니다. 상기 개시에 비추어 많은 수정과 변형이 가능하다. 예를 들어, 본 발명의 일부 실시예들은 게르마늄의 인 시츄 붕소 도핑을 이용하고, 다른 실시예들은 진성 게르마늄을 이용하고, 진성 게르마늄이 피착된 후에 후속해서 이온 주입과 어닐링 프로세스를 가해 원하는 붕소 도핑 농도를 제공할 수도 있다. 게다가, 일부 실시예들은 여기서 개시된 바와 같이 제조된 (예를 들어, 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는) 소스 및 드레인 영역을 포함할 수 있지만, 소스 및 드레인 영역의 팁을 형성하기 위해 종래의 처리(예를 들어, 주입 및 어닐링)를 여전히 이용한다. 이러한 실시예들에서, 팁은 주 소스/드레인 영역보다 낮은 게르마늄 및/또는 붕소 농도를 가질 수 있고, 이것은 일부 응용에서는 허용할 수 있다. 역시 다른 실시예들에서, 소스 및 드레인 영역의 팁만이 높은 게르마늄과 붕소 농도로 구성될 수 있고, 소스 및 드레인 영역의 주요 부분들은 종래의 또는 기타방식의 더 낮은 게르마늄/붕소 농도를 가질 수도 있다. 본 발명의 범위는 이 상세한 설명에 의해 제한되는 것이 아니라 첨부된 청구범위에 의해 제한되어야 한다.

Claims (25)

  1. 트랜지스터 소자로서,
    채널 영역을 갖는 기판;
    상기 채널 영역 위의 게이트 전극 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역(tip region)을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -; 및
    상기 기판과 상기 붕소 도핑된 게르마늄층 사이의 버퍼 - 상기 버퍼는 상기 기판과 호환되는(compatible) 베이스 레벨 농도(base level concentration)로부터 1E20 cm-3을 초과하는 고농도까지 등급화된(graded) 붕소 농도를 갖음 -
    를 포함하는, 트랜지스터 소자.
  2. 제1항에 있어서, 상기 소자는 평면 또는 FinFET PMOS 트랜지스터 중 하나인, 트랜지스터 소자.
  3. 제1항에 있어서, 금속-저마나이드(metal-germanide) 소스 및 드레인 컨택트들을 더 포함하는, 트랜지스터 소자.
  4. 제1항에 있어서, 상기 소스 및 드레인 영역들 위에 층간 유전체를 더 포함하는, 트랜지스터 소자.
  5. 삭제
  6. 제1항에 있어서, 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 95 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 갖는, 트랜지스터 소자.
  7. 제6항에 있어서, 상기 고농도는 순수 게르마늄을 반영하는, 트랜지스터 소자.
  8. 삭제
  9. 트랜지스터 소자로서,
    채널 영역을 갖는 기판;
    상기 채널 영역 위의 게이트 전극 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역(tip region)을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -;
    을 포함하고, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡(cap)을 포함하는 2중층 구성을 갖고, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖는, 트랜지스터 소자.
  10. 제9항에 있어서, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 가지며, 상기 붕소 도핑된 게르마늄 캡은 95 원자 %를 초과하는 게르마늄 농도를 갖는, 트랜지스터 소자.
  11. 삭제
  12. 트랜지스터 소자로서,
    채널 영역을 갖는 기판;
    상기 채널 영역 위의 게이트 전극 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역(tip region)을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -;
    을 포함하고, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡(cap)을 포함하는 2중층 구성을 갖고, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 고정된 게르마늄 농도를 가지며, 상기 소자는 상기 붕소 도핑된 실리콘 게르마늄 부분과 상기 붕소 도핑된 게르마늄 캡 사이에 버퍼를 더 포함하고, 상기 버퍼는 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖는, 트랜지스터 소자.
  13. 제12항에 있어서, 상기 트랜지스터는 100 Ohm*㎛ 미만의 Rext 값을 갖는, 트랜지스터 소자.
  14. 트랜지스터 소자로서,
    채널 영역을 갖는 기판;
    상기 채널 영역 위의 게이트 전극 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 2E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -;
    금속-저마나이드 소스 및 드레인 컨택트들; 및
    상기 기판과 상기 붕소 도핑된 게르마늄층 사이의 버퍼 - 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 95 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 기판과 호환되는 베이스 레벨 농도로부터 2E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖음 -;
    를 포함하는, 트랜지스터 소자.
  15. 삭제
  16. 트랜지스터 소자로서,
    채널 영역을 갖는 기판;
    상기 채널 영역 위의 게이트 전극 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 2E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -; 및
    금속-저마나이드 소스 및 드레인 컨택트들
    을 포함하고, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡(cap)을 포함하는 2중층 구성을 갖고, 상기 붕소 도핑된 실리콘 게르마늄 부분은 상기 기판과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 갖고, 상기 붕소 도핑된 게르마늄 캡은 95 원자 %를 초과하는 게르마늄 농도를 갖고, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 2E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖는, 트랜지스터 소자.
  17. 삭제
  18. 삭제
  19. 트랜지스터 소자로서,
    채널 영역을 갖는 기판;
    상기 채널 영역 위의 게이트 전극 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에 형성되고 상기 채널 영역에 인접한 소스 및 드레인 영역들 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 2E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -; 및
    금속-저마나이드 소스 및 드레인 컨택트들
    을 포함하고, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡(cap)을 포함하는 2중층 구성을 갖고, 상기 붕소 도핑된 실리콘 게르마늄 부분은 고정된 게르마늄 농도를 가지며, 상기 소자는 상기 붕소 도핑된 실리콘 게르마늄 부분과 상기 붕소 도핑된 게르마늄 캡 사이에 얇은 버퍼를 더 포함하고, 상기 버퍼는 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 2E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 가지며, 상기 버퍼는 100 옹스트롬(Angstrom) 미만의 두께를 갖는, 트랜지스터 소자.
  20. 트랜지스터 소자를 형성하기 위한 방법으로서,
    채널 영역을 갖는 기판을 제공하는 단계;
    상기 채널 영역 위에 게이트 전극을 제공하는 단계 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -;
    상기 기판 내에서 상기 채널 영역에 인접한 소스 및 드레인 영역들을 형성하는 단계 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -; 및
    상기 기판과 상기 붕소 도핑된 게르마늄층 사이에 버퍼를 제공하는 단계 - 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖음 -
    를 포함하는, 트랜지스터 소자 형성 방법.
  21. 삭제
  22. 제20항에 있어서, 상기 버퍼는 상기 기판과 호환되는 베이스 레벨 농도로부터 95 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도를 갖는, 트랜지스터 소자 형성 방법.
  23. 삭제
  24. 트랜지스터 소자를 형성하기 위한 방법으로서,
    채널 영역을 갖는 기판을 제공하는 단계;
    상기 채널 영역 위에 게이트 전극을 제공하는 단계 - 상기 게이트 전극과 상기 채널 영역 사이에는 게이트 유전체층이 제공되고 상기 게이트 전극의 측면들 상에는 스페이서들이 제공됨 -; 및
    상기 기판 내에서 상기 채널 영역에 인접한 소스 및 드레인 영역들을 형성하는 단계 - 상기 소스 및 드레인 영역들 각각은 상기 게이트 유전체층 및/또는 상기 스페이서들 중 대응하는 스페이서 아래로 연장되는 팁 영역을 포함하고, 상기 소스 및 드레인 영역들, 및 대응하는 팁 영역들은 50 원자 %를 초과하는 게르마늄 농도와 1E20 cm-3을 초과하는 붕소 농도를 갖는 붕소 도핑된 게르마늄층을 포함함 -;
    를 포함하고, 상기 붕소 도핑된 게르마늄층은 붕소 도핑된 실리콘 게르마늄 부분과 그 위의 붕소 도핑된 게르마늄 캡(cap)을 포함하는 2중층 구성을 갖고, 상기 붕소 도핑된 실리콘 게르마늄 부분은 고정된 게르마늄 농도를 가지며, 상기 방법은 상기 붕소 도핑된 실리콘 게르마늄 부분과 상기 붕소 도핑된 게르마늄 캡 사이에 버퍼를 제공하는 단계를 더 포함하고, 상기 버퍼는 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 50 원자 %를 초과하는 고농도까지 등급화된 게르마늄 농도와, 상기 붕소 도핑된 실리콘 게르마늄 부분과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖는, 트랜지스터 소자 형성 방법.
  25. 제24항에 있어서, 상기 붕소 도핑된 실리콘 게르마늄 부분은, 상기 기판과 호환되는 베이스 레벨 농도로부터 1E20 cm-3을 초과하는 고농도까지 등급화된 붕소 농도를 갖는, 트랜지스터 소자 형성 방법.
KR1020137016371A 2010-12-21 2011-12-07 고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터 KR101510029B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 2010-12-21
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
PCT/US2011/063813 WO2012087581A2 (en) 2010-12-21 2011-12-07 Transistors with high concentration of boron doped germanium

Publications (2)

Publication Number Publication Date
KR20130088188A KR20130088188A (ko) 2013-08-07
KR101510029B1 true KR101510029B1 (ko) 2015-04-08

Family

ID=46233263

Family Applications (12)

Application Number Title Priority Date Filing Date
KR1020197004261A KR102079356B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016072A KR101489611B1 (ko) 2010-12-21 2011-09-30 게르마늄 상부층 사전 콘택트 금속화를 이용한 콘택트 저항 감소
KR1020167036162A KR101784226B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 이용한 트랜지스터 소자, 반도체 소자 및 트랜지스터 소자를 형성하는 방법
KR1020157012018A KR101691115B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 포함하는 트랜지스터 소자 및 그 제조 방법
KR1020207016569A KR102168550B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020177027385A KR101949894B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016008A KR20130088179A (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020207004353A KR102123036B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016371A KR101510029B1 (ko) 2010-12-21 2011-12-07 고농도의 붕소 도핑된 게르마늄을 갖는 트랜지스터
KR1020137015944A KR20130111592A (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR1020177036360A KR101978085B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR1020157012262A KR101812389B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Family Applications Before (8)

Application Number Title Priority Date Filing Date
KR1020197004261A KR102079356B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016072A KR101489611B1 (ko) 2010-12-21 2011-09-30 게르마늄 상부층 사전 콘택트 금속화를 이용한 콘택트 저항 감소
KR1020167036162A KR101784226B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 이용한 트랜지스터 소자, 반도체 소자 및 트랜지스터 소자를 형성하는 방법
KR1020157012018A KR101691115B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화를 포함하는 트랜지스터 소자 및 그 제조 방법
KR1020207016569A KR102168550B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020177027385A KR101949894B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020137016008A KR20130088179A (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화
KR1020207004353A KR102123036B1 (ko) 2010-12-21 2011-09-30 트렌치를 통한 선택적 게르마늄 p―컨택트 금속화

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020137015944A KR20130111592A (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR1020177036360A KR101978085B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR1020157012262A KR101812389B1 (ko) 2010-12-21 2011-12-20 Pmos 집적을 위한 컬럼 iv 트랜지스터

Country Status (9)

Country Link
US (16) US8901537B2 (ko)
EP (9) EP2656393B1 (ko)
JP (7) JP5714721B2 (ko)
KR (12) KR102079356B1 (ko)
CN (8) CN105720091B (ko)
PL (1) PL3361512T3 (ko)
SG (4) SG191003A1 (ko)
TW (4) TWI544630B (ko)
WO (4) WO2012087404A1 (ko)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
RU2561625C2 (ru) 2011-04-15 2015-08-27 АДИТИА БИРЛА САЙЕНС энд ТЕКНОЛОДЖИ КО. ЛТД. Способ отделения и очистки сульфида натрия
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
BR112014009803B1 (pt) 2011-10-24 2021-07-06 Aditya Birla Nuvo Limited processo para produzir um negro de fumo modificado na superfície
US9006069B2 (en) 2011-12-19 2015-04-14 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
RU2635808C2 (ru) 2012-03-30 2017-11-16 Адитиа Бирла Сайенс Энд Текнолоджи Компани Лтд. Способ получения порошка технического углерода с пониженным содержанием серы
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN109216181A (zh) * 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
AU2014204024B2 (en) 2013-01-04 2017-10-12 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US8802512B2 (en) 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
US8940640B2 (en) 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
JP2014222723A (ja) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 電界効果型半導体装置及びその製造方法
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (ko) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) * 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
CN108807274B (zh) * 2013-09-27 2023-04-28 英特尔公司 在共同衬底上具有不同功函数的非平面i/o和逻辑半导体器件
CN108365002B (zh) * 2013-09-27 2021-11-30 英特尔公司 具有ⅲ-ⅴ族材料有源区和渐变栅极电介质的半导体器件
CN104681535B (zh) * 2013-11-29 2017-09-29 台湾积体电路制造股份有限公司 半导体器件的接触件结构
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
KR102216424B1 (ko) * 2013-12-18 2021-02-17 인텔 코포레이션 유효 게이트 길이를 증가시킴으로써 트랜지스터 채널에 걸쳐 게이트 제어를 개선하는 기술들
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9842928B2 (en) * 2013-12-23 2017-12-12 Intel Corporation Tensile source drain III-V transistors for mobility improved n-MOS
US10304956B2 (en) * 2013-12-27 2019-05-28 Intel Corporation Diffused tip extension transistor
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
KR102220590B1 (ko) 2014-02-21 2021-03-03 삼성전자주식회사 컨택을 포함하는 집적 회로 장치 및 이의 제조 방법
WO2015142357A1 (en) * 2014-03-21 2015-09-24 Intel Corporation Techniques for integration of ge-rich p-mos source/drain contacts
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) * 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
KR102206378B1 (ko) * 2014-06-13 2021-01-22 인텔 코포레이션 웨이퍼 본딩을 위한 표면 캡슐화
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
CN106463533B (zh) 2014-06-20 2021-09-28 英特尔公司 高电压晶体管和低电压非平面晶体管的单片集成
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
US11195798B2 (en) 2014-07-25 2021-12-07 Intel Corporation Tungsten alloys in semiconductor devices
KR102219295B1 (ko) * 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
WO2016022098A1 (en) * 2014-08-05 2016-02-11 Intel Corporation Apparatus and methods to create microelectronic device isolation by catalytic oxide formation
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (zh) * 2014-08-28 2020-06-02 联华电子股份有限公司 半导体元件及其制作方法
WO2016039733A1 (en) * 2014-09-09 2016-03-17 Intel Corporation Multi-gate high electron mobility transistors and methods of fabrication
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (zh) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 半導體元件、電子元件及電子元件端子結構
US10243080B2 (en) * 2014-12-19 2019-03-26 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
WO2016105348A1 (en) 2014-12-22 2016-06-30 Intel Corporation Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (ko) * 2015-03-16 2016-09-26 엘지전자 주식회사 전기제품, 전기제품의 펌웨어 업데이트 방법 및 네트워크 시스템
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
DE102015106397B4 (de) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9954107B2 (en) 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
EP3311418A4 (en) * 2015-06-19 2019-01-09 Intel Corporation REDUCTION OF RESISTANCE IN TRANSISTORS HAVING SOURCE / DRAIN REGIONS OBTAINED BY EPITAXIAL GROWTH
WO2016204782A1 (en) * 2015-06-19 2016-12-22 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US10103249B2 (en) * 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN106711214B (zh) * 2015-11-12 2023-08-22 蓝枪半导体有限责任公司 栅极全包覆式纳米线场效晶体管装置
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
WO2017099752A1 (en) * 2015-12-09 2017-06-15 Intel Corporation Stressors for compressively strained gan p-channel
US10079302B2 (en) * 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
KR102532202B1 (ko) 2016-01-22 2023-05-12 삼성전자 주식회사 반도체 소자
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (zh) 2016-05-12 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
JP6606020B2 (ja) * 2016-06-15 2019-11-13 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
CN109314137B (zh) * 2016-07-02 2023-06-02 太浩研究有限公司 带有释放的源极和漏极的半导体装置
US10128187B2 (en) * 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
KR102253546B1 (ko) * 2016-09-19 2021-05-18 어플라이드 머티어리얼스, 인코포레이티드 도핑된 게르마늄 형성 방법
JP6724685B2 (ja) * 2016-09-23 2020-07-15 住友電気工業株式会社 半導体装置
US10326019B2 (en) * 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
WO2018063192A1 (en) 2016-09-28 2018-04-05 Intel Corporation Transistors with lattice matched gate structure
US11004954B2 (en) 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
WO2018068221A1 (en) * 2016-10-12 2018-04-19 Boe Technology Group Co., Ltd. Thin film transistor, array substrate and display apparatus having the same, and fabricating method thereof
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
WO2018111628A1 (en) * 2016-12-12 2018-06-21 Applied Materials, Inc. Methods for silicide formation
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
US11605556B2 (en) 2017-03-30 2023-03-14 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10043893B1 (en) 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102379707B1 (ko) * 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10319855B2 (en) 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671673B (zh) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (ko) * 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2019093206A1 (ja) * 2017-11-09 2019-05-16 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109817525B (zh) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10777663B2 (en) * 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
CN108155101A (zh) * 2017-12-22 2018-06-12 中国科学院微电子研究所 一种堆叠纳米线及其制造方法
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (ko) 2018-03-21 2019-12-16 한국과학기술원 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10510865B2 (en) 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (ja) 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
TWI827644B (zh) * 2018-08-28 2024-01-01 日商索尼半導體解決方案公司 半導體裝置及其製造方法
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) * 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium
CN110634866B (zh) * 2019-09-05 2021-09-14 中国科学院微电子研究所 一种cmos晶体管、cmos晶体管的制备方法及电子设备
KR20210032845A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (zh) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 金属氧化物半导体器件及其制造方法
CN112466932A (zh) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 晶体管外延结构及其制备方法
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (zh) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 基于内嵌空腔soi衬底的多栅mos器件及其制备方法
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN115148799B (zh) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 Rf ldmos器件及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030057416A1 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20050130454A1 (en) * 2003-12-08 2005-06-16 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080242037A1 (en) * 2007-03-28 2008-10-02 Bernhard Sell Semiconductor device having self-aligned epitaxial source and drain extensions

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (ja) * 1991-12-26 1993-07-23 Toshiba Corp 半導体装置及びその製造方法
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (ja) * 1993-12-16 1995-07-04 Nec Corp 半導体装置およびその製造方法
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (ja) 1997-03-18 1998-09-29 Hitachi Ltd 半導体装置およびその製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
JP2005183160A (ja) 2003-12-19 2005-07-07 Jst Mfg Co Ltd 雌コンタクト
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
CN100490180C (zh) * 2004-10-04 2009-05-20 松下电器产业株式会社 纵向场效应晶体管及其制造方法
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (de) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8811915B2 (en) * 2005-03-04 2014-08-19 Psion Inc. Digital wireless narrow band radio
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
KR100733419B1 (ko) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 내부전원 생성장치
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
DE102005051994B4 (de) 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
WO2007053381A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4536001B2 (ja) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 透光壁
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
KR20080096791A (ko) * 2006-01-25 2008-11-03 엔엑스피 비 브이 장벽 영역을 포함하는 트랜지스터
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP4960007B2 (ja) * 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
JP5130648B2 (ja) 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5100137B2 (ja) 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5018473B2 (ja) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5317483B2 (ja) 2008-01-29 2013-10-16 株式会社東芝 半導体装置
WO2009101944A1 (ja) * 2008-02-14 2009-08-20 Sharp Kabushiki Kaisha 半導体素子及び微細構造体配置基板の製造方法並びに表示素子
JP2009200090A (ja) 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (ja) 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (zh) * 2010-03-03 2014-09-11 Huang Chung Cheng 奈米結構的製造方法及奈米結構於三維結構之應用
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030057416A1 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20050130454A1 (en) * 2003-12-08 2005-06-16 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080242037A1 (en) * 2007-03-28 2008-10-02 Bernhard Sell Semiconductor device having self-aligned epitaxial source and drain extensions

Also Published As

Publication number Publication date
WO2012087404A1 (en) 2012-06-28
US20190259835A1 (en) 2019-08-22
CN106684148A (zh) 2017-05-17
US10304927B2 (en) 2019-05-28
TWI544630B (zh) 2016-08-01
JP2017135399A (ja) 2017-08-03
US20120153387A1 (en) 2012-06-21
EP2656393A1 (en) 2013-10-30
US20170373147A1 (en) 2017-12-28
KR101949894B1 (ko) 2019-02-20
CN103270598A (zh) 2013-08-28
CN105826390B (zh) 2021-05-25
US10879353B2 (en) 2020-12-29
US20160322359A1 (en) 2016-11-03
KR102079356B1 (ko) 2020-02-19
US9437691B2 (en) 2016-09-06
CN103270599A (zh) 2013-08-28
JP2014504453A (ja) 2014-02-20
EP3312886A1 (en) 2018-04-25
US11387320B2 (en) 2022-07-12
KR20130111595A (ko) 2013-10-10
KR20200018740A (ko) 2020-02-19
CN105720091B (zh) 2019-06-28
EP3588579A1 (en) 2020-01-01
CN105720091A (zh) 2016-06-29
CN103329274A (zh) 2013-09-25
CN105932063A (zh) 2016-09-07
EP3361512A1 (en) 2018-08-15
US20200144362A1 (en) 2020-05-07
TW201701481A (zh) 2017-01-01
US20160372547A1 (en) 2016-12-22
US11508813B2 (en) 2022-11-22
KR101489611B1 (ko) 2015-02-04
SG190998A1 (en) 2013-07-31
EP2656389A4 (en) 2014-09-10
US20150060945A1 (en) 2015-03-05
US20200127091A1 (en) 2020-04-23
TWI643342B (zh) 2018-12-01
KR102168550B1 (ko) 2020-10-21
CN103270597B (zh) 2016-06-22
JP2020074389A (ja) 2020-05-14
KR20130088179A (ko) 2013-08-07
US20170221724A1 (en) 2017-08-03
KR20180005251A (ko) 2018-01-15
US20130240989A1 (en) 2013-09-19
CN105932063B (zh) 2019-12-17
EP2656393A4 (en) 2014-09-10
PL3361512T3 (pl) 2021-04-19
KR101784226B1 (ko) 2017-10-11
EP2656391B1 (en) 2018-08-22
KR20150058546A (ko) 2015-05-28
TW201242022A (en) 2012-10-16
JP2014508396A (ja) 2014-04-03
JP6329294B2 (ja) 2018-05-23
TWI690084B (zh) 2020-04-01
US20150333180A1 (en) 2015-11-19
WO2012087403A1 (en) 2012-06-28
KR101691115B1 (ko) 2016-12-30
CN103270598B (zh) 2016-06-15
JP5714721B2 (ja) 2015-05-07
EP2656392A2 (en) 2013-10-30
US10090383B2 (en) 2018-10-02
US20180342582A1 (en) 2018-11-29
KR20190018755A (ko) 2019-02-25
KR20130111592A (ko) 2013-10-10
KR20130088188A (ko) 2013-08-07
US20130264639A1 (en) 2013-10-10
JP2014507792A (ja) 2014-03-27
US20210005712A1 (en) 2021-01-07
CN103270597A (zh) 2013-08-28
EP2656393B1 (en) 2020-01-01
SG191005A1 (en) 2013-07-31
US20200287011A1 (en) 2020-09-10
KR20170000404A (ko) 2017-01-02
JP5714722B2 (ja) 2015-05-07
US9627384B2 (en) 2017-04-18
SG191004A1 (en) 2013-07-31
EP2656389B1 (en) 2019-07-10
WO2012087581A3 (en) 2012-09-07
WO2012088097A2 (en) 2012-06-28
US9349810B2 (en) 2016-05-24
CN103270599B (zh) 2016-08-03
EP2656391A4 (en) 2014-09-10
US10553680B2 (en) 2020-02-04
US20220271125A1 (en) 2022-08-25
KR20200070434A (ko) 2020-06-17
WO2012088097A3 (en) 2012-10-26
KR20150058552A (ko) 2015-05-28
EP2656391A2 (en) 2013-10-30
US8901537B2 (en) 2014-12-02
SG191003A1 (en) 2013-07-31
EP3582265A1 (en) 2019-12-18
JP2014501452A (ja) 2014-01-20
US9117791B2 (en) 2015-08-25
EP3726588A1 (en) 2020-10-21
EP2656389A1 (en) 2013-10-30
CN103329274B (zh) 2016-03-23
EP3361512B1 (en) 2020-09-02
KR101812389B1 (ko) 2017-12-26
US10811496B2 (en) 2020-10-20
KR102123036B1 (ko) 2020-06-15
TW201946283A (zh) 2019-12-01
US11251281B2 (en) 2022-02-15
JP2018113484A (ja) 2018-07-19
US9722023B2 (en) 2017-08-01
TW201824567A (zh) 2018-07-01
CN105826390A (zh) 2016-08-03
EP2656392A4 (en) 2014-09-10
KR101978085B1 (ko) 2019-05-13
CN106684148B (zh) 2020-12-01
JP5732142B2 (ja) 2015-06-10
WO2012087581A2 (en) 2012-06-28
TWI756520B (zh) 2022-03-01
KR20170116200A (ko) 2017-10-18

Similar Documents

Publication Publication Date Title
US11387320B2 (en) Transistors with high concentration of germanium
US11476344B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization
JP5198478B2 (ja) 自己整合エピタキシャルソース及びドレイン張り出し部を有する半導体デバイス
KR101751584B1 (ko) 콘택 저항 감소 기법
US9917191B2 (en) Semiconductor devices and methods of manufacture thereof
KR101380984B1 (ko) 자가-정렬된 에피텍셜 소스 및 드레인을 갖는 다중 게이트 반도체 디바이스
US8999794B2 (en) Self-aligned source and drain structures and method of manufacturing same
CN103137488B (zh) 半导体器件及其制造方法
US6806534B2 (en) Damascene method for improved MOS transistor
US7652336B2 (en) Semiconductor devices and methods of manufacture thereof
US20070108514A1 (en) Semiconductor device and method of fabricating the same
US20090035911A1 (en) Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
US20110303951A1 (en) Semiconductor device and method of fabricating the same
US20060199343A1 (en) Method of forming MOS transistor having fully silicided metal gate electrode
KR102501422B1 (ko) 반도체 디바이스 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 5