JP2014508396A - トランジスタ装置、集積回路及び製造方法 - Google Patents

トランジスタ装置、集積回路及び製造方法 Download PDF

Info

Publication number
JP2014508396A
JP2014508396A JP2013546324A JP2013546324A JP2014508396A JP 2014508396 A JP2014508396 A JP 2014508396A JP 2013546324 A JP2013546324 A JP 2013546324A JP 2013546324 A JP2013546324 A JP 2013546324A JP 2014508396 A JP2014508396 A JP 2014508396A
Authority
JP
Japan
Prior art keywords
germanium
source
liner
cap
concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013546324A
Other languages
English (en)
Other versions
JP5714722B2 (ja
Inventor
エー. グラス,グレン
エス. マーシー,アナンド
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2014508396A publication Critical patent/JP2014508396A/ja
Application granted granted Critical
Publication of JP5714722B2 publication Critical patent/JP5714722B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

高濃度のゲルマニウムのソース/ドレイン領域を有し、従来の装置と比較して低い規制抵抗を示す第IV列トランジスタ装置を形成する技術が開示される。一実施形態では、ソース/ドレイン領域の各々は薄いp型シリコン又はゲルマニウム又はSiGeの堆積部を含み、ソース/ドレイン材料堆積部の残りはp型ゲルマニウム又はゲルマニウム合金(例えば、ゲルマニウム:スズ又は他の適切な歪み誘起部であり、少なくとも80原子%のゲルマニウム成分と20原子%未満の他の成分とを有する)である。この場合、歪み緩和効果がゲルマニウムリッチキャップ層で確認され、ミスフィット転移及び/又は貫通転移及び/又はツインズを含む。プレーナ及び非プレーナトランジスタ構造(例えば、FinFET及びナノワイヤトランジスタ)の双方だけでなく、歪みのある又は歪みのないチャネル構造も含む様々なトランジスタ構造を使用することができる。

Description

開示される実施の形態はトランジスタ装置、集積回路及び製造方法等に関連する。
トランジスタ、ダイオード、レジスタ、キャパシタ及びその他の半導体基板上に形成される受動素子及び能動素子を含む回路装置の向上しつつあるパフォーマンスは、通常、それらの装置の設計、製造及び動作における主要な関心事項である。例えば、相補型金属酸化物半導体(CMOS)に使用されるもののような金属酸化物半導体(MOS)トランジスタ半導体装置の設計及び製造又は作成の際に、接触に付随する規制抵抗或いは外部抵抗Rextとして知られているものを最小化することがしばしば望ましい。Rextが減少すると、同じトランジスタ設計により大きな電流を流すことができる。
米国特許出願公開第2005−0145944号明細書 米国特許出願公開第2007−0004123号明細書 米国特許出願公開第2010−0109044号明細書
開示される実施の形態の課題は、改善されたトランジスタ装置、集積回路及びトランジスタ装置の製造方法等を提供することである。
実施の形態によるトランジスタ装置は、
チャネル領域を有する基板と、
前記チャネル領域上にあるゲート電極と、
前記基板の上又は中で前記チャネル領域に隣接して形成されたソース及びドレイン領域であって、前記ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとによる合計厚みを有し、前記ライナは前記合計厚みのうち50%未満を占める、ソース及びドレイン領域と
を有するトランジスタ装置である。
ソース及びドレインチップ領域を含む一般的なMOSトランジスタの抵抗素子を概略的に示す図。 本発明の実施の形態による第IV列トランジスタの製造方法を示す図。 本発明の様々な実施の形態に関する図2の製造方法を実行する場合に形成される構造を示す図。 本発明の様々な実施の形態に関する図2の製造方法を実行する場合に形成される構造を示す図。 本発明の様々な実施の形態に関する図2の製造方法を実行する場合に形成される構造を示す図。 本発明の様々な実施の形態に関する図2の製造方法を実行する場合に形成される構造を示す図。 本発明の様々な実施の形態に関する図2の製造方法を実行する場合に形成される構造を示す図。 本発明の様々な実施の形態に関する図2の製造方法を実行する場合に形成される構造を示す図。 本発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 本発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 本発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 本発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 本発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 本発明の一実施形態により形成されるFinFETトランジスタ構造の斜視図。 本発明の実施形態により形成されるナノワイヤトランジスタ構造の斜視図。 本発明の実施形態により形成されるナノワイヤトランジスタ構造の斜視図。 本発明の実施の形態による1つ以上のトランジスタ構造と共に実現されたコンピュータ装置を示す図。
理解されるように、図面は必ずしも寸法を描いているわけではなく、図面は特許請求の範囲を特定の図示されている形態に限定するようには意図されていない。例えば、通常、図面は直線、直角及び滑らかな曲面を示しているが、使用される処理装置及び方法に関する実際上の制約により、トランジスタ構造の実際の形態は完全な直線及び/又は直角ではないかもしれないし、何らかの特徴部分は表面トポロジを有する又は滑らかでないかもしれない。すなわち、図面は例示的な構造を示しているに過ぎない。
高濃度のゲルマニウムのソース/ドレイン領域を有し、従来の装置と比較して低い規制抵抗を示す第IV列トランジスタ装置を形成する技術が開示される。一実施形態では、トランジスタ構造となるソース/ドレイン領域の各々は薄いp型シリコン又はゲルマニウム又はシリコンゲルマニウム(SiGe)のライナ層(liner layer)を含み、残りのソース/ドレイン材料部はp型ゲルマニウム又はゲルマニウム合金であり、ゲルマニウム合金は、例えば、少なくとも80原子%のゲルマニウム成分と20原子%未満の他の成分{例えばスズ及び/又はその他の適切な歪誘起材料(strain inducer)}を有する。この場合、歪み緩和効果(evidence of strain relaxation)が、ミスフィット転移(misfit dislocation)及び/又は貫通転移(threading dislocation)を含むゲルマニウムリッチキャップ層で確認される。プレーナ及び非プレーナトランジスタ構造(例えば、FinFET及びナノワイヤトランジスタ)の双方だけでなく、歪みのあるチャネル構造(strained channel structure)又は歪みのないチャネル構造(unstrained channel structure)も含む様々なトランジスタ構造及び適切な製造工程が、本願による開示内容により明らかになるであろう。本技法はp型MOS(PMOS)装置を実現するのに特に適しているが、他のトランジスタ構造にも有利である。
<概要>
上述したように、トランジスタにおいて駆動電流を増加させることは、概して、装置の外部抵抗Rextを減らすことで達成できる。しかしながら、図1を参照すれば分かるように、PMOSトランジスタのパフォーマンスは、装置内部の様々な素子の抵抗に依存する(抵抗の関数である)。チャネル抵抗R1は、チャネル内の圧縮歪み(compressive strain)の関数であるキャリア移動度により制御できる。装置の外部抵抗Rextは、チップ抵抗R2(チップ領域(tip region)はソース/ドレイン拡張部とも言及される)、ソース/ドレイン抵抗R3及び接触抵抗R4(金属と半導体との間の抵抗)を含む。これらの区分けされた全ての抵抗は、材料成分(例えば、インタフェース、キャリア濃度及び移動度に関するエネルギ障壁)と、形状成分(例えば、長さ、幅等)と、動的な電気負荷成分(電流集中成分(current crowding))とを有する。
本発明の一実施例によれば、ソース/ドレイン領域内に通常存在するシリコン又はSiGe合金材料を、p型の薄いライナ(liner)及び高濃度のゲルマニウム(非常に高いp型のドーピング濃度を有する)で置換することで、外部抵抗成分(R2、R3及びR4)を最小化する。更に、高い圧縮歪みを有する高圧縮歪材料を導入することで、チャネルホール移動度が最大化する又は増加し、その結果チャネル抵抗(R1)を下げる。チャネル抵抗、チップ抵抗、ソース/ドレイン抵抗及び接触抵抗が減少した正味の効果は、所与の電圧(閾電圧Vtを上回る電圧V-Vt)に対する改善されたトランジスタ電流をもたらす。
実施の形態では、薄いライナはp型ドーピングシリコン又はゲルマニウム又はSiGe合金であり、通常、ソース/ドレイン堆積層の厚み全体の50%未満の厚みである。残りのソース/ドレイン堆積層の厚みは、通常、ソース/ドレイン堆積層全体の厚みの50%より厚く、例えば、ゲルマニウム:スズ又はゲルマニウム:スズ:xのようなp型ドーピングゲルマニウム又はゲルマニウム合金であってもよく(xは例えばシリコン又はその他の僅かな成分又はプロセス/拡散の結果物である)、少なくとも80原子%のゲルマニウムと20原子%又はそれ未満の他の成分とを有する(例えば、スズ及び/又は何らかの適切な他の歪誘起材料(strain inducer)及び/又は他の僅かな意図的でない成分である)。そのような特定の実施の形態の場合、ソース/ドレインのライナと高濃度のゲルマニウムキャップとの厚みの比率は約1:5又はそれ未満であってもよい(その場合、ライナはソース/ドレイン堆積層全体の厚みの約20%又はそれ未満に及ぶ)。そのような実施の形態の場合、その厚みのライナは単分子層を1つ又は複数層含む。
本技法は任意の装置及びシステムにおけるトランジスタ装置を製造するために使用できる。一実施形態において、n型MOS(NMOS)及びp型MOS(PMOS)トランジスタの双方を有するCMOS装置のような装置は、選択的に様々な方法で実現できる。例えば一実施形態では、PMOS堆積行程の間NMOS領域をマスクすることで、NMOSソース/ドレインの場所に堆積が行われることを回避できる。別の実施形態では、選択性は自然選択性(natural selectivity)を含んでもよい。例えば、ホウ素がドーピングされたゲルマニウムはp型SiGe(又はシリコン)ソースドレイン領域で成長するが、それは二酸化シリコン(SiO2)又は窒化シリコン(SiN)のような絶縁層表面では成長しない;或いはn型領域において露出した高濃度にリンがドーピングされたシリコン上でも成長しない。
本願により提供される技法は、任意のトランジスタ構造及び製造工程における装置抵抗を改善するために使用可能であり、任意のトランジスタ構造等は、プレーナ構造、フラッシュ又はレイズドソース/ドレイン(raised source/drain)、非プレーナ構造(例えば、二重ゲート及び三重ゲートトランジスタ構造のようなナノワイヤトランジスタ及びフィン状トランジスタ(finned transistor))だけでなく、歪チャネル構造及び非歪チャネル構造も含む。ソース/ドレイン領域は、凹部又はリセス部(例えば、エッチングプロセスを用いて形成される)又は窪んでいない領域(例えば、基板の表面上に形成される)であってもよい。更に、トランジスタ装置はソース及びドレインチップ領域(source and drain tip regions)を選択的に含み、ソース及びドレインチップ領域は、例えば、トランジスタの全体的な抵抗を下げる一方、ショートチャネル効果(Short Channel Effect:SCE)を改善ように設計されるが、そのようなチップ領域は必須ではない。トランジスタ装置は任意の数のゲート構造を更に含んでもよく、そのようなゲート構造はポリゲート、高誘電率金属ゲート又は高k誘電体金属ゲート(high-k dielectric metal gate)、置換金属ゲート(RMG)プロセスゲート又は他の任意のゲート構造等である。本願で説明されるような低抵抗トランジスタの技法と共に構造的特徴をいくつでも使用することができる。
ゲートラインに垂直な透過電子顕微鏡(TEM)断面又は二次イオン質量分析計(SIMS)プロファイルは、構造内部のゲルマニウム濃度を示すために使用可能である。なぜなら、実施の形態において、シリコン及びSiGeのエピタキシャル合金の特性又はプロファイルは、高濃度のゲルマニウムの特性と容易に区別できるからである。そのようなシリコン含有基板の場合、通常の条件を止めて歪のある(転移のない)ソース/ドレイン領域を維持することで、ソース/ドレイン充填材料とシリコンチャネルとの間の格子構造の相違は、ゲルマニウムのみの場合より少なくとも2X倍増加し、ゲルマニウムとスズの合金の場合よりも増加する。ゲルマニウムリッチなキャップ層内に転移がある場合、100%ではないが歪はチャネルに移動することが可能であり、後堆積熱処理を用いて、歪SiGe制御(strained SiGe control)に対する(本願で説明されているような)緩和膜(relaxation layer)の場合でさえ適切なトランジスタパフォーマンス(所与のV-Vtにおける電流)ゲインを提供する。理解されるように、一般的に「緩和relaxed」は、膜がミスフィット転移(misfit dislocation)を有してよいことを意味するが、転移の形成及び伝搬を含むプラスチック緩和機構(plastic relaxation mechanism)をも含む。弾性緩和(elastic relaxation)は、FinFET(例えば、トライゲート(tri-gate))及びナノワイヤ構造のような非プレーナ構造で可能になりつつあり、その場合、歪材料(strained material)は基板によって完全には拘束されていない。従ってその代わりに格子定数が基板と独立に拡張又は一定に保持されるように柔軟であり、このプロセスはミスフィット転移の形成及び伝搬を必要としない。本願における以下の説明において、「緩和」という用語は、プラスチック緩和の意味で使用されており、弾性緩和の意味では使用されていない。スズ又は他の適切な歪有機材料を利用して上述したような高濃度のゲルマニウムキャップを合金化することは、選択的に、チャネル領域内の歪を増やし、これにより図1の抵抗R1の低減により装置の全体的な抵抗を更に減らすために使用することができる。以下に説明するように、欠陥のない純粋なゲルマニウムが望ましいかもしれないが、例えばシリコン基板或いは50原子百分率(原子%)のゲルマニウムを有するSiGe基板でさえその上に堆積する際に欠陥無しに成長させることは困難である。しかしながら、留意すべきことに、典型的な完全に歪んだSiGe層といくらかの欠陥を有するゲルマニウムリッチ層(例えば、ミスフィット転移及び貫通転移を有する)との特性又はパフォーマンスが匹敵していた場合、欠陥のあるゲルマニウムリッチ層は良好に機能するようになる。理解されるように、この結果は、薄膜に関する従来の理解を超えているので、通常の直感によっては得られない。何れにせよ、本発明の一実施形態は、ミスフィット転移、貫通転移及びツインズ(twins)(双正面(twin plane)に関する格子方向の変化に起因する欠陥)のような結晶特性に欠陥を有するゲルマニウムリッチキャップを有するが、他の実施形態はそのような特徴を1つ以上有するゲルマニウムリッチキャップを含んでもよい。
<アーキテクチャ及び製造方法>
図2は本発明の実施の形態による第IV列トランジスタの製造方法を示す。図3Aないし3Fは様々な実施の形態に関する図2の方法を実行する場合に形成される構造の一例を示す。そのようなトランジスタの1つ以上は、例えば、プロセッサ又は通信チップ又はメモリチップを製造する際に形成される。そのような集積回路は様々な電子装置及びシステムで使用可能である。
本方法例は、MOS装置が形成されることになる半導体基板に1つ以上のゲートスタックを形成するステップ202を含む。MOS装置は例えばPMOSトランジスタ、或るいはNMOS及びPMOSトランジスタの双方(例えば、CMOS装置の場合)を含んでもよい。図3Aはその結果形成される構造の一例を示し、この場合、その構造は基板300に形成されたPMOSトランジスタを含む。図示されているように、ゲートスタックがチャネル領域に形成され、ゲート誘電体層302、ゲート電極304及び選択的なハードマスク306を含む。ゲートスタックに隣接してスペーサ310が形成される。
ゲート誘電体層302は、例えば、二酸化シリコン(SiO2)又はハイkゲート誘電体材料(high-k gate dielectric material)のような適切な如何なる酸化物であってもよい。ハイkゲート誘電体材料は、例えば、ハフニウム酸化物、ハフニウムシリコン酸化物、ランタン酸化物、ランタンアルミ酸化物、ジルコニウム酸化物、ジルコニウムシリコン酸化物、タンタル酸化物、チタニウム酸化物、バリウムストロンチウムチタニウム酸化物、バリウムチタニウム酸化物、ストロンチウムチタニウム酸化物、イットリウム酸化物、アルミ酸化物、鉛スカンジウムタンタル酸化物、鉛亜鉛ナイオベート等である。一実施形態において、kが高い材料が使用される場合、品質を改善するようにゲート誘電体層302についてアニール処理又はアニールプロセスが実行されてもよい。特定の一実施形態では、kが高い誘電体層302は5オングストロームないし100オングストロームの範囲内の厚み(例えば、10オングストローム)を有してもよい。別の実施形態では、ゲート誘電体層302は酸化物材料の1つの単分子層の厚みを有してもよい。一般に、ゲート誘電体層302の厚みは、ソース及びドレインコンタクトからゲート電極304を電気的に絶縁する程度に十分であるべきである。一実施形態では、高k材料の品質を改善するアニール処理のような追加的な処理が、高kゲート誘電体層302について実行されてもよい。
ゲート電極304の材料は、例えば、ポリシリコン、シリコンナイトライド、シリコンカーバイト又は金属層(例えば、タングステン、チタニウムナイトライド、タンタル、タンタルナイトライド)等であってもよいが、適切な他のゲート電極が使用されてもよい。ゲート電極304の材料は、置換金属ゲート(replacement metal gate:RMG)プロセスのために後で除去される犠牲材料であってもよく、ゲート電極304の材料は一実施形態では約10オングストロームないし500オングストロームの範囲内の厚み(例えば、100オングストローム)を有する。
選択的なゲートハードマスク層306は、以後のエッチング及び/又はイオン注入プロセスの最中にゲート電極304を保護すること等のような何らかの利点又は用途を処理の際に提供するために使用できる。ハードマスク装置306は、二酸化シリコン、シリコンナイトライド及び/又は他の一般的な絶縁材料等のような一般的なハードマスク材料を用いて形成されてもよい。
ゲートスタックは通常行われるように或いは適切なカスタム化された何らかの技法を用いて形成できる(何らかの技法は、例えば、ゲート電極及びゲート誘電体層の一部をエッチングし、図2Aに示されているようなゲートスタックを形成する一般的なパターニングプロセスである)。ゲート誘電体302及びゲート電極304の材料の各々は例えば従来の堆積処理又はデポジションプロセスを用いて形成されてもよく、堆積処理は例えば化学蒸着堆積又はケミカルベーパーデポジション(CVD)、原子層デポジション(ALD)、スピンオンデポジション(SOD)、物理蒸着堆積又はフィジカルベーパーデポジション(PVD)等である。代替的な堆積技法が使用されてもよく、例えば、ゲート誘電体302及びゲート電極304の材料は熱処理により成長させられてもよい。本願による開示内容を参照することで、本発明の実施の形態を実現するために任意の他の適切な材料、形状及び処理行程を使用して、本願で説明されているような低抵抗のトランジスタ装置又は構造を提供することが可能である
スペーサ310は、例えば、シリコン酸化物、シリコンナイトライド又はその他の適切なスペーサ材料を用いて形成されてもよい。スペーサ310の幅は、一般に、形成されるトランジスタの設計条件に基づいて選択される。しかしながら、実施の形態では、スペーサ310の幅は、ソース/ドレインチップ領域において十分に高いp型にドーピングされたゲルマニウム材料(例えば、ホウ素がドーピングされたゲルマニウム)又はSiGe合金ライナに関し、ソース及びドレインチップ領域を形成することにより課せられる設計制約に委ねられていない。
バルク基板、絶縁膜上半導体(semiconductor-on-insulator)(XOI、Xはシリコン、ゲルマニウム又はゲルマニウムリッチシリコンのような半導体材料である)及び多層構造を含む適切な任意の基板(フィン又はナノワイヤが以後のゲートパターニングプロセスに先行して形成することが可能な基板を含む)が、基板300を実現するために使用されてもよい。特定の実施形態の場合、基板300はゲルマニウム又はシリコン又はSiGeバルク基板、又はゲルマニウム又はシリコン又はSiGeが絶縁基板上にあるXOIである。基板300を形成する材料の僅かな例が説明されているが、低抵抗の半導体装置を構築する際の基礎として機能する適切な他の材料も本発明の範囲及び精神に包含される。
図3Aを更に参照するに、1つ以上のゲートスタックが形成された後、本方法は何らかの選択的なプロセスに続き、選択的なプロセスは、実施の形態の場合、トランジスタ構造のソース/ドレイン領域をエッチングするステップ204と、その構造の何らかのNMOSソース/ドレイン領域のマスク(存在する場合)を外すステップ206とを含む。理解されるように、ソース/ドレイン領域は必ずしも窪ませたりエッチングされる必要はない。その場合、ソース/ドレイン材料は如何なるエッチングも行うことなく基板300上に形成できる。実施の形態では、そのような窪んでいないソース/ドレイン領域はチャネル抵抗に影響を及ぼさない一方、薄いライナ及び高いゲルマニウムコンテンツキャップを有する二重層ソース/ドレイン構造が形成され、低い接触抵抗をもたらす。更に理解されるように、全ての実施の形態がn型領域を含むわけではない。例えば、一実施形態において製造される回路はPMOS装置のみを含んでいてもよい。そのような例の場合、マスクを外すn型ソース/ドレイン領域は存在しないことになる。n型領域が存在する場合、p型処理の最中にn型領域を保護するために適切な何らかのマスク処理が使用される。
ソース/ドレイン領域がエッチングされる実施形態の場合、図3Aに適切に示されているように、ソース/ドレインキャビティ312/314が形成される。キャビティはソース/ドレイン領域の場所を適切に規定している。更に、図示されているように、ソース/ドレインキャビティ312/314を提供するだけでなく、ゲート誘電体302の下を除去する各自のチップ領域312A/314Aをも提供するように基板300はエッチングされている。キャビティ312/314及び各自のチップ領域312A/314Aは、適切な任意のプロセスを用いて通常行われるように形成されてもよい。一例の場合、これはゲートスタックに隣接する基板300の部分を高濃度にドーピングするイオン注入処理に続いて、基板300内のドーパントを活性化し、注入されたソース/ドレイン領域のエッチングレートを改善するアニール処理を行うことを含む。基板300のドーピングされた領域をエッチングし、キャビティ312/314及び各自のチップ領域312A/314Aを形成するためにドライエッチプロセスが使用されてもよい。ドライエッチプロセスが完了した後に、例えばキャビティ312/314及び各自のチップ領域312A/314Aを洗浄しかつ更にエッチングするために、ウェットエッチが使用されてもよい。通常の又は特化された(カスタム化された)ウェットエッチ化学処理を利用して実行されるそのようなウェットエッチングは、炭素又はカーボン、フッ素、クロロフルオロカーボン及びシリコン酸化物のような酸化物等のような汚染物質又は混入物質を除去し、以後の処理が実行される表面を洗浄するために使用されてもよい。更に、単結晶シリコン基板を仮定すると、<111>及び<001>の結晶面に沿って基板の薄い部分を除去し、高品質のエピタキシャルデポジションが行われる滑らかな表面を提供するために、ウェットエッチングが使用されてもよい。一例では、エッチングされる基板300の薄い部分は、例えば高々5nmの厚みであり、残留汚染物質を除去する。一般に、ウェットエッチングは、キャビティ312/314のエッジ及び各自のチップ領域312A/314Aを、<111>及び<001>の結晶面に従わせる。
図2を更に参照するに、本方法は、p型ソース/ドレイン領域にp型シリコン又はゲルマニウム又はSiGeライナ313/315を堆積するステップ208の後に、p型ソース/ドレイン領域におけるライナ313/315上にp型ゲルマニウム又はゲルマニウム合金を堆積するステップ210を行う処理に続く。これらの堆積する処理の各々は例えば選択的エピタキシ堆積を用いて実行されてもよいが、適切な任意の堆積処理が使用されてもよい。図3Bに示されているように、p型シリコン又はゲルマニウム又はSiGeライナ313/315は、キャビティ312/314及びチップ領域312A/314A内に堆積される。更に、図3Cに示されているように、キャビティ312/314及びチップ領域312A/314Aは、p型ライナ313/315上にp型ゲルマニウム又はゲルマニウム合金の厚いキャップ層を設けるように材料が満たされる。p型ドーパントの具体例は、たとえば、ホウ素、ガリウム又は適切な他の任意のp型ドーパント又は複数のドーパントを含み、理解されるように本発明は何らかの特定のものに限定されない。
基板300がシリコン又はSiGeバルク基板、又は絶縁基板上半導体(XOI、Xはシリコン又はSiGeである)である特定の実施形態の場合、各自のチップ領域312A/314Aに沿うソース/ドレイン領域312A/314は、ホウ素がドーピングされたシリコン又はSiGeでその場で満たされ、関連するライナ313/315を形成し、その後にキャップ318/320を形成するようにホウ素がドーピングされたゲルマニウム又はゲルマニウムリッチ合金でその場で満たされる。基板300がゲルマニウムバルク基板又は絶縁基板上ゲルマニウム(XOI)である別の実施形態の場合、各自のチップ領域312A/314Aに沿うソース/ドレイン領域312A/314Aは、ホウ素がドーピングされたゲルマニウムでその場で満たされ、これにより対応するライナ313/315を形成し、更にキャップ318/320を提供するようにホウ素がドーピングされたゲルマニウムリッチ合金(例えば、ゲルマニウム:錫)でその場で満たされる。本願から理解されるように、キャップ318/320及びライナ313/315のゲルマニウム及びp型ドーパントの濃度は、基板300の組成又は構成、格子整合/コンパチビリティ(compatibility)のための傾斜濃度を利用しているか否か(例えば、濃度を或る勾配又は傾斜で徐々に変化させていること)、ソース/ドレイン堆積全体の全体的な所望の厚み等のような要因に大きく依存する。本願から理解できるように、多数の材料系及びp型ドーピング構成が利用可能である。
例えば、シリコン又はゲルマニウム又はSiGe基板を使用する一実施形態の場合、ライナ313/315のゲルマニウム濃度は、20原子%ないし100原子%の範囲内であってもよく、ホウ素の濃度は1E20cm-3ないし2E21cm-3の範囲内であってもよい。下地のシリコン含有基板との格子不整合を避けるため、実施の形態では、ライナ313/315のゲルマニウム濃度は(或る勾配又は傾斜で)徐々に変化している。そのような実施形態の場合、例えば、ライナ313/315は、下地のシリコン又はSiGe基板300と整合する基準濃度レベルから100原子%に至るまで徐々に変化するゲルマニウム組成と共に徐々に変化するホウ素がドーピングされたSiGe層であってもよい(100原子%については、ほぼ100原子%であってもよく、例えば90原子%を超えるもの、すなわち95原子%或いは98原子%であってもよい)。そのような特定の実施形態の場合、ゲルマニウム濃度は40原子%又はそれ未満から98原子%を超えるまでの範囲内にある。ライナ313/315内のホウ素濃度は、例えば高いレベルに固定されていてもよいし、或いは徐々に変化していてもよい。例えば、ライナ内のホウ素濃度は、基準濃度又は下地の基板300と整合する濃度から、所望の高い濃度まで徐々に変化してもよい(高い濃度は、例えば1E20cm-3を超える濃度、2E20cm-3を超える濃度、又は5E20cm-3を超える濃度であってもよい)。そのような実施形態の場合、ホウ素がドーピングされたゲルマニウムキャップ318/320は、例えば2E20cm-3を超える濃度、2E21cm-3を超える濃度又はそれ以上のような1E20cm-3を超えるホウ素濃度を有する。キャップ318/320のこのホウ素濃度は、ライナ313/315に関して説明したのと同様に徐々に変化してもよい。より一般的には、ホウ素濃度は、本願から理解できるように、所望の導電率をもたらすように調整される。キャップ318/320のゲルマニウム濃度は、例えば100原子%に固定されていてもよい。或いは、キャップ318/320のゲルマニウム濃度は低濃度から高濃度に徐々に変化し(例えば、20原子%から100原子%まで変化し)、本願から理解されるように、キャップ318/320のゲルマニウムの所望のピーク濃度とライナ313/315との間の格子不整合を補償する。更に別の実施形態では、キャップ318/320はゲルマニウム合金と共に実施され、高々80原子%のゲルマニウムと高々20原子%の合金材料(一実施形態では、錫)とが混合される。理解されるように、錫の濃度(又は他の合金材料の濃度)も徐々に変化していてもよいことに留意を要する。そのような場合、キャップ318/320内の3ないし8原子%の範囲内で錫の濃度変化と共にチャネル歪が増加する(キャップ318/320のバランス原子パーセンテージは実質的にゲルマニウム及び任意の(濃度が徐々に変化している)傾斜材料による)。緩和(relaxation)によらず、格子定数は、依然として比較的大きくかつ隣接するチャネルに大きな歪又はストレスを及ぼす。他の適切な錫の濃度は他の適切な歪誘起材料によることが、以後明らかになる。
純粋なゲルマニウム基板の場合、ライナ313/315は、ゲルマニウムにより実現されかつ徐々に変化する必要がないことに留意を要する。そのような例の場合、ライナ313/315のゲルマニウム濃度は固定され(例えば、100原子%)、キャップ318/320はゲルマニウム合金と共に実現されてもよい(ゲルマニウム合金は、例えば、ゲルマニウム:錫、又は上述したような適切なゲルマニウム合金であってもよい)。上述したように、キャップ318/320におけるゲルマニウム濃度(又は錫の濃度又は他の合金材料の濃度)は、所望のチャネル歪を及ぼすように徐々に変化していてもよい。そのような例の場合、ゲルマニウムライナ313/315はゲルマニウム合金キャップ318/320と共に一体化されてもよいし、或いはソース/ドレイン領域の堆積物の分離又は検出できない成分であってもよいことに更に留意を要する。
徐々に変化することに関し、本願で使用されている「コンパチビリティ(compatibility)」は必ずしも濃度レベルが重複している必要はないことに留意を要する(例えば、下地の基板300のゲルマニウム濃度が0ないし20原子%であり、ライナ313/315のゲルマニウムの初期濃度が30ないし40原子%であってもよい)。更に、本願で使用されているように、濃度レベルに関する「固定され(fixed)」という用語は、相対的に一定の濃度レベルを示すこと外とされている(例えば、層内の最低濃度レベルは、その層内の最高濃度レベルの10%の変動範囲内にあってもよい)。より一般的には、固定された濃度レベルは、意図的に徐々に変化させられている濃度レベルが存在しないことを示すように意図される。
ライナ313/315及びキャップ318/320の厚みは、基板300の組成、格子整合/コンパチビリティのための傾斜濃度を利用しているか否か、及びソース/ドレイン堆積層全体の所望の厚み等のような要因に依存して変化する。一般に、ゲルマニウム材料が無い又は低濃度である基板300とのコンパチビリティを提供するように傾斜した濃度のゲルマニウム材料と共に形成される例の場合、ライナ313/315は厚くなる。基板300がゲルマニウム基板である又は比較的高濃度にゲルマニウムを含んでいる別の例の場合、ライナ313/315は傾斜した濃度を有する必要はないので、比較的薄くてもよい(例えば、単分子層1つ分又は複数惣分の厚みでもよい)。基板がゲルマニウム材料を全く含んでいない又は低濃度にしか含んでいない更に別の例の場合、ライナ313/315はシリコン薄膜又は低濃度のゲルマニウム材料の薄膜で実現することが可能であり、キャップ318/320におけるゲルマニウム濃度はコンパチビリティに必要な傾斜濃度を有していてもよい。何れにせよ、一般にライナ313/315はソース/ドレイン堆積層の全体の厚みの50%未満をなし、ソース/ドレイン堆積層の残りの厚みは一般にソース/ドレイン堆積層の全体の厚みの50%以上をなす。ライナ313/315が傾斜濃度を有していないそのような実施形態の場合、ライナ313/315とキャップ318/320との厚みの比率は約2:5又はそれ未満であってもよい(すなわち、ソース/ドレイン堆積層の全体の厚みのうち約40%又はそれ未満をライナが占めている)。特定の実施形態の場合、ライナ313/315とキャップ318/320との厚みの比率は約1:5又はそれ未満であってもよい(すなわち、ソース/ドレイン堆積層の全体の厚みのうち約20%又はそれ未満をライナが占めている)。特定の一実施形態では、ライナ313/315の厚みは1つないし幾つかの単分子層の範囲内にあり(約10nm)、ソース/ドレイン堆積層の全体の厚みは50ないし500nmの範囲内にある。多種多様なソース/ドレインライナ及びキャップ形状及び材料の組み合わせは、本願による開示内容から明らかであろう。
本願の開示内容から理解されるように、他の任意のトランジスタの特徴が本発明の実施の形態と共に使用されてよい。例えば、チャネルは歪を含んでいてもいなくてもよいし、ソース/ドレイン領域はチャネル領域と対応するソース/ドレイン領域との間の領域に形成されたチップ領域を含んでいてもいなくてもよい。すなわち、トランジスタ構造が、歪チャネルを有するか又は非歪チャネルを有するか、或いはソース/ドレインチップ領域を有するか又はソース/ドレインチップ領域を有しないかは、本発明の様々な実施の形態に特に関係があるわけではなく、請求項に係る本発明はそのような特定の如何なる特徴にも限定されないことが意図されている。むしろ、任意のトランジスタの構造及びタイプ、特にp型の又はn型及びp型双方のソース/ドレイントランジスタ領域を有する任意の構造が、本願で説明されているようにライナ及び高濃度のゲルマニウムを有する二重層のソース/ドレイン構造を利用する恩恵を享受できる。
CVDプロセス又は適切な他の堆積技術が、堆積するステップ208及び210で使用されてもよい。例えば、堆積するステップ208及び210は、CVD反応炉、LPCVD反応炉又は超高真空CVD(UHVCVD)にて実行されてもよい。一例として、反応炉の温度は例えば600℃ないし800℃の範囲内にあってもよく、反応炉の圧力は例えば1ないし760Torrの範囲内(1×133.322Paないし760×133.322Paの範囲内)にあってもよい。キャリアガスは例えば10ないし50SLMの範囲内にある適切な流速で例えば水素又はヘリウムを含んでいてもよい。特定の実施の形態において、堆積するステップは、H2内に希薄化されたGeH4のようなゲルマニウムソースプレカーソルガス(germanium source precursor gas)を用いて実行されてもよい。例えば希薄化されたGeH4は、1%の濃度及び50ないし300SCCMの範囲内にある流速で使用されてもよい。ホウ素のインサイトドーピング(in-situ doping)の場合、希薄化されるB2H6が使用されてもよい(例えば、B2H6は1-20%でH2内で希薄化される)。例えば希薄化されたB2H6は3%の濃度及び10ないし100SCCMの範囲内にある流速で使用されてもよい。一例として、堆積の選択性を促進するようにエッチング剤が加えられてもよい。例えばHCl又はCl2が例えば50ないし300SCCMの範囲内の流速で加えられてもよい。
本願の開示内容からソース/ドレイン二重層構造に関する様々な変形例が明らかになるであろう。例えば、一実施形態では、ライナ313/315は、ホウ素がドーピングされたSiGeをエピタキシャル堆積することで実現され、一実施形態では30ないし70%の範囲内又はそれ以上のゲルマニウム濃度を有する。上述したように、SiGeのこのゲルマニウム濃度は固定されていてもよいし、或いは基準レベル(基板300とほぼ同じレベル)から高いレベルに増えるように徐々に変化していてもよい(高いレベルは、50原子%を超えるレベルであってもよく、これはキャップ318/320のゲルマニウム濃度の基準濃度付近であり、100原子%に至るゲルマニウム濃度勾配に続く)。そのような実施の形態におけるホウ素濃度は、1E20cm-3(例えば5E20cm-3又は2E21cm-3より高いレベル)であってもよく、基板300と同程度の基準レベルから高いレベルへ徐々に増加していてもよい(高いレベルは、キャップ318/320において、例えば1E20cm-3又は2E20cm-3又は3E20cm-3等を超えるレベルであってもよい)。ホウ素がドーピングされたSiGeライナ313/315のゲルマニウム濃度が固定されている実施の形態の場合、濃度が徐々に変化する薄いバッファを用いて、ホウ素がドーピングされたキャップ318/320とライナ313/315との適切なインタフェースを提供してもよい。このバッファは中間層であってもよいし或いはキャップ318/320の組成に組み込まれていてもよいことに留意を要する。開示内容を説明する観点からは、そのようなバッファはキャップ318/320の一部分として取り扱われてよい。特定の実施の形態では、ホウ素がドーピングされたSiGeの堆積層(又は層の集まり)313/315の厚みは例えば単分子層程度から50nmの範囲内にあってもよく、層(又は層の集まり)318/320は例えば51ないし500nmの範囲内にあってもよいが、本願の開示内容から理解されるように、代替的な実施の形態はライナ及びキャップについて他の厚みを使用してもよい。一実施形態において、反復的な堆積及びエッチング処理の間にキャビティ又は空間312A/314がスペーサの直下に形成され、そのようなキャビティ312/314はエピタキシャルキャップ層(例えば、ホウ素がドーピングされたゲルマニウムキャップ層318/320と同じ濃度を有する)により埋め戻されることに留意を要する。
本願の開示内容から更に理解されるように、高濃度のゲルマニウム(例えば、50原子%を超えるレベル或いは純粋なゲルマニウムのレベル)と高濃度のホウ素(例えば、1E20cm-3を超えるレベル)との組み合わせを利用することで、本願で説明されるように、ソース及びドレイン領域だけでなくPMOSトランジスタ装置におけるチップ領域各々(図1におけるR2)でも極めて高い導電性を実現できる。更に、上述したように、ホウ素の拡散は低ゲルマニウム組成層に対して高ゲルマニウム組成層において十分に抑制されるので、堆積されたストレッサ層(stressor film)において同じp型ドーパントの種類及びドーピングレベル(高いドーピングレベルにもかかわらず)の低ゲルマニウム組成層と比較した場合、以後の熱アニールにおける不利なSCEの劣化を低減することが実現できる。接触面における高いゲルマニウム濃度が図1の接触抵抗R4を低減することで、障壁の高さ(barrier height)を低減することができる。例示的な実施の形態では、そのような恩恵を享受するために、80原子%を超える純粋なゲルマニウムに匹敵する(100原子%)ゲルマニウム濃度が使用される。しかしながら、純粋なゲルマニウムの濃度であることは必須でないことに留意を要する。例えば、一例として90又は95原子%を超えるが純粋なものではない(100原子%ではない)ゲルマニウム濃度が使用されてもよい。
図3Cを参照しながら更に説明を行う。チャネル領域の比較的近くにソース/ドレインチップ318A/320Aを形成すると、より大きな応力又は静水圧応力(hydrostatic stress)をチャネルに及ぼす。この応力はチャネル内の歪を増加させるので、チャネル内の移動度を増加させかつ駆動電流を増加させる。シリコン含有基板の場合はソース/ドレインチップ318A/320Aのゲルマニウム濃度を増やすことで、及びゲルマニウム基板の場合は錫の濃度を増やすことで、応力又はストレスを更に増やすことができる。これは、拡散を利用するプロセスを上回る改善をもたらし、錫の領域は一般にチャネル領域内の歪を誘起しない。
本発明の実施の形態によりソース及びドレイン領域が充填されると、MOSトランジスタの製造を完了させるために従来の様々なMOSプロセスが実行され、例えば置換ゲート酸化物プロセス、置換金属ゲートプロセス、アニーリング及びサリサイドプロセス等が実行され、これらはトランジスタを加工し及び/又は必要な相互接続をもたらす。例えば、ソース/ドレイン領域を各自のチップと共にエピタキシャル堆積した後に、図2に示されているように、本方法は、n型領域から何らかのマスクを除去し、(例えば、可能であればCMOSプロセスにおける処理のような)所望の処理を領域に施し(ステップ212)、トランジスタ上に絶縁体を堆積し(ステップ214)、従来行われているようにその絶縁層を平坦化する処理に続く。絶縁層は、例えば低誘電率(絶縁)材料又は低k誘電体(絶縁)材料のような集積回路構造の絶縁層に利用可能であることが知られている材料を用いて形成されてもよい。そのような絶縁材料は、例えば、二酸化ケイ素(SiO2)及びカーボンドープ酸化物(CDO)のような酸化物、窒化ケイ素、パーフルオロシクロブタン(perfluorocyclobutane)及びポリテトラフルオロエチレン(polytetrafluoroethylene)のような有機ポリマ、フルオロケイ素ガラス(FSG)、及び有機シリケート(例えば、シルセスキオキサン、シロキサン又は有機シリケートガラス)等を含む。一実施形態において、絶縁層は誘電定数を更に下げるために穴、孔又は他のボイドを含んでもよい。図3Dは、堆積された後にハードマスク306まで下方に平坦化された絶縁層322の一例を示す。
図3Dを参照しながら更に説明する。本発明の一実施形態は置換金属ゲートプロセス(replacement metal gate process)を利用し、本方法は、従来行われているようなエッチングプロセスを用いてゲートスタック(高kゲート誘電体層302、犠牲ゲート電極304及びハードマスク層306)を除去するステップを含んでもよい。代替的な実施形態では、犠牲ゲート304のみが除去される。ゲート誘電体302が除去されると、本方法は新たなゲート誘電体層をトレンチ開口に堆積するステップを実行する。上述したような何らかの高k誘電体材料(例えば、ハフニウム酸化物)がここで使用されてもよい。同じ堆積プロセスが使用されてもよい。例えば、ドライ及びウェットエッチプロセスを行う最中に元々のゲート誘電体層に生じた何らかの損傷を治癒するため、及び/又は低kの又は犠牲誘電体材料を高kの又は他の所望のゲート誘電体材料に置換するために、ゲート誘電体302を置換するプロセスが使用されてもよい。本方法は、トレンチの内部及びゲート誘電体層上に金属ゲート電極層を堆積するプロセスに続く。CVD、ALD、PVD、無電解めっき又は電解めっき等のような従来の金属堆積プロセスが、金属ゲート電極層を形成するために使用されてもよい。金属ゲート電極層は、例えば、ルテニウム、パラジウム、プラチナ、コバルト、ニッケル及び導電性金属酸化物(例えば、ルテニウム酸化物)のようなp型仕事関数金属(p-type workfunction metal)を含んでいてもよい。一実施形態において、2つ以上の金属ゲート電極層が堆積されてもよい。例えば、仕事関数金属が堆積された後に、適切な金属ゲート電極充填金属(例えば、アルミニウム)が堆積されてもよい。図3Dの円形破線内は本発明の一実施形態によりトレンチ開口内に堆積された高kゲート誘電体層324及び金属ゲート電極326の一例を示す。必要に応じて、プロセス中の異なる時点でRMGプロセスが実行されてもよいことに留意を要する。
図2を更に参照するに、絶縁層322を設けるステップ(及び何らかの所望のプレコンタクト形成RMGプロセス)の後に、本方法はソース/ドレインコンタクトトレンチを形成するエッチングのステップ216に続く。適切な如何なるドライ及び/又はウェットエッチプロセスが賞されてもよい。図3Eは、一実施形態においてエッチングが完了した後のソース/ドレインコンタクトトレンチを示す。
本方法は、コンタクト抵抗低減金属(contact resistance reducing metal)を堆積してアニール処理を行うステップ218、及びソース/ドレインコンタクトプラグを堆積するステップ220に続く。図3Fはコンタクト抵抗低減金属を示し、これは一実施形態では銀、ニッケル、アルミニウム、チタニウム、金、金-ゲルマニウム、ニッケル-プラチナ、ニッケル-アルミニウム及び/又はそのような低抵抗化材料又は合金を含む。更に、図3Fはコンタクトプラグ材料329を示し、これは一実施形態ではアルミニウムやタングステンを含むが、従来の堆積プロセスを用いて、適切な任意の導電性コンタクト金属又は合金が使用可能であり、例えば銀、ニッケル-プラチナ又はニッケル-アルミニウム又はその他のニッケル及びアルミニウムの合金、又はチタニウムを含む。ゲルマニウム化プロセス(一般的には、コンタクト金属の堆積及びその後のアニーリング)を用いて、ソース/ドレインコンタクトの金属化が実行可能である。例えば、ニッケル、アルミニウム、ニッケル-プラチナ又はニッケル-アルミニウム又はニッケル及びアルミニウムの他の合金、又はチタニウムとのゲルマニウム化合物が、ゲルマニウム事前アモルファス化インプラント(germanium pre-amorphization implant)と共に又は伴わずに、低抵抗ゲルマニウムを形成するために使用可能である。ホウ素がドーピングされたゲルマニウムキャップ318/320は、金属-ゲルマニウム(例えば、ニッケル-ゲルマニウム)の形成を可能にする。ゲルマニウム化合物は、高さが低いショットキー障壁(Schottky-barrier)を可能にし、従来の金属-シリサイド系を上回る改善された接触抵抗を可能にする。例えば、従来のトランジスタは、典型的には、30-40原子%の範囲内のゲルマニウム濃度と共にソース/ドレインSiGeエピプロセスを使用している。そのような従来のシステムは、エピ/シリサイド間のインタフェース抵抗により制限される約140Ohm-umのRext値を示し、これはかなり高く、将来のゲートピッチスケーリングを妨げてしまう。これに対して本発明の実施形態はPMOS装置のRextを大幅に改善することを可能にし(例えば、約70Ohm-um未満のRextのような2倍以上の改善を行うことができる)、これはPMOS装置のスケーリングに十分に対応できる。従って、本願で説明されているような二重層ソース/ドレイン構造により形成されたソース/ドレインを有するトランジスタは、従来のトランジスタと比較して相対的に低いRext値をもたらすことができる。
<非プレーナ構造>
例えばFinFET又はナノワイヤ構造を用いて非プレーナアーキテクチャを実現できる。FinFETは(一般的には「フィン(fin)」と言及される)半導体材料の薄いストリップの周囲に形成されたトランジスタである。トランジスタは、ゲート、ゲート誘電体、ソース領域及びドレイン領域を含む標準的な電界効果トランジスタ(FET)のノードを含む。装置の導電性チャネルはゲート誘電体直下のフィンの外部側面の上/間にある。具体的には、電流は、(基板表面に垂直な側の)フィンの側壁の双方に沿って流れることに加えて、(基板表面に平行な側の)フィンの上部に沿っても流れる。そのような構造の導電性チャネルはフィンの3つの異なる外側の平坦な領域に沿って存在するので、そのようなFinFET設計はしばしばトライゲートFinFET(tri-gage FinFET)と言及される。所謂ダブルゲートFinFETのような他のタイプのFinFET構造も利用可能であり、その場合の導電性チャネルは原則としてフィンの2つの側壁のみに沿って存在する(フィンの上部に沿っては存在しない)。
図4A-4Gの各々は本発明の一実施形態により形成されたFinFETトランジスタ構造の斜視図を示す。理解されるように、図2ないし図3Fを参照しながら行われた上記の説明内容はここでも同様に適用される。図示されているように、図4Aに示されている非プレーナ構造例は、基板400を含むフィン構造と共に実現され、基板400から浅いトレンチ分離(STI)層420を介して伸びる半導体本体又はフィン410を有する。基板は例えばシリコン、ゲルマニウム又はSiGeであってもよい。
図4Bは3つのゲートを形成するようにフィン410の3つの表面に形成されたゲート電極440を示す(従って、トライゲート装置である)。フィン410及びゲート電極440の間にゲート誘電体材料430が設けられ、ゲート電極440の上部にハードマスク450が形成されている。図4Cは、絶縁材料の堆積及び以後のエッチングにより形成される構造を示し、エッチングは、垂直面全体を被覆している絶縁材料を除去し、スペーサ460を形成する。
図4Dは、フィン410の側壁から余分な絶縁/スペーサ材料を除去する追加的なエッチング処理を行うことで、ゲート電極440の対向側壁のスペーサ460のみを残すようにして形成された構造を示す。図4Eは、基板400のソース/ドレイン領域のフィン410を除去するリセスエッチを行い、凹部又は窪み470を形成した後の構造を示す。他の実施形態では凹部が形成されなくてもよいことに留意を要する(例えば、ソース/ドレイン領域がSTI層420と同一平面にある)。
図4Fはエピタキシャルライナ480を成長させた後の構造を示し、エピタキシャル層480は薄いp型のシリコンをかなりの割合で含んだもの(例えば、70原子%のシリコン又はSiGe)、或いは純粋なゲルマニウム(例えば、分離したゲルマニウム層、又は一体化された或いはキャップ318/320の組成に組み込まれた区別できない層)であってもよい。図4Gはエピタキシャルソース/ドレインキャップ490を成長させた後の構造を示し、エピタキシャルソース/ドレインキャップ490はp型であってもよく主にゲルマニウムを含んでもよいが、上述したように、20原子%未満の錫又は適切な他の合金材料を含む。本願の開示内容から理解されるように、上述したような二重層ソース/ドレイン構造を有するFinFETトランジスタ構造を形成するために、従来のプロセス及び形成方法を使用することができる。
更に理解されるように、図示されているようなトライゲート構成の代替例は、フィン410の上部に誘電体/絶縁層を有することになるダブルゲートアーキテクチャであることに留意を要する。図4Gに示されているソース/ドレイン領域を形成するライナ480及びキャップ490の具体的な形状は、請求項に係る発明を特定の如何なるソース/ドレイン形式にも製造方法にも限定するようには意図されておらず、開示内容に従って他のソース/それイン形状が使用されてもよいことに、更に留意を要する(例えば、円形、正方形又は長方形の形状のソース/ドレイン領域が実現されてもよい)。
図5Aは本発明の一実施形態により形成されたナノワイヤトランジスタ構造の斜視図を示す。(しばしばゲートオールアラウンドFET(gate-all-around)と言及される)ナノワイヤトランジスタは、フィンを利用するトランジスタと同様に形成されるが、フィンの場合とは異なり、ナノワイヤが使用され、ゲート材料はチャネル領域の全ての面を全体的に包んでいる。特定の設計内容に依存して、或るナノワイヤトランジスタは例えば4つの有効なゲートを有する。図5Aは2つのナノワイヤ510を有するナノワイヤチャネルアーキテクチャを示しているが、他の実施の形態は任意の数のワイヤを有することができる。ナノワイヤ510は例えばp型シリコン又はゲルマニウム又はSiGeナノワイヤと共に実現されてもよい。図示されているように、1つのナノワイヤ510は基板400の凹部に形成され又は設けられており、別のナノワイヤ510はライナ580及びキャップ590を含むソース/ドレイン材料の二重層構造の中で事実上浮いている。フィン構造の場合と同様に、ナノワイヤ510はソース/ドレイン領域において上述したようなソース/ドレイン材料の二重層構造で置換できることに留意を要する(例えば、比較的薄いシリコン又はゲルマニウム又はSiGeライナと比較的厚い高濃度ゲルマニウムキャップとが使用されてもよい)。或いは二重層構造は図示されているように元々形成されているナノワイヤ510の周囲に設けられてもよい(ライナ580はナノワイヤ510の周囲に設けられ、キャップ590はライナ580の周囲に設けられる)。図5Bも複数のナノワイヤ510を有するナノワイヤ構造を示すが、この例の場合、本願の開示内容から理解されるように従来の様々な技法を用いて実行できるナノワイヤの製造工程において、ナノワイヤ同士の間からアクティブでない材料511が除去されていない。従って、1つのナノワイヤ510は基板400の凹部に設けられ、別のナノワイヤ510は材料511の上部に事実上乗っている。ナノワイヤ510はチャネルを通じてアクティブになるが、材料511はアクティブにならないことに留意を要する。理解されるように、ライナ580及びキャップ590の二重層ソース/ドレイン構成は、ナノワイヤ510の他の全ての露出面の周囲に設けられている。
<システム例>
図6は本発明の実施の形態により形成された1つ以上のトランジスタ構造と共に形成されたコンピュータシステム1000を示す。図示されているように、コンピュータ装置1000はマザーボード1002を収容している。マザーボード1002は多数の素子を含み、多数の素子は、例えばプロセッサ1004及び少なくとも1つの通信チップ1006(それら各々は物理的又は電気的にマザーボード1002に結合されている又はマザーボードに一体化されている) であるがこれらに限定されない。理解されるように、マザーボード1002は、例えばメインボード又はメインボードに搭載されたドーターボード又はシステム1000のボードのみ等を問わず、任意の印刷回路基板であってもよい。アプリケーションに依存して、コンピュータシステム1000はマザーボード1002に物理的及び電気的に結合されていてもいなくてもよい1つ以上の他の素子を含んでもよい。それらの他の素子は、限定ではないが例えば、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、グラフィックスプロセッサ、ディジタル信号プロセッサ、暗号処理プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、電力増幅器、グローバルボジショニングシステム(GPS)装置、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ及び大容量記憶装置(例えば、ハードディスクドライブ、コンパクトディスク(CD)、ディジタル多用途ディスク(DVD)等)である。コンピュータ装置1000に含まれている任意の素子は、本願で説明されているようなトランジスタ構造を1つ以上含んでいてもよい(例えば、トランジスタ構造は、相対的に薄いp型シリコン又はゲルマニウム又はSiGeライナと相対的に厚いp型高濃度ゲルマニウムキャップとを有する二重層ソース/ドレイン構造を有する)。これらのトランジスタは例えばオンボードプロセッサキャッシュ又はメモリアレイを実現するように使用可能である。一実施形態では、複数の機能が1つ以上のチップに組み込まれてもよい(例えば、通信チップ1006は部品の一部でもよいし或いはプロセッサ1004に統合されていてもよいkとに留意を要する)。
通信チップ1006はコンピュータシステム1000との間でデータを送受信する無線通信を可能にする。「無線」という用語及びその派生語は、回路、装置、システム、方法、技法、通信チャネル等(変調された電磁放射を利用して固体でない媒体を介してデータを通信するもの)を説明するために使用される。この用語は、関連する装置が、一実施形態ではワイヤを一切含まないかもしれないが、常にワイヤを一切含まないことを意味するわけではない。通信チップ1006は、任意の無線標準仕様又はプロトコルを使用してもよく、無線標準仕様又はプロトコルは、限定ではないが、Wi-Fi(IEEE802.11ファミリ)、WiMAX(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)及びそれらの派生物に加えて、3G、4G、5G及びそれ以上により指定される他の任意の無線プロトコルを含む。コンピュータシステム1000は複数の通信チップ1006を含んでもよい。例えば、第1の通信チップ1006はWi-Fi及びブルートゥース(登録商標)のような短距離無線通信に専用であり、第2の通信チップ1006はGPS、EDGE、GPRS、CDMA、WiMAX、LTE、En-DO及びその他のような長距離無線通信に専用であってもよい。
通信システム1000のプロセッサ1004はプロセッサ1004内にパッケージされた集積回路ダイを含む。本発明の一実施形態では、プロセッサの集積回路ダイは、本願で説明されるようなトランジスタ構造(例えば、PMOS又はCMOS)の1つ以上と共に実現されたオンボードメモリ回路を含む。「プロセッサ」という用語は、例えばレジスタ及び/又はメモリからの電子データを処理してその電子データを、レジスタ及び/又はメモリに保存される他の電子データに変換する任意の装置又は装置の一部を示す。
通信チップ1006は通信チップ1006内にパッケージされた集積カイロ大を含む。本発明の一実施形態では、通信チップの集積回路ダイは、本願で説明されるようなトランジスタ構造(例えば、オンチッププロセッサ又はメモリ)の1つ以上と共に実現された1つ以上の回路を含む。本願の開示内容から理解されるように、マルチスタンダード無線機能がプロセッサ1004に直接的に組み込まれてもよいことに留意を要する(例えば、何らかのチップ機能が、別個の通信チップを有するのではなく、プロセッサ1004に組み込まれてもよい)。プロセッサ1004はそのような無線機能を有するチップセットでもよいことに、更に留意を要する。要するに、任意のプロセッサ1004及び/又は通信チップが使用可能である。同様に、任意のチップ又はチップセットが、それらに組み込まれた複数の機能を有してもよい。
様々な実施形態において、コンピュータシステム1000は、ラップトップ、ネットブック、ノートブック、スマートフォン、タブレット、パーソナルディジタルアシスタント(PDA)、ウルトラモバイルPC、移動電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテーメント制御ユニット、ディジタルカメラ、携帯用音楽プレーヤ又はディジタルビデオレコーダ等であってもよい。別の実施形態では、システム1000は、データを処理する或いは本願で説明されているような低抵抗トランジスタ装置を利用する他の任意の電子装置であってもよい。
様々な実施の形態は装置であってもよく、本願で説明された特徴は任意の構造と組み合わせることが可能である。本発明の一実施形態はトランジスタ装置を提供する。装置は、チャネル領域と、チャネル領域上のゲート電極と、基板の上又は中に又はチャネル領域に隣接して形成されたソース及びドレイン領域とを含む。ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとで全体の厚みをなし、ライナは全体の厚みの50%未満である。一例として、装置はプレーナ、FinFET又はナノワイヤPMOSトランジスタのうちの何れかである。一実施形態において、装置はメタルゲルマニウムソース及びドレインコンタクトを更に含む。一実施形態において、キャップの厚みに対するライナの厚みの厚み比率は2:5未満である(ライナは全体の厚みの40%未満である)。一実施形態において、キャップの厚みに対するライナの厚みの厚み比率は1:5未満である(ライナは全体の厚みの20%未満である)。一実施形態において、各々のライナは約1単分子層ないし10nmの範囲内の厚みを有し、キャップの各々は約50nmないし500nmの範囲内の厚みを有する。一実施形態において、ライナ及び/又はキャップの少なくとも1つは、ゲルマニウム及び/又はp型ドーパントの濃度が徐々に変化する傾斜濃度のうちの少なくとも1つを有する。例えば一実施形態において、少なくとも1つのライナは、基板に匹敵する基準濃度レベルから50原子%を超える高濃度レベルまで徐々に変化するゲルマニウム濃度を有する。そのような例の場合、高濃度レベルは90原子%を超えててもよい。一実施形態において、少なくとも1つのライナは、基板に匹敵する基準濃度レベルから1E20cm-3を超える高濃度レベルまで徐々に変化するp型ドーパント濃度を有する。そのような実施形態の場合、1つ上のライナのp型ドーパントはホウ素である。一実施形態において、少なくとも1つのキャップは95原子%を超えるゲルマニウム濃度を有する。一実施形態において、少なくとも1つのキャップは、対応するライナに匹敵する基準濃度レベルから80原子%を超える高濃度レベルまで徐々に変化するゲルマニウム濃度を有する。一実施形態において、少なくとも1つのキャップは、対応するライナに匹敵する基準濃度レベルから1E20cm-3を超える高濃度レベルまで徐々に変化するp型ドーパント濃度を有する。そのような実施形態の場合、1つ以上のキャップのp型ドーパントはホウ素である。一実施形態において、少なくとも1つのキャップは錫を含む。様々な変形例が認められる。例えば、一実施形態において、基板はシリコン含有基板である。そのような例の場合、p型ライナはシリコン又はシリコンゲルマニウムを含む。別の例の場合、基板はゲルマニウム基板である。そのような例の場合、p型ライナはp型ゲルマニウムである。そのような実施形態の場合、各々のライナは対応するキャップの組成に含まれている(別個の分離したキャップ層からは別個の分離したライナ層が認識できない)。一実施形態において、少なくとも1つのキャップはミスフィット転移及び/又は貫通転移及び/又はツインズを更に有するが、別の形態ではキャップはミスフィット転移も貫通転移もツインズも有しない。本発明の別の実施形態は印刷回路基板を含む電子装置を含み、印刷回路基板は上述したようなトランジスタ装置を1つ以上含む修正機回路を有する。そのような例では、集積回路は通信チップ及び/又はプロセッサの少なくとも1つを有する。その場合、電子装置はコンピュータ装置である。
本発明の別の形態は集積回路である。回路は(例えば、シリコン、SiGe又はゲルマニウムの)基板を有し、基板は、チャネル領域と、チャネル領域上のゲート電極と、基板の上又は中にありかつチャネル領域に隣接して形成されたソース及びドレイン領域と、メタルゲルマニウムソース及びドレインコンタクトとを有する。ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度のp型キャップとを有し、ライナは全体の厚みの40%未満である。一実施形態において、キャップの厚みに対するライナの厚みの厚み比率は1:5未満である。一実施形態において、少なくとも1つのキャップは錫を更に有する。
本発明の別の形態はトランジスタ装置を製造する方法を提供する。本方法は、チャネル領域を形成し、チャネル領域上にゲート電極を形成し、基板の上又は中でチャネル領域に隣接して形成されるソース及びドレイン領域を形成するステップとを有する。ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度のp型キャップとを有する全体の厚みを有し、ライナは全体の厚みの50%未満である。一実施形態において、本方法はメタルゲルマニウム化合物のソース及びドレインコンタクトを形成する。一実施形態において、キャップの厚みに対するライナの厚みの厚み比率は2:5未満である。一実施形態において、ライナ及び/又はキャップの少なくとも1つは、ゲルマニウム及び/又はp型ドーパントの傾斜濃度の少なくとも1つを有する。一実施形態において、少なくとも1つのキャップは錫(又はその他の適切な歪誘起材料)を更に有する。
以上、本発明の実施の形態の記述は、例示及び説明を意図して記載されている。説明は網羅的ではなく、本発明を開示された具体的な形態に限定するように意図されてはいない。多数の修正例及び変形例が本願の開示内容から可能である。例えば、本発明の実施の形態はゲルマニウムにホウ素をドーピングしていたが、他の実施形態では、堆積の後にp型ドーパント注入に委ねられ、アニール処理を行って所望のp型ドーピング濃度を得る本来のゲルマニウムを使用してもよい。更に、実施の形態は本願で説明されたように形成されたソース及びドレイン領域を含んでいるが、ソース及びドレイン領域のチップ部(tip)を形成するために従来の処理(例えば、インプラント及びアニーリング)が使用されてもよい。そのような実施形態の場合、チップは、用途に応じて適用可能な主要なソース/ドレイン領域よりも低いゲルマニウム及び/又はp型ドーパント濃度を有する。更に別の実施形態では、ソース及びドレイン領域のチップ部のみが高いゲルマニウム及びp型ドーパント濃度で形成され、ソース及びドレイン領域の主要な部分は従来通りである又は低いゲルマニウム/ドーパント濃度を有していてもよい。本発明の範囲は詳細な説明によっては限定されず、添付の特許請求の範囲によって規定されることが意図されている。
<関連出願>
本願は2010年12月21日付けで出願された米国出願第12/975,278号及び一部係属出願の優先的利益を享受する。

Claims (32)

  1. チャネル領域を有する基板と、
    前記チャネル領域上にあるゲート電極と、
    前記基板の上又は中で前記チャネル領域に隣接して形成されたソース及びドレイン領域であって、前記ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとによる合計厚みを有し、前記ライナは前記合計厚みのうち50%未満を占める、ソース及びドレイン領域と
    を有するトランジスタ装置。
  2. 当該トランジスタ装置が、プレーナ、FinFET又はナノワイヤPMOSトランジスタのうちの何れかである、請求項1に記載のトランジスタ装置。
  3. メタルゲルマニウムソース及びドレインコンタクトを更に有する請求項1又は2に記載のトランジスタ装置。
  4. 前記キャップの厚みに対する前記ライナの厚みの厚み比率が2:5未満である、請求項1−3の何れか1項に記載のトランジスタ装置。
  5. 前記キャップの厚みに対する前記ライナの厚みの厚み比率が1:5未満である、請求項1−4の何れか1項に記載のトランジスタ装置。
  6. 前記ライナの各々が1つの単分子層の厚みないし10nmの範囲内の厚みを有し、前記キャップの各々が50nmないし500nmの範囲内の厚みを有する、請求項1−5の何れか1項に記載のトランジスタ装置。
  7. 前記ライナ及び/又はキャップの少なくとも1つが、徐々に変化するゲルマニウム及び/又はp型ドーパントの濃度の少なくとも一方を有する、請求項1−6の何れか1項に記載のトランジスタ装置。
  8. 前記ライナの少なくとも1つが、前記基板に匹敵する基準濃度レベルから50原子%を超える高濃度レベルまで徐々に変化するゲルマニウム濃度を有する、請求項7に記載のトランジスタ装置。
  9. 前記高濃度レベルが90原子%を超えるレベルである、請求項8に記載のトランジスタ装置。
  10. 前記ライナの少なくとも1つが、前記基板に匹敵する基準濃度レベルから1E20cm-3を超える高濃度レベルまで徐々に変化するp型ドーパント濃度を有する、請求項7−9の何れか1項に記載のトランジスタ装置。
  11. 前記ライナの少なくとも1つのp型ドーパントがホウ素である、請求項10に記載のトランジスタ装置。
  12. 前記キャップの少なくとも1つが、95原子%を超えるゲルマニウム濃度を有する、請求項7−11の何れか1項に記載のトランジスタ装置。
  13. 前記キャップの少なくとも1つが、対応するライナに匹敵する基準濃度レベルから80原子%を超える高濃度レベルまで徐々に変化するゲルマニウム濃度を有する、請求項7−12の何れか1項に記載のトランジスタ装置。
  14. 前記キャップの少なくとも1つが、対応するライナに匹敵する基準濃度レベルから1E20cm-3を超える高濃度レベルまで徐々に変化するp型ドーパント濃度を有する、請求項7−13の何れか1項に記載のトランジスタ装置。
  15. 前記キャップの少なくとも1つのp型ドーパントがホウ素である、請求項14に記載のトランジスタ装置。
  16. 前記キャップの少なくとも1つが錫を含む、請求項1−15の何れか1項に記載のトランジスタ装置。
  17. 前記キャップの少なくとも1つが、ミスフィット転移及び/又は貫通転移及び/又はツインズを含む、請求項1−16の何れか1項に記載のトランジスタ装置。
  18. 前記キャップが、ミスフィット、貫通転移及びツインズを有しない、請求項1−17の何れか1項に記載のトランジスタ装置。
  19. 請求項1−19の何れか1項に規定されているトランジスタを1つ以上含む集積回路を有する印刷回路基板を備えた電子装置。
  20. 前記集積回路が、通信チップ及び/又はプロセッサのうち少なくとも1つを有する、請求項19に記載の電子装置。
  21. 前記電子装置がコンピュータ装置である請求項19又は20に記載の電子装置。
  22. チャネル領域を有する基板と、
    前記チャネル領域上にあるゲート電極と、
    前記基板の上又は中で前記チャネル領域に隣接して形成されたソース及びドレイン領域であって、前記ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとによる合計厚みを有し、前記ライナは前記合計厚みのうち40%未満を占める、ソース及びドレイン領域と、
    金属ゲルマニウムソース及びドレインコンタクトと
    を有する集積回路。
  23. 前記キャップの厚みに対する前記ライナの厚みの厚み比率が1:5未満である、請求項22に記載の集積回路。
  24. 前記キャップの少なくとも1つが錫を更に含む、請求項22又は23に記載の集積回路
  25. チャネル領域を有する基板を形成するステップと、
    前記チャネル領域上にあるゲート電極を形成するステップと、
    前記基板の上又は中で前記チャネル領域に隣接してソース及びドレイン領域を形成するステップであって、前記ソース及びドレイン領域の各々は、シリコン又はゲルマニウム又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとによる合計厚みを有し、前記ライナは前記合計厚みのうち50%未満を占める、ステップと
    を有する、トランジスタ装置の製造方法。
  26. メタルゲルマニウムソース及びドレインコンタクトを形成するステップを更に有する請求項25に記載の製造方法。
  27. 前記キャップの厚みに対する前記ライナの厚みの厚み比率が2:5未満である、請求項25又は26に記載の製造方法。
  28. 前記ライナ及び/又はキャップの少なくとも1つが、徐々に変化するゲルマニウム及び/又はp型ドーパントの濃度の少なくとも一方を有する、請求項25−27の何れか1項に記載の製造方法。
  29. 前記キャップの少なくとも1つは錫を更に含む、請求項25−28の何れか1項に記載の製造方法。
  30. チャネル領域を有するシリコン含有基板と、
    前記チャネル領域上にあるゲート電極と、
    前記基板の上又は中で前記チャネル領域に隣接して形成されたソース及びドレイン領域であって、前記ソース及びドレイン領域の各々は、シリコン又はシリコンゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとによる合計厚みを有し、前記ライナは前記合計厚みのうち50%未満を占める、ソース及びドレイン領域と
    を有するトランジスタ装置。
  31. チャネル領域を有するゲルマニウム基板と、
    前記チャネル領域上にあるゲート電極と、
    前記基板の上又は中で前記チャネル領域に隣接して形成されたソース及びドレイン領域であって、前記ソース及びドレイン領域の各々は、ゲルマニウムのp型ライナと、80原子%を超えるゲルマニウム濃度を有するp型キャップとによる合計厚みを有し、前記ライナは前記合計厚みのうち50%未満を占める、ソース及びドレイン領域と
    を有するトランジスタ装置。
  32. 前記ライナの各々が対応するキャップの構成に含まれている、請求項31に記載のトランジスタ装置。
JP2013546324A 2010-12-21 2011-12-20 トランジスタ装置、集積回路及び製造方法 Active JP5714722B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
US12/975,278 2010-12-21
PCT/US2011/066129 WO2012088097A2 (en) 2010-12-21 2011-12-20 Column iv transistors for pmos integration

Publications (2)

Publication Number Publication Date
JP2014508396A true JP2014508396A (ja) 2014-04-03
JP5714722B2 JP5714722B2 (ja) 2015-05-07

Family

ID=46233263

Family Applications (7)

Application Number Title Priority Date Filing Date
JP2013546134A Active JP5714721B2 (ja) 2010-12-21 2011-09-30 ゲルマニウム・オーバーレイヤ・プリコンタクト・メタライゼーションを利用したコンタクト抵抗低減
JP2013546135A Active JP5732142B2 (ja) 2010-12-21 2011-09-30 トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
JP2013543323A Pending JP2014504453A (ja) 2010-12-21 2011-12-07 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2013546324A Active JP5714722B2 (ja) 2010-12-21 2011-12-20 トランジスタ装置、集積回路及び製造方法
JP2017064009A Active JP6329294B2 (ja) 2010-12-21 2017-03-28 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2018080942A Pending JP2018113484A (ja) 2010-12-21 2018-04-19 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2019189786A Pending JP2020074389A (ja) 2010-12-21 2019-10-16 ホウ素ドープゲルマニウムの濃度が高いトランジスタ

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2013546134A Active JP5714721B2 (ja) 2010-12-21 2011-09-30 ゲルマニウム・オーバーレイヤ・プリコンタクト・メタライゼーションを利用したコンタクト抵抗低減
JP2013546135A Active JP5732142B2 (ja) 2010-12-21 2011-09-30 トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
JP2013543323A Pending JP2014504453A (ja) 2010-12-21 2011-12-07 ホウ素ドープゲルマニウムの濃度が高いトランジスタ

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2017064009A Active JP6329294B2 (ja) 2010-12-21 2017-03-28 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2018080942A Pending JP2018113484A (ja) 2010-12-21 2018-04-19 ホウ素ドープゲルマニウムの濃度が高いトランジスタ
JP2019189786A Pending JP2020074389A (ja) 2010-12-21 2019-10-16 ホウ素ドープゲルマニウムの濃度が高いトランジスタ

Country Status (9)

Country Link
US (16) US8901537B2 (ja)
EP (9) EP3582265A1 (ja)
JP (7) JP5714721B2 (ja)
KR (12) KR20130088179A (ja)
CN (8) CN105720091B (ja)
PL (1) PL3361512T3 (ja)
SG (4) SG191003A1 (ja)
TW (4) TWI544630B (ja)
WO (4) WO2012087404A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170017880A (ko) * 2014-06-13 2017-02-15 인텔 코포레이션 웨이퍼 본딩을 위한 표면 캡슐화
JP2017524257A (ja) * 2014-08-05 2017-08-24 インテル・コーポレーション 触媒酸化物の形成によってマイクロ電子デバイス分離を生成するための装置および方法
JP2017530544A (ja) * 2014-09-09 2017-10-12 インテル・コーポレーション マルチゲート高電子移動度トランジスタおよび製造方法
WO2020045076A1 (ja) * 2018-08-28 2020-03-05 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
JP2020535632A (ja) * 2017-09-25 2020-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation ソース領域またはドレイン領域あるいはその両方とチャネル領域との間の直列抵抗の低減
KR20210112218A (ko) * 2020-03-03 2021-09-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자용 패시베이션 층

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
CN106904641B (zh) 2011-04-15 2019-07-09 埃迪亚贝拉科技有限公司 用于分离和纯化硫化钠的方法
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
KR101917589B1 (ko) 2011-10-24 2018-11-13 아디트야 비를라 누보 리미티드 카본 블랙의 제조를 위한 개선된 방법
WO2013095340A1 (en) 2011-12-19 2013-06-27 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
BR112014024263B1 (pt) 2012-03-30 2021-03-09 Aditya Birla Science And Technology Company Ltd processo para a obtenção de pó de negro de fumo
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
CN109216181A (zh) 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8748940B1 (en) * 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
AU2014204024B2 (en) 2013-01-04 2017-10-12 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
US8802512B2 (en) 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
JP2014222723A (ja) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 電界効果型半導体装置及びその製造方法
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (ko) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US9401274B2 (en) * 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
KR102294390B1 (ko) * 2013-09-27 2021-08-26 인텔 코포레이션 Iii-v족 재료 능동 영역과 그레이딩된 게이트 유전체를 갖는 반도체 디바이스
EP3832710B1 (en) * 2013-09-27 2024-01-10 INTEL Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
KR101684010B1 (ko) * 2013-11-29 2016-12-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 콘택 구조물
KR102216424B1 (ko) * 2013-12-18 2021-02-17 인텔 코포레이션 유효 게이트 길이를 증가시킴으로써 트랜지스터 채널에 걸쳐 게이트 제어를 개선하는 기술들
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
CN105960710B (zh) * 2013-12-23 2020-05-15 英特尔公司 用于迁移率改进的n-mos的拉伸的源极漏极iii-v族晶体管
CN106104771A (zh) * 2013-12-27 2016-11-09 英特尔公司 扩散的尖端延伸晶体管
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) * 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
KR102220590B1 (ko) 2014-02-21 2021-03-03 삼성전자주식회사 컨택을 포함하는 집적 회로 장치 및 이의 제조 방법
KR102167519B1 (ko) * 2014-03-21 2020-10-19 인텔 코포레이션 Ge-풍부 p-mos 소스/드레인 컨택트들의 집적을 위한 기술들
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) * 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10312367B2 (en) 2014-06-20 2019-06-04 Intel Corporation Monolithic integration of high voltage transistors and low voltage non-planar transistors
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
SG11201610662QA (en) * 2014-07-25 2017-01-27 Intel Corp Tungsten alloys in semiconductor devices
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (zh) * 2014-08-28 2020-06-02 联华电子股份有限公司 半导体元件及其制作方法
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (zh) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 半導體元件、電子元件及電子元件端子結構
EP3235009A4 (en) * 2014-12-19 2018-07-25 INTEL Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
EP3238263B1 (en) * 2014-12-22 2024-06-26 Tahoe Research, Ltd. Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) * 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (ko) * 2015-03-16 2016-09-26 엘지전자 주식회사 전기제품, 전기제품의 펌웨어 업데이트 방법 및 네트워크 시스템
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
DE102015106397B4 (de) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10504721B2 (en) 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102386525B1 (ko) * 2015-06-19 2022-04-14 인텔 코포레이션 에피택셜적으로 성장된 소스/드레인 트랜지스터 영역들에 대한 탄소계 계면
WO2016204786A1 (en) 2015-06-19 2016-12-22 Intel Corporation Resistance reduction in transistors having epitaxially grown source/drain regions
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US10103249B2 (en) 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9947755B2 (en) * 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN106711214B (zh) * 2015-11-12 2023-08-22 蓝枪半导体有限责任公司 栅极全包覆式纳米线场效晶体管装置
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10586866B2 (en) 2015-12-09 2020-03-10 Intel Corporation Stressors for compressively strained GaN p-channel
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
KR102532202B1 (ko) 2016-01-22 2023-05-12 삼성전자 주식회사 반도체 소자
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (zh) 2016-05-12 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
JP6606020B2 (ja) * 2016-06-15 2019-11-13 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
CN109314137B (zh) * 2016-07-02 2023-06-02 太浩研究有限公司 带有释放的源极和漏极的半导体装置
US10128187B2 (en) 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
US9966438B2 (en) * 2016-09-19 2018-05-08 Applied Materials, Inc. Method of doped germanium formation
JP6724685B2 (ja) * 2016-09-23 2020-07-15 住友電気工業株式会社 半導体装置
US10326019B2 (en) * 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
US11081570B2 (en) 2016-09-28 2021-08-03 Intel Corporation Transistors with lattice matched gate structure
WO2018063280A1 (en) 2016-09-30 2018-04-05 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in mos transistors
CN109075204B (zh) * 2016-10-12 2021-11-05 京东方科技集团股份有限公司 薄膜晶体管、具有该薄膜晶体管的阵列基板、显示面板和显示装置、及其制造方法
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
EP3552228A4 (en) * 2016-12-12 2020-08-05 Applied Materials, Inc. METHOD FOR GENERATING SILICIDE
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
WO2018182611A1 (en) 2017-03-30 2018-10-04 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10043893B1 (en) * 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (ko) 2017-08-17 2022-04-27 삼성전자주식회사 반도체 소자
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102379707B1 (ko) * 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671673B (zh) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (ko) 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6896305B2 (ja) * 2017-11-09 2021-06-30 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
CN109817525B (zh) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10777663B2 (en) 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
US10504899B2 (en) * 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
CN108155101A (zh) * 2017-12-22 2018-06-12 中国科学院微电子研究所 一种堆叠纳米线及其制造方法
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (ko) 2018-03-21 2019-12-16 한국과학기술원 고유전율 절연막이 구비된 저마늄 반도체 소자 및 이의 제조방법
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (ja) 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) * 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
CN111211122B (zh) * 2018-11-21 2024-05-21 长鑫存储技术有限公司 半导体器件的制作方法与半导体器件
EP3716314A1 (fr) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Prise de contact sur du germanium
CN110634866B (zh) * 2019-09-05 2021-09-14 中国科学院微电子研究所 一种cmos晶体管、cmos晶体管的制备方法及电子设备
KR20210032845A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (zh) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 金属氧化物半导体器件及其制造方法
CN112466932A (zh) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 晶体管外延结构及其制备方法
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (zh) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 基于内嵌空腔soi衬底的多栅mos器件及其制备方法
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (zh) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 一种肖特基结源漏CMOS finFET及其制作方法
CN115148799B (zh) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 Rf ldmos器件及其制作方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007053381A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP2007165665A (ja) * 2005-12-15 2007-06-28 Renesas Technology Corp 半導体装置およびその製造方法
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080054347A1 (en) * 2006-09-06 2008-03-06 Yin-Pin Wang Composite stressors in MOS devices
US20080197412A1 (en) * 2007-02-16 2008-08-21 Da Zhang Multi-layer source/drain stressor
JP2009514248A (ja) * 2005-10-31 2009-04-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術
JP2009200090A (ja) * 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
WO2010068530A2 (en) * 2008-12-11 2010-06-17 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (ja) * 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (ja) * 1991-12-26 1993-07-23 Toshiba Corp 半導体装置及びその製造方法
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (ja) * 1993-12-16 1995-07-04 Nec Corp 半導体装置およびその製造方法
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (ja) 1997-03-18 1998-09-29 Hitachi Ltd 半導体装置およびその製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP2005183160A (ja) 2003-12-19 2005-07-07 Jst Mfg Co Ltd 雌コンタクト
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
WO2006038504A1 (ja) * 2004-10-04 2006-04-13 Matsushita Electric Industrial Co., Ltd. 縦型電界効果トランジスタおよびその製造方法
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (de) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8811915B2 (en) * 2005-03-04 2014-08-19 Psion Inc. Digital wireless narrow band radio
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
KR100733419B1 (ko) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 내부전원 생성장치
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
JP4536001B2 (ja) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 透光壁
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
EP1979935A1 (en) * 2006-01-25 2008-10-15 Nxp B.V. Tunneling transistor with barrier
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP4960007B2 (ja) * 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
JP5130648B2 (ja) 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5018473B2 (ja) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5317483B2 (ja) 2008-01-29 2013-10-16 株式会社東芝 半導体装置
US20110058126A1 (en) * 2008-02-14 2011-03-10 Yasunobu Okada Semiconductor element, method of manufacturing fine structure arranging substrate, and display element
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101552938B1 (ko) 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8084308B2 (en) 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (zh) * 2010-03-03 2014-09-11 Huang Chung Cheng 奈米結構的製造方法及奈米結構於三維結構之應用
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007053381A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP2009514248A (ja) * 2005-10-31 2009-04-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術
JP2007165665A (ja) * 2005-12-15 2007-06-28 Renesas Technology Corp 半導体装置およびその製造方法
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
JP2007214481A (ja) * 2006-02-13 2007-08-23 Toshiba Corp 半導体装置
US20080054347A1 (en) * 2006-09-06 2008-03-06 Yin-Pin Wang Composite stressors in MOS devices
US20080197412A1 (en) * 2007-02-16 2008-08-21 Da Zhang Multi-layer source/drain stressor
JP2010519734A (ja) * 2007-02-16 2010-06-03 フリースケール セミコンダクター インコーポレイテッド マルチレイヤ・ソース/ドレイン・ストレッサー
JP2009200090A (ja) * 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
WO2010068530A2 (en) * 2008-12-11 2010-06-17 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2012510720A (ja) * 2008-12-11 2012-05-10 インテル コーポレイション 歪み半導体デバイス用のゲルマニウム含有量が漸次変化した高ゲルマニウム化合物膜
JP2010171337A (ja) * 2009-01-26 2010-08-05 Toshiba Corp 電界効果トランジスタ

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170017880A (ko) * 2014-06-13 2017-02-15 인텔 코포레이션 웨이퍼 본딩을 위한 표면 캡슐화
JP2017523588A (ja) * 2014-06-13 2017-08-17 インテル・コーポレーション ウェハ接合のための表面封入
KR102206378B1 (ko) * 2014-06-13 2021-01-22 인텔 코포레이션 웨이퍼 본딩을 위한 표면 캡슐화
JP2017524257A (ja) * 2014-08-05 2017-08-24 インテル・コーポレーション 触媒酸化物の形成によってマイクロ電子デバイス分離を生成するための装置および方法
JP2017530544A (ja) * 2014-09-09 2017-10-12 インテル・コーポレーション マルチゲート高電子移動度トランジスタおよび製造方法
US10439057B2 (en) 2014-09-09 2019-10-08 Intel Corporation Multi-gate high electron mobility transistors and methods of fabrication
JP2020535632A (ja) * 2017-09-25 2020-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation ソース領域またはドレイン領域あるいはその両方とチャネル領域との間の直列抵抗の低減
JP7324192B2 (ja) 2017-09-25 2023-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション ソース領域またはドレイン領域あるいはその両方とチャネル領域との間の直列抵抗の低減
WO2020045076A1 (ja) * 2018-08-28 2020-03-05 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
US11710769B2 (en) 2018-08-28 2023-07-25 Sony Semiconductor Solutions Corporation Semiconductor device and manufacturing method therefor
KR20210112218A (ko) * 2020-03-03 2021-09-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자용 패시베이션 층
KR102469896B1 (ko) * 2020-03-03 2022-11-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자용 패시베이션 층
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11929422B2 (en) 2020-03-03 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices

Also Published As

Publication number Publication date
TW201242022A (en) 2012-10-16
CN105932063A (zh) 2016-09-07
SG191004A1 (en) 2013-07-31
US10811496B2 (en) 2020-10-20
KR20200070434A (ko) 2020-06-17
TW201701481A (zh) 2017-01-01
KR20130111592A (ko) 2013-10-10
CN103270599B (zh) 2016-08-03
US20130264639A1 (en) 2013-10-10
US20150333180A1 (en) 2015-11-19
KR20180005251A (ko) 2018-01-15
US10553680B2 (en) 2020-02-04
WO2012087581A3 (en) 2012-09-07
WO2012088097A3 (en) 2012-10-26
US8901537B2 (en) 2014-12-02
US10090383B2 (en) 2018-10-02
SG190998A1 (en) 2013-07-31
JP6329294B2 (ja) 2018-05-23
CN103329274B (zh) 2016-03-23
TWI544630B (zh) 2016-08-01
KR20150058546A (ko) 2015-05-28
US10879353B2 (en) 2020-12-29
KR20130111595A (ko) 2013-10-10
US11508813B2 (en) 2022-11-22
US20120153387A1 (en) 2012-06-21
JP2014507792A (ja) 2014-03-27
EP2656392A4 (en) 2014-09-10
JP5714721B2 (ja) 2015-05-07
TWI643342B (zh) 2018-12-01
KR20130088188A (ko) 2013-08-07
US9117791B2 (en) 2015-08-25
EP2656392A2 (en) 2013-10-30
JP2020074389A (ja) 2020-05-14
EP3361512B1 (en) 2020-09-02
KR101691115B1 (ko) 2016-12-30
KR102123036B1 (ko) 2020-06-15
TW201946283A (zh) 2019-12-01
CN105720091B (zh) 2019-06-28
EP3588579A1 (en) 2020-01-01
EP3726588A1 (en) 2020-10-21
EP2656393B1 (en) 2020-01-01
SG191005A1 (en) 2013-07-31
US20130240989A1 (en) 2013-09-19
CN105720091A (zh) 2016-06-29
CN105826390B (zh) 2021-05-25
CN103270597A (zh) 2013-08-28
EP3312886A1 (en) 2018-04-25
KR102168550B1 (ko) 2020-10-21
KR20170000404A (ko) 2017-01-02
CN103270598A (zh) 2013-08-28
KR101978085B1 (ko) 2019-05-13
US11387320B2 (en) 2022-07-12
US20200287011A1 (en) 2020-09-10
KR101784226B1 (ko) 2017-10-11
KR101489611B1 (ko) 2015-02-04
CN105826390A (zh) 2016-08-03
TW201824567A (zh) 2018-07-01
KR101510029B1 (ko) 2015-04-08
EP2656391A2 (en) 2013-10-30
TWI756520B (zh) 2022-03-01
CN103329274A (zh) 2013-09-25
JP5732142B2 (ja) 2015-06-10
EP2656391A4 (en) 2014-09-10
US10304927B2 (en) 2019-05-28
US9627384B2 (en) 2017-04-18
EP2656389A1 (en) 2013-10-30
JP2017135399A (ja) 2017-08-03
WO2012087581A2 (en) 2012-06-28
US20180342582A1 (en) 2018-11-29
KR101812389B1 (ko) 2017-12-26
EP3361512A1 (en) 2018-08-15
WO2012087404A1 (en) 2012-06-28
CN103270597B (zh) 2016-06-22
US20160322359A1 (en) 2016-11-03
JP5714722B2 (ja) 2015-05-07
US9349810B2 (en) 2016-05-24
CN105932063B (zh) 2019-12-17
KR20130088179A (ko) 2013-08-07
CN106684148A (zh) 2017-05-17
EP2656389A4 (en) 2014-09-10
SG191003A1 (en) 2013-07-31
CN103270599A (zh) 2013-08-28
EP2656393A4 (en) 2014-09-10
US20170221724A1 (en) 2017-08-03
CN103270598B (zh) 2016-06-15
JP2014504453A (ja) 2014-02-20
KR20170116200A (ko) 2017-10-18
US20200127091A1 (en) 2020-04-23
US9437691B2 (en) 2016-09-06
TWI690084B (zh) 2020-04-01
US20210005712A1 (en) 2021-01-07
EP2656391B1 (en) 2018-08-22
US11251281B2 (en) 2022-02-15
JP2014501452A (ja) 2014-01-20
US20160372547A1 (en) 2016-12-22
KR101949894B1 (ko) 2019-02-20
EP3582265A1 (en) 2019-12-18
PL3361512T3 (pl) 2021-04-19
CN106684148B (zh) 2020-12-01
US9722023B2 (en) 2017-08-01
US20220271125A1 (en) 2022-08-25
WO2012088097A2 (en) 2012-06-28
KR20200018740A (ko) 2020-02-19
EP2656389B1 (en) 2019-07-10
JP2018113484A (ja) 2018-07-19
US20150060945A1 (en) 2015-03-05
KR20150058552A (ko) 2015-05-28
EP2656393A1 (en) 2013-10-30
US20190259835A1 (en) 2019-08-22
KR102079356B1 (ko) 2020-02-19
WO2012087403A1 (en) 2012-06-28
US20200144362A1 (en) 2020-05-07
US20170373147A1 (en) 2017-12-28
KR20190018755A (ko) 2019-02-25

Similar Documents

Publication Publication Date Title
JP5714722B2 (ja) トランジスタ装置、集積回路及び製造方法
US10541334B2 (en) Techniques for integration of Ge-rich p-MOS source/drain
US9705000B2 (en) III-V layers for n-type and p-type MOS source-drain contacts
US9754940B2 (en) Self-aligned contact metallization for reduced contact resistance
TWI556439B (zh) 用於pmos整合之第iv族電晶體

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150311

R150 Certificate of patent or registration of utility model

Ref document number: 5714722

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250