JP2009514248A - 高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術 - Google Patents

高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術 Download PDF

Info

Publication number
JP2009514248A
JP2009514248A JP2008538919A JP2008538919A JP2009514248A JP 2009514248 A JP2009514248 A JP 2009514248A JP 2008538919 A JP2008538919 A JP 2008538919A JP 2008538919 A JP2008538919 A JP 2008538919A JP 2009514248 A JP2009514248 A JP 2009514248A
Authority
JP
Japan
Prior art keywords
silicon
layer
germanium
region
strain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008538919A
Other languages
English (en)
Inventor
シュトレック クリストフ
カーレルト フォルカー
ハンケ アレクサンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority claimed from PCT/US2006/041559 external-priority patent/WO2007053381A1/en
Publication of JP2009514248A publication Critical patent/JP2009514248A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

共有半径が大きくされた原子種、例えば少なくとも一部が置換されたゲルマニウム、を導入することにより、ゲルマニウムの集塊化ならびに格子の欠陥による応力緩和のリスクを低減することができる、非常に実効的な歪みのメカニズムが提供される。錫などの、半径が増加した原子種は、水素化錫に基づくエピタキシャル成長技術により、容易に導入することができる。

Description

概して、本発明は集積回路の形成に関し、より詳細には、MOSトランジスタのチャネル領域の電荷キャリア移動度を高めるべく、埋め込まれたシリコン/ゲルマニウムを用いることで歪みのあるチャネル領域を有する、タイプの異なるトランジスタを形成する技術に関する。
集積回路を製造するには、特定の回路レイアウトに応じて所与のチップエリア上に多数の回路素子を形成する必要があ。一般に、複数のプロセス技術が現在実施されており、マイクロプロセッサ、記憶チップなどの複合回路の場合、動作速度および/あるいは電力消費量および/あるいは費用効率の点で優れた特性を備えるこいう理由から、CMOS技術が現在最も有望なアプローチとされる。CMOS技術を用いた複合集積回路の製造において、数百万ものトランジスタ、すなわち、nチャネルトランジスタとpチャネルトランジスタが、結晶半導体層を含む基板に形成される。MOSトランジスタは、nチャネルトランジスタであるかpチャネルトランジスタであるかに拘わらず、いわゆるpn接合を備え、このpn接合は、逆ドープされたチャネル領域がドレイン領域とソース領域との間に配置された高濃度ドープドレインおよびソース領域の境界に形成される。
チャネル領域の伝導性、すなわち、伝導性チャネルの駆動電流の容量は、チャネル領域の上方に形成され、薄い絶縁層によってチャネル領域から分離されたゲート電極によって制御される。チャネル領域の伝導性は、伝導性領域が形成されると、適切な制御電圧をゲート電極に印加することにより、ドーパントの濃度、多数の電荷キャリアの移動度、およびトランジスタの幅方向におけるチャネル領域の所与の拡張に対して、チャネル長さとも呼ばれるソースおよびドレイン領域間の距離に左右される。したがって、制御電圧をゲート電極に印加すると、絶縁層の下方に伝導性チャネルを迅速に作り出す能力との組み合わせにより、チャネル領域の全体の伝導性によって、MOSトランジスタの特性が実質的に決定される。このことから、チャネル長さを縮小し、これによりチャネルの抵抗率が下がることで、チャネル長さが集積回路の動作速度を上げるための主要なデザイン基準となる。
しかしながら、トランジスタの寸法を縮小すると、それに関連した複数の問題が生じてしまい、MOSトランジスタのチャネル長さを着実に短くすることによって得られた利点をあまり損なわないようにこれらの問題に取り組む必要がある。これに関する主要な課題の1つとして、新たなデバイス世代に対して、トランジスタのゲート電極などの極限寸法の回路素子を確実に再現して生成することができる、強化されたフォトリソグラフィおよびエッチストラテジーを構築することが挙げられる。さらに、所望のチャネル制御性と組み合わせてシート抵抗と接触抵抗とを低くするために、ドレイン領域およびソース領域において、横方向に加えて垂直方向にも非常に高度なドーパントプロファイルが要求される。加えて、ゲート絶縁層に対して垂直のPN接合の位置はさらに、漏れ電流制御の点でクリティカルなデザイン基準を表す。よって、チャネル長を縮小するには、ゲート絶縁層およびチャネル領域によって形成されるインターフェースに対してドレインおよびソース領域の深さも低くしなければならず、これにより洗練されたインプラント技術が要求される。別のアプローチによれば、隆起したドレインおよびソース領域と呼ばれるエピタキシャル成長した領域が、ゲート電極に対して特定のオフセットを備えて形成され、この隆起したドレインおよびソース領域の導電性が増加される一方で、ゲート絶縁層に対して浅いPN接合が維持される。
極限寸法のサイズ、すなわち、トランジスタのゲート長、が縮小し続けていることから、上述のプロセスステップに関して非常に複雑なプロセス技術を適用し、場合によっては新たに構築する必要があるので、所与のチャネル長に対するチャネル領域の電荷キャリア移動度を増加することでトランジスタ素子のチャネルの導電性を強化することも提案されている。これにより、デバイスのスケーリングに関連付けられる多くの上記プロセスを適用しないで、あるいは少なくとも適用を延期しつつ、将来の技術ノードに対する進歩と互換性のあるパフォーマンスの向上を達成する可能性が与えられる。電荷キャリア移動度を増加する1つの実効的メカニズムとしては、例えば、チャネル領域に対応の歪みを生成するために引張応力あるいは圧縮応力を生成することで、チャネル領域の格子構造を変化させることが挙げられ、この結果、電子および正孔に対する移動度がそれぞれ変化する。例えば、チャネル領域に引張歪みを生成することで電子の移動度が増加する。ここでは、引張歪みの大きさおよび方向に応じて、移動度を50%あるいはそれ以上増加させることができ、これに対応して導電性度が増加し得る。他方では、チャネル領域の圧縮歪みにより正孔移動度が増加し、これにより、P型トランジスタのパフォーマンスを強化する可能性が与えられる。集積回路の製造に応力や歪み技術を導入することは、将来のデバイス世代にとって非常に有望なアプローチである。その理由は、例えば、歪みのあるシリコンは、「新たな」 種類のシリコン材料として考えられ、これにより、高額な半導体材料を必要とせずに、高速でパワフルな半導体デバイスの製造が可能になる一方で、十分に承認された多くの製造技術を依然として用いることができる。
この結果、引張応力あるいは圧縮応力を生成してこれを対応の歪みとするように、例えばシリコン/ゲルマニウム層あるいはシリコン/カーボン層をチャネル領域に、あるいはその下に導入することが提案されている。チャネル領域に、あるいはその下に応力生成層を導入することで、トランジスタのパフォーマンスを非常に向上することができるために、従来の十分に承認されたMOS技術に対応の応力層を形成するために、著しい努力が続けられている。例えば、チャネル領域に、あるいはその下の適所にゲルマニウムやカーボンを含む応力層を形成するためには、さらなるエピタキシャル成長技術が構築されてプロセスフローに導入されている。
他のアプローチでは、チャネル領域に所望の応力を生成するために、層、スペーサ素子などをオーバーレイすることで生成される外部応力が用いられる。しかし、特定の外部応力を加えることでチャネル領域に歪みを生成するプロセスは、外部応力をチャネル領域の歪みへ変換するステップを非効率的なものとしている。したがって、チャネル領域内に付加的な応力層を必要とする上述のアプローチにはプロセスの複雑性に関しては著しい利点を与えているが、応力伝達機構の効率は処理およびデバイスによって異なり、トランジスタのタイプによってはパフォーマンスゲインが減ることもある。
別のアプローチにおいては、PMOSトランジスタの正孔移動度は、トランジスタのソースおよびドレイン領域に歪みシリコン/ゲルマニウム層を形成することで強化することができる。ここでは、圧縮歪みのあるドレインおよびソース領域は、隣接するシリコンチャネル領域に一軸性の歪みを生成する。このために、PMOSトランジスタのドレインおよびソース領域には選択的にリセスが設けられる一方、NMOSトランジスタはマスキングされ、その後、シリコン/ゲルマニウム層がエピタキシャル成長によりPMOSトランジスタに選択的に形成される。この技術により、PMOSトランジスタのパフォーマンスゲインの点で、したがって、CMOSデバイス全体のパフォーマンスゲインの点で、著しい利点が与えられる。
したがって、所望の効果に応じて歪み層として、または緩和層として与えられる、埋め込まれた半導体材料により、具体的にはシリコン/ゲルマニウムにより歪みを生成する技術は、最新のシリコンベーストランジスタのデバイスのパフォーマンスを向上させる強力な手段であることが証明されている。しかし、それぞれのチャネル領域に生成される歪みの程度は、ベースのシリコンと埋め込まれた半導体化合物間の格子のミスマッチ量によって決まる。シリコン/ゲルマニウムに対しては、現在確立されているエピタキシャル成長技術のゲルマニウムの最高濃度は約25%までに制限されている。その理由は、濃度に制限がなければ、ゲルマニウムが集塊化してしまい、これにより対応する埋め込まれた半導体の化合物材料に望ましくない応力の緩和が生じることになり、その結果、それぞれのチャネル領域の歪みも減少してしまうからである。
上述の状況を鑑みて、埋め込まれた半導体材料により実効的に歪みを増加させることができる一方で、上述した問題点の1つ以上を回避するか少なくとも減らすことができる改良された技術が求められている。
以下、本発明のいくつかの態様を基本的に理解するために、本発明の概要を説明する。この概要は、本発明の全体像を詳細に説明するものではない。本発明の主要な、または重要な要素を特定しようとするものでも、本発明の範囲を説明しようとするものでもない。ここでの目的は、本発明のいくつかのコンセプトを簡単な形で提供して、後続のより詳細な説明に対する前置きとすることである。
概して、本発明は、結晶半導体の特定領域に歪みを生成する技術に関するものであり、このために、シリコンベースの格子、つまり、ダイアモンドのような格子構造と、それ自体が増加した格子間隔を有する半導体化合物との格子のミスマッチが利用される。従来は、高度なシリコンベースMOSトランジスタ素子に歪みのあるシリコンチャネルを生成する対応の技術が用いられており、すでに説明したように、このメカニズムで得られる歪みの量は、とりわけ、現在確立されているエピタキシャル成長技術においてうまく利用され得る限られたゲルマニウム濃度により著しく制限されている。したがって、本発明では、ゲルマニウムに加えて、またはこれに代えて、シリコンのような結晶構造内の結合特性に対して、実質的に増加した共有半径を有するさらなる原子種を用いることができ、これにより、実質的に非シリコン原子量が低減した状態でそれぞれのシリコンベース構造に高度な結晶歪みを生成することが可能となる。本発明の実施例では、ゲルマニウムに加えて、またはこれに代えて、錫(Sn)を使用することができる。その理由は、錫原子の原子価はシリコンおよびゲルマニウムと同じであり、さらに、錫原子は実質的により大きな共有半径を表すからである。
本発明の一実施例によれば、トランジスタデバイスは、ダイアモンドのような結晶構造を有する結晶半導体層が形成された基板を含む。結晶半導体層は、シリコンおよび結晶構造中のシリコンと同じ原子価を有するとともに、共有半径がゲルマニウムの共有半径よりも大きなさらなる原子種から構成される応力誘発領域を含む。さらに、トランジスタデバイスは、結晶半導体層と歪みのあるチャネル領域の上方に形成されたゲート電極を含む。
本発明の別の実施例では、半導体デバイスは、結晶半導体層に第1の歪みのある領域を形成するように、シリコンならびに錫を含む第1部位を有する結晶半導体層を含む。
本発明のさらに別の実施例では、方法は、結晶半導体層において、シリコンと、ゲルマニウムの共有半径よりも大きな共有半径を有するさらなる原子種に基づいて結晶構造を形成するステップを含む。さらに、上記方法は、半導体層の第1の特定領域に歪みを生成するように、結晶構造を用いるステップを含む。
本発明は添付の図面とともに以下の記載を参照することで理解することができる。図面において、同じ参照符号は同様の要素を示す。
本発明は、様々な改良を行い、また、他の形態で実施することができるが、ここに説明されている特定の実施例は、例示として示さたものであり、以下にその詳細を記載する。しかし当然のことながら、ここに示した特定の実施例は、本発明を開示されている特定の形態に限定するものではなく、むしろ本発明は添付の請求項によって規定されている発明の範疇に属する全ての改良、等価物、及び変形例をカバーするものである。本発明の実施例を以下に記載する。簡素化のため、現実の実施品におけるすべての特徴を本明細書に記載することはしていない。当然のことながら、そのような現実の実施品の開発においては、開発者における特定の目標を達成するため、システム的制限やビジネス的制限との摺り合せなど、多くの特定の実施の決定がなされる。それらは各実施形態によって様々に変化するものである。更に、そのような開発努力は複雑で時間を消費するものであるのは当然のことであるが、それでもなお、この開示の恩恵を有する当業者にとっては通常作業の範疇に入るものである。
以下、本発明を添付の図面を参照しながら記載する。図面には、様々な構造、システム、デバイスが単なる説明目的で、また、当業者にとっては周知の詳細で本発明を不明瞭にしないように概略的に示されている。しかしながら、添付の図面は本発明の実施例を説明・解説する目的で添付されているものである。本明細書で使用される用語や言い回しは関連技術において当業者たちによって理解される単語や言い回しと一貫した意味を持つものと理解、解釈される。本明細書において用語あるいは言い回しを一貫して使用していても、これらの用語や言い回しのいかなる特定の定義、すなわち、当業者により理解される通常の意味及び慣習的な意味からは異なる定義を意味するものではない。用語や言い回しを、特定の意味を有する範囲において用いる場合、つまり当業者により理解されているのとは異なる意味で用いる場合、本明細書においては、直接かつ明確にそのような言葉や言い回しの特定の定義を行う。概して、本発明は、歪みのないシリコン結晶とは格子の間隔が異なるダイアモンド型格子構造を有する、歪みのある半導体構造または緩和した半導体構造により強化された歪み生成メカニズムを検討する。すでに説明したように、シリコンベースの半導体デバイスの適切な位置に歪みのある、または緩和したシリコン/ゲルマニウム層を与えるメカニズムは、電荷キャリア移動度を、具体的には非常に高度なMOSトランジスタデバイスのチャネル領域の電荷キャリア移動度を対応して増加することができるように、実効的な歪み生成技術を提供する。この技術は、デバイス要件に応じて、歪みのあるシリコン/ゲルマニウム層か緩和したシリコン/ゲルマニウム層が形成されるエピタキシャル成長プロセスに依存している。
さらに最近では、誘電体表面に対する実質的な材料蒸着を実効的に抑える一方で、露出したシリコン表面やシリコンのような表面にシリコン、シリコン/ゲルマニウムなどを実効的に蒸着する局所的選択性エピタキシャル成長技術が構築されている。例えば、結晶シリコン層にシリコン/ゲルマニウム層が蒸着されると、シリコン/ゲルマニウム材料は、下方の結晶「テンプレート」と実質的に同じ格子間隔を有するように蒸着され、これにより歪みのあるシリコン/ゲルマニウム層が形成される。その理由は、乱されていないシリコン/ゲルマニウム結晶は、純粋なシリコン結晶よりも格子の間隔が幾分か大きいからである。シリコン/ゲルマニウム層ならびにシリコン層の格子間隔の差はゲルマニウム濃度によって決まる。したがって、シリコン/ゲルマニウム層に生成される歪みの量もまた、ゲルマニウムの濃度によって決まる。しかし、すでに説明したように、現在用いられているエピタキシャル成長技術では、ゲルマニウムの最大濃度は約25〜30%までに制限されている。一方で、高濃度ではゲルマニウムが集塊化するおそれがあり、その結果、欠陥率が増加してしまい、したがって、最初に歪みをかけたシリコン/ゲルマニウム層が緩和してしまう。
本発明によれば、所望の格子のミスマッチと、したがって歪みの程度は、ゲルマニウムよりも実質的に大きな共有半径を有する原子種に基づいて調整することができ、ある実施形態では、対象の原子種の原子価は、ダイアモンドのような結晶構造の共有結合構造に対するシリコンまたはゲルマニウムと実質的に同じである。一実施例では、共有半径が1.40Åである錫を適切な原子種として使用してもよい。
例えば、共有半径が1.22Åのゲルマニウムとともに共有半径が1.17Åのシリコンを使用してもよく、さらに、一定量の錫を加えて、実質的に拡張した格子のミスマッチを生成するようにしてもよい。シリコンと錫間の共有半径の差はシリコンとゲルマニウム間の半径の差よりも実質的に大きいので、非シリコン原子の数を低減した状態で全体の格子構造に対してより明白な効果を達成することができる。
したがって、ゲルマニウム濃度を臨界値の約25%を大きく下回る濃度に維持しながら、一定量の錫を加えることで依然として格子のミスマッチを得ることができる。
さらに、ある実施形態では、ゲルマニウムを加えないで、錫とともにシリコンを使用してもよく、これにより、非シリコン原子数が実質的に低減した状態で適度に高度な格子の変更を行うことができる。例えば、ある実施形態では、エピタキシャル成長によって錫を加えることに加えて、またはこれに代えて、注入などのその他の技術により一定量の錫を加える技術が検討されている。錫原子数が減少しているものの、それでもなお格子歪みに実質的に寄与する。このことから、現在用いられている注入技術によって実現可能な原子濃度であっても、特定の歪みを生成するために、あるいは、少なくとも格子のミスマッチ(従ってこれにより得られる歪みの程度)を微調整するには十分である。フォトリソグラフィにより形成されるレジストマスクに基づいて室温で実行することのできるイオン注入は十分に確立された技術であるので、対応の錫は、非常に実効的で、さらに非常に局所的な方法で加えることができ、これにより、プロセス技術ならびにデバイス設計にさらなるフレキシビリティが与えられる。例えば、現在実行されている注入技術によって実現可能な、約1020錫原子/cmという濃度は、対応のシリコン/錫またはシリコン/ゲルマニウム/錫結晶構造中の歪みの実効的な調整または制御を可能とする。
以下の詳細な説明では、本発明の原理の特定の用途が、つまり、特定の結晶半導体領域に歪みを生成するように、拡張した共有半径を有する原子種を加えることが説明されている。しかし、以下の詳細な説明および添付の請求項に特定の制限事項が明白に記載されていない限り、SOIのようなトランジスタ、バルクデバイス、隆起したドレイン並びにソース領域を有するトランジスタなどの特定のトランジスタアーキテクチャに限定することを意図しないことを理解されたい。
図1a〜1d、2a〜2d、および図3に関して、本発明のさらなる実施例が烏賊に詳細に説明されている。図1aに、早期製造段階における半導体デバイス100の断面図を概略的に示す。半導体デバイス100は、半導体層102を設けるための任意の適切な基板であってもよい基板101を備える。半導体層102とその上には、トランジスタ、コンデンサ、抵抗器などの回路素子が形成され得る。例えば、基板101は、シリコン基板などのバルク半導体基板であってもよく、または、SOIのような基板などの任意の絶縁基板であってもよく、半導体層102は埋め込み絶縁層(図示せず)上に形成され得る。本発明は、ゲート長が50nmおよびさらに短いトランジスタを含む高度なCMOS技術で一般に製造される、非常にスケーリングされたMOSトランジスタとの併用することで非常に有利であるが、さらに本発明の原理は、それほどクリティカルではない用途にも適用することができ、これにより、既存の設計に対して著しく性能を向上させることができる。
半導体層102はシリコンベースの結晶半導体層であってもよく、シリコンベースとの文言は、原子濃度が少なくとも50%のシリコンを有する材料層として理解されるものである。実施例では、半導体層102は、上述の値域のゲート長を有するトランジスタ素子を備えた非常に複雑な集積回路に一般に使用されるような、ドープされたシリコン層であってもよい。層102上には更なる実質的結晶層103を形成してもよく、層103はいわゆるバッファ層であってもよい。バッファ層では、結晶のミスマッチと、したがって、ゲルマニウム、錫などの特定の原子種の濃度が次第に増加し、実質的に緩和した応力生成結晶層104が層103上に形成される。層104は、ゲルマニウムの共有半径よりも大きな共有半径を有するシリコンとともに少なくとも1つの原子種を含み得る。一実施例では、応力誘発層104は、シリコン、ゲルマニウム、および錫から構成することができ、ゲルマニウムの濃度は1%未満〜約25%の範囲である一方で、錫の濃度は約0.1%〜25%の範囲であってもよい。ある実施形態では、ゲルマニウム含量は25%を大きく下回るように選択され、例えば1〜10%の範囲で選択される一方で、錫含量は、約0.1〜10%の範囲で選択されてもよい。さらに他の実施形態では、応力誘発層104は実質的にゲルマニウムを含まず、シリコンおよび錫から構成され得る。
層104は、バッファ層103を介して層104に転送される層102によって提供される結晶テンプレートに従うダイアモンドのような構造を有する、実質的に緩和した層であってもよい。しかし、層104の格子間隔は、ゲルマニウムならびに錫の濃度に応じて、層102の格子間隔とは違ってくる。
図1aに示すように、半導体デバイス100は、以下のプロセスにより形成され得る。基板101(シリコンバルク基板またはSOI基板であってもよく、基板101上には層102が形成されている。厚みはエピタキシャル成長プロセスによって適応される)を準備後に、さまざまな濃度で1つ以上の非シリコン種が蒸着され得るエピタキシャル成長プロセス105によりバッファ層103が形成される。例えば、水素化ゲルマニウム(GeH)に基づくシリコン/ゲルマニウムバッファ層の形成は十分に確立されており、さらに、バッファ層103に錫などの、どのような原子種も不適切であると考えられる場合に、層103を形成するために用いることができる。他の実施形態では、バッファ層103は、錫などのさらなる原子種に基づいて形成することができ、エピタキシャル成長プロセス105に先駆物質として水素化錫(SnH)を与えることによって形成することができる。水素化錫は、水素化ゲルマニウムと同様の方法で処理することができる。
バッファ層103の材料を適切に蒸着することにより、対応の格子構造は、格子間隔において層102の基本の結晶テンプレートから徐々に外れる。その結果、最終的に層104は、層102の元の格子間隔よりも格子間隔が増えた、実質的に歪みのない、つまり、緩和した半導体層として蒸着され得る。このために、エピタキシャル成長プロセス105において、今でも層104の主要材料であるシリコンとともに、所望量の錫と必要に応じて対応量のゲルマニウムとが与えられる。所望の層厚を達成すると、エピタキシャル成長プロセス105は中断され、さらなるエピタキシャル成長プロセスを実行して層104の上方に実質的に結晶シリコン層を蒸着するようにしてもよい。他の実施形態では、エピタキシャル成長プロセス105は、デバイス要件に応じて、ドープされている/されていないシリコンを続いて蒸着するように変更することができる。ここでは、実質的に緩和した層104は結晶テンプレートとしての役割を果たす。これにより、蒸着されたシリコンは、層102などの本来備わっている結晶シリコン層と比べると歪みのある結晶構造と実質的に同じ結晶構造を有し得る。これにより、ある程度の引っ張り歪みが生成される。
図1bに、さらに進んだ製造段階での半導体デバイス100を概略的に示す。図示しているように、上述のエピタキシャル成長技術に従って、さらなる結晶シリコン層106が層104に形成される。これにより、層106には、107として示すように、固有の引っ張り歪みが表れ、したがって、層106の電荷キャリア移動度が実効的に変更される。さらに、ゲート電極108が層106の上方に形成され、ゲート絶縁層109によって層106から分離されている。ゲート電極108は、ゲート絶縁層109とともに、層106中にチャネル領域110を形成している。このチャネル領域は、すでに説明したように引っ張り歪み107を表すものであって、これにより、例えばチャネル領域110の電子移動度が増加する。この結果、ゲート電極108とチャネル領域110を含む対応のトランジスタ素子の性能が著しく向上し、引っ張り歪み107の程度は、エピタキシャル成長プロセス105に基づいて実効的に調整ならびに制御がなされる。
これにより、シリコンベース層106に引っ張り歪みを生成する実効的な歪み生成メカニズムが応力生成層104によって提供される。
図1cに、結晶半導体層の特定領域に圧縮歪みが生成され得る、別の実施例による半導体デバイス100を概略的に示す。この実施形態では、応力誘発層104は結晶層102の真上に形成される。結晶構造はエピタキシャル成長プロセス105において再度、結晶テンプレートとしての役割を果たす。エピタキシャル成長プロセス105は、現在は、層104自体が実質的に歪みのある層として成長するように構成されている。これにより、本来の格子間隔が狭くなり、その結果、チャネル領域110が圧縮歪みのある領域となる。これにより、正孔移動度が変化するが、この変化は、チャネル領域110とゲート電極108とに基づくPチャネルトランジスタを形成するのに有利となり得る。
その後、図1bまたは図1cに図示したデバイス100から開始し、MOSトランジスタを形成する十分に確立された技術に基づいてさらなる製造プロセスを継続することができる。これについては図2a〜2dを参照して以下に詳述する。すでに説明したように、圧縮歪みまたは引っ張り歪みを生成するために必要な、対応する格子のミスマッチは、増加した共有半径を有する、濃度が中程度に低くされた原子種により十分に修正することができる。したがって、ある実施形態では、エピタキシャル成長プロセス105に加えて、またはこれに代えて、原子種を加える、拡散および注入などの他の技術を用いてもよい。例えば、注入プロセスを行い、例えば錫原子を層104に加えるようにしてもよく、これにより、対応の濃度と、従って、生成される歪みが増加する。
図1dに、ゲート電極108が形成され得る第1デバイス領域150を有する一方で、第2デバイス領域160にはゲート電極118が形成され得る半導体デバイス100を概略的に示しており、第2デバイス領域160は、レジストマスクの形態で与えられる注入マスク121によって覆われていてもよい。さらに、半導体デバイス100は、局所的に錫を加えるように、イオン注入120にさらされる。例えば、図示している実施形態では、層104は、図1cに関して説明したように、エピタキシャル成長プロセス105によって形成され、したがって、層104に固有の歪み107が与えられているものと想定される。ゲート電極108の近くに錫イオンをさらに注入することによって、対応の錫の濃度が増加する。ここでは、1016から1017ions/cmなどの高い投与量を用いて、層104に適度に高い付加的濃度の錫原子を与えるようにしてもよい。プロセス120の注入パラメータは、「テンプレート層」102に損傷を与え過ぎることなく、層104に錫イオンを実質的に蒸着する注入エネルギーを得るように、十分に確立されたシュミレーションモデルに基づいて選択される。
注入プロセス120の完了後、レジストマスク121を除去してもよい。またデバイス100はアニールプロセスにさらされ、層104の損傷部が再結晶化され、さらに、注入された種が実質的に格子サイトに配置されることで、層104に歪みのある格子が再構築される。チャネル領域110の近くの錫濃度が増加することにより、チャネル領域中の圧縮歪みがさらに増加し得る。これにより、さらに電荷キャリア移動度をより実効的に変更することができる。
上述の実施形態は単なる例示的なものであり、各種の変更が検討され得ることを理解されたい。例えば、マスク121に基づいてゲート電極108と118とを形成する前に、注入プロセス120を実施することができる。よって、層104の露出部分全体が増量された錫を受け入れる。さらに別の実施例では、エピタキシャル成長プロセス105は、注入プロセス120により導入される錫濃度が、所望の程度の歪み107を生成するのに十分であると考えられる場合には、省いてもよい。さらに別の実施形態では、エピタキシャル成長プロセス105は、シリコンおよびゲルマニウムに基づいて実行してもよく、さらに、注入プロセス120は、局所的に錫原子を導入するように利用することもでき、これにより、最終的に得られる歪みの微調整手段が与えられる。例えば、第1デバイス領域150ならびに第2デバイス領域160は、トランジスタ型の異なる領域であってもよく、または、程度の異なる歪みが求められる、異なるダイ領域であってもよい。例えば、スタティックRAM領域などの、複合マイクロプロセッサの高選択性デバイス領域では、歪みがない、または実質的に歪みが低減されていることが望ましいとされる一方で、CPUコアなどの論理領域では、CPUコアの動作速度を向上すべく、応力の程度が増加することは望ましいとされ得る。したがって、錫などの、増加した共有半径を有する原子種の効果が結晶構造に対して高まるので、局所的に歪みを調整するには、注入技術によって実現できる濃度が適切であるとされる。
図2a〜2dを参照すると、埋め込まれた歪み半導体材料がトランジスタ素子のドレインならびにソース領域に形成され、隣接するチャネル領域に対応の歪みが生成される、本発明のさらなる実施例がさらに詳細に説明されている。
図2aに、結晶半導体層202が形成された基板201を含む半導体デバイス200の断面図を概略的に示す。基板201は、バルクシリコン基板またはSOIのような基板であってもよい。つまり、基板201には、埋め込み絶縁層(図示せず)が形成されており、その上方には結晶半導体層202が形成されている。半導体層202は、シリコンベースの層であってもよく、つまり、層202は少なくとも約50%のシリコンを含む。さらに、早期製造段階でのトランジスタ素子250が結晶半導体層202とその上方に形成され得る。この段階では、ゲート電極208は層202の上方に形成され、ドープされたポリシリコンから構成されるか、層202の上方に任意の他の適切な材料が与えられてもよい。さらにゲート電極208は、層202からゲート絶縁層209によって分離されてもよい。他のアプローチ法ならびにトランジスタアーキテクチャを本発明とともに用いることができることを理解されたい。例えば、ゲート電極208は、製造の後期段階で除去し、電気特性が向上した導電材料を供給する、代替ゲート電極またはダミーゲート電極であってもよい。ゲート電極208は、キャッピング層230と、それぞれのライナ232によりゲート電極208から分離されているそれぞれのスペーサ素子231により「カプセル化」されてもよい。例えば、キャッピング層230ならびにスペーサ231は、窒化シリコン、酸窒化シリコン、および二酸化シリコンなどの任意の適切な誘電材料から構成されてもよく、これらは埋め込まれた歪み半導体領域を形成するエッチプロセスならびにエピタキシャル成長プロセスにおいてエッチならびに成長マスクとして使用してもよい。ライナ232は一般的に、スペーサ231に対して高選択性の材料から形成される。例えば、ライナ232とスペーサ231に、二酸化シリコンと窒化シリコンとの混合物を十分に確立されたエッチレシピに基づいて実効的に利用してもよい。
図2aに示す半導体デバイス200は、以下のプロセスに従って形成され得る。SOIのような基板が考慮される場合は、高度なウェハ接合技術や埋め込み絶縁層を形成する他の方法を含み得る基板201を製造後に、ゲート電極208ならびにゲート絶縁層209は適切なゲート絶縁材料を形成する蒸着および/または酸化により形成され、続いて、適切なゲート電極材料が蒸着される。その後、十分に確立されたレシピに従って高度なリソグラフィならびにエッチ技術が施され、対応の層がパターニングされる。これにより、ゲート電極208とゲート絶縁層209とが形成される。パターニングプロセスにおいては、ARコーティング(ARC)層、ハードマスク層などとして利用され得たキャッピング層230もまたパターニングされ得る。次に、プラズマエンハンスト化学気相蒸着(PECVD)に基づいてライナ材料が共形に蒸着され、続いてスペーサ層が蒸着される。このスペーサ層は次に異方性エッチプロセスによりパターニングされ、これによりスペーサ231が得られる。その後、露出した、ライナ232の残留物が除去される。次いでデバイス200は異方性エッチプロセス233にさらされ、カプセル化されたゲート電極208に隣接してそれぞれのキャビティまたはリセスが形成される。
図2bに、異方性エッチプロセス233の完了後にリセスまたはキャビティ234が形成された、さらに進んだ製造段階での半導体デバイス200を概略的に示す。次に、デバイス200は、後続の選択性エピタキシャル成長プロセスに備えるように任意の前処理にさらされてもよい。例えば、適切な洗浄プロセスを実施して、デバイス200の露出面から汚染物質とエッチの副産物とを除去するようにしてもよい。その後、選択的エピタキシャル成長プロセスを実施してもよく、一実施例では、適切な蒸着雰囲気は、シリコン含有先駆物質材料、ゲルマニウム含有先駆物質材料、および、シリコンおよびゲルマニウムと同じ原子価を有し、ゲルマニウムよりも増加した共有半径を表す原子種を含む先駆物質に基づいて確立することができる。一実施例では、蒸着雰囲気を水素化錫(SnH)に基づいて生成して、プロセス246の蒸着雰囲気に所望濃度の錫を与えるようにしてもよい。すでに説明したように、一般に選択的エピタキシャル成長プロセスでは、圧力、温度、キャリアガスの種類などのプロセスパラメータは、キャッピング層230およびスペーサ231などの表面には実質的に材料を蒸着せず、一方で、結晶層202の露出面には蒸着するように選択される。これにより、結晶層202がエピタキシャル成長材料の結晶構造を実質的に決定する結晶テンプレートとして使用される。この実施例では、リセス234の少なくとも一部に成長する材料は、歪みのある材料として提供される。つまり、この材料は層202のベーシックテンプレートと同じ結晶構造を表し、したがって、実質的に同じ格子間隔を表す。これにより、ゲルマニウムと、錫などの増加した共有半径を有するさらなる原子種の存在により、高歪みの材料領域が形成される。この結果、歪み結晶材料があることで、リセス234に隣接して設けられたチャネル領域235にも対応の歪みが生成される。
すでに説明したように、シリコンおよびゲルマニウムに対する錫の共有半径が増加しているので、非シリコン原子量を著しく減らしても十分に、エピタキシャル成長プロセス246において対応の歪みのある半導体材料を得ることが出来る。これにより、適度に低濃度の、例えば、約0.1%〜10%の範囲の蒸着雰囲気の錫が所要の錫の生成に適切であると考えられる。他の実施形態では、プロセス246の蒸着雰囲気は、実質的にゲルマニウムは導入せず、シリコンおよび錫などの、増加した共有半径を有する少なくとも1つのさらなる原子種に基づき確立することができる。これにより、実質量のゲルマニウムによる影響が、PN接合の漏れ電流などの他の電気特性に対して適切でないと考えられる場合に、設計上のフレキシビリティを強化することができる。エピタキシャル成長プロセス236において、錫および/またはゲルマニウムの濃度と、したがってシリコンの濃度は、任意の適切な方法で制御することができる。例えば、ある場合では、デバイス200のさらなるプロセッシングの後続の高温処理において、ゲルマニウムとは異なる錫の拡散挙動により、まだ形成されていないドレインならびにソース領域の上面の近くに錫原子を配置することが適切である。他の実施例では、錫は、続いて金属シリサイドが形成されることになる領域の近くに供給することができ、非常に少ない錫原子量で、金属シリサイドを生成する際のフレキシビリティを高めることができる。対応するデバイスと比較すると、これと同量の歪みを生成するには、ゲルマニウムを著しく増量しなければならないが、その場合、後続のシリサイド化プロセスに対しては著しい制限が課される。さらに他の実施形態では、最終のシリコン層が、エピタキシャル成長プロセス246によって形成された、歪みのあるシリコン/ゲルマニウム/錫層、または、シリコン/錫層の上部に形成される。
図2cに、エピタキシャル成長プロセス236の完了後の半導体デバイス200を概略的に示す。よって、デバイス200は、シリコンベース層202のダイアモンド構造に対応する結晶構造を有する、リセスの設けられた歪みのある半導体領域236を含む。領域236の結晶構造の本来の格子間隔が、標準のシリコンの間隔よりも大きいことによる格子のミスマッチにより、チャネル領域235に圧縮歪み207が生成され、これにより、トランジスタ250の動作において正孔移動度が向上する。さらに、ゲルマニウムの一部または全部を、錫などの、拡張した共有半径を有する原子種に置き換えることにより、領域236の非シリコン原子の濃度(従来のデバイスのように高含量のゲルマニウムが使用される場合に集塊化される濃度よりも大幅に低い濃度)に基づいて、歪み207を著しく増加させることができる。しかし、すでに説明したように、領域236のゲルマニウムおよび/または錫の濃度は、様々なデバイス要件を説明するように、深さ方向において異なる。例えば、図示している実施形態では、後続のシリサイド化プロセスを強化するように、特定の「過成長部」が形成されている。これにより、この結果生じる接触抵抗が低減する。さらに、236Aとして示す、エピタキシャル成長材料236の上部が与えられる。この部分のゲルマニウム濃度は少なくされている一方で、錫の濃度を対応して増加させることで高歪みを与えている。しかし、この錫の濃度は同量の歪み207を生成しているシリコン/ゲルマニウム領域と比べると非常に少ない。よって、後続のシリサイドプロセスのための適切な耐熱金属を選択するうえで、フレキシビリティを高めることができる。先行するエピタキシャル成長プロセスにおいて、領域236のゲルマニウムおよび/または錫の濃度のあらゆる適切なバリエーションを生成可能でることが理解される。
その後、スペーサ231およびキャッピング層230は、スペーサ231がドレインならびにソース領域の少なくとも一部の所望の側方向のプロファイルを得るのに適切な寸法を有するときは、場合によっては、ドレインならびにソース領域を形成する任意の注入プロセス後に除去される。他の場合では、スペーサ231ならびにキャッピング層230が除去されるとともに従来のプロセスシーケンスが実行されて、設計ルールにより定義されるドレインならびにソース領域の所要の複雑な側方向のプロファイルを得るための中間の注入プロセスでスペーサ素子が形成される。
図2dに、トランジスタ250が実質的に完成している、進んだ製造段階における半導体デバイス200を概略的に示す。したがって、デバイス200は、それぞれのライナによって分離された複数の個々のスペーサ素子を含む、サイドウォールスペーサ構造237を含む。さらに、ドレインならびにソース領域239は、デバイス要件に従う特定の側方向のドーパントプロファイルを有する。さらに、金属シリサイド領域238は、ドレインならびにソース領域239に形成され、対応の金属シリサイド領域239はゲート電極208に形成され得る。これにより、チャネル領域235は、領域236により生成された歪み236により圧縮歪みがかけられており、その結果、Pチャネルトランジスタであり得るトランジスタ250の駆動電流容量が著しく増加する。領域236に、増加した共有半径を有する原子種を供給することにより、埋め込まれたシリコン/ゲルマニウム領域を含む従来のデバイスと比べると、歪み207を著しく増加させることができる。
さらに、領域236にゲルマニウムおよび/または錫の濃度を適切に設計することで、金属シリサイド領域238を形成するうえでのフレキシビリティを高めることができる。例えば、高導電性のニッケルシリサイドは、少なくとも部位236Aのゲルマニウム含量を減らすことにより形成することができる一方で、これに対応して錫の濃度を増加させることで歪みを強化することができる。これにより、著しいパフォーマンスゲインが得られる一方で、埋め込まれたシリコン/ゲルマニウム半導体構造を形成する従来のプロセスとの高度な互換性は維持され、さらに、設計上のさらなる自由度を得ることが出来る。このために、対応のエピタキシャル成長プロセスは、水素化錫(SnH)などの適切な先駆物質材料の供給するように変更することができ、これに基づいて、適切で望ましい非シリコン原子の導入が実現される。これにより、歪みのある半導体領域236内の相分離および結晶スリップによる応力の緩和を実質的に生成しないで、生成された歪みを実効的に制御することが可能となる。
図3に、さらなる他の実施形態に従う半導体デバイス300の断面図を概略的に示す。半導体デバイス300は、結晶半導体層302に基づいて基板301の上方に形成された第1トランジスタ350と第2トランジスタ360とを含む。基板302(301?)ならびに半導体層302に関しては、コンポーネント101、102、201および202に関してすでに説明した基準と同じ基準を適用することができる。さらに、実施例では、トランジスタ350、360は、カプセル化されたゲート電極308を有し、これに隣接して、対応の隆起した半導体領域336、336Aが形成されてもよい。一実施例(図示せず)では、隆起した領域336、336Aは設けられず、単に結晶半導体層302の一部であってもよい。
図3に示すデバイス300は、図2a〜2bに関してすでに説明したように、プロセスストラテジーに従って形成されてもよいが、トランジスタ350、360は、大きさの異なる歪みを受け入れることができるトランジスタであってもよい。その理由は、これらのトランジスタは、異なるダイ領域におけるトランジスタであってもよく、または、導電型の異なるトランジスタであってもよいからである。例えば、第1トランジスタ350はPチャネルトランジスタである一方で、トランジスタ360はNチャネルトランジスタであってもよい。これにより、半導体領域336、336Aを受け入れるように、それぞれのキャビティまたはリセスを形成する対応のエッチプロセスにおいては、一方のトランジスタ型を完全に覆いつつ、もう一方を露出するハードマスクはいずれも必要とされ得ないことから、プロセスの均一性を強化することができる。同様に、後続のエピタキシャル成長プロセスでは、従来の技術(一方のトランジスタ型を完全に覆い、もう一方の型を露出する技術)においても問題視されうるローディング効果が減少することにより、基板301にわたって均一の程度を高めることができる。隆起した半導体領域336、336Aを形成する対応のエピタキシャル成長プロセスにおいては、少量のゲルマニウムおよび/または錫が導入されて、例えば第2トランジスタ360の性能と互換性のある「ベーシック」歪み307が与えられる。他の実施例では、このベーシック歪み307が適切でないと考えられる場合は、対応のキャビティエッチならびに後続のエピタキシャル成長プロセスを省いてもよい。他の場合では、トランジスタ360は、少なくされた歪み307を受け入れるクリティカルデバイス領域(スタティックRAM領域など)のPチャネルトランジスタであってもよく、一方でトランジスタ350には増加された歪みが求められる。一実施例では、注入プロセス320が実行され、このプロセスにおいて第1トランジスタ350が露出される一方、第2トランジスタ360はレジストマスク321によって覆われる。注入プロセス320において、錫が領域336に注入され、これにより領域336中の錫濃度が増加する。この結果、格子歪みをより実効的に生成する錫の共有半径が増加することから、所望に応じて歪みを増加させることができる。注入により生成される濃度(implantation induced concentrations)という意味での高濃度、例えば、約1020原子/cmまたはそれ以上の濃度が求められることから、領域336は注入プロセス320の間、実質的に非晶質化される。したがって、結晶テンプレート302に基づいて実質的に非晶質化された部位336を再結晶化するようにアニールプロセスが行われ、これにより、領域336に著しく歪みのかけられた結晶構造が形成される。この結果、最初の歪み307が307Aの歪み量(value 307A)にまで増加し、この結果、トランジスタ350において所要のモビリティゲイン(mobility gain)を得ることが出来る。その後、図2a〜2dに関してすでに説明したように、トランジスタ350および360を完成させるさらなるプロセッシングを継続してもよい。
他の実施例では、注入プロセス320によって達成される濃度により得られる歪み307の生成が適切であると考えられる場合は、先に領域336、336Aを形成しないで注入プロセス320を実行してもよい。例えば、高選択性のデバイス領域では、歪みのある半導体材料に基づく歪みの生成はNチャネルトランジスタには不適切とされ得る一方で、PMOSトランジスタのチャネル領域の「ゆるい(mild)」歪みが望ましいとされ得る。この状況では、注入シーケンス320はドレインならびにソース領域の形成前に行われてもよく、一実施形態では、さらなる注入320を前非晶質化注入プロセスとして実行し、ドレインならびにソース領域を形成する後続のドーパント導入を強化するようにしてもよい。これにより、Nチャネルトランジスタに著しい影響を与えることなく、既存のプロセス技術との互換性の高い、高選択性パフォーマンスを向上させることができる。
この結果、本発明は、ゲルマニウムよりも大きな共有半径を有する錫などの原子種がそれぞれの結晶シリコンベースの半導体層に導入され、これにより、集塊化ならびに格子の欠陥により応力が緩和されるリスクを実質的に減らすことができる点で、歪みまたは緩和半導体材料によって歪みを生成する改良された技術を提供する。
実施例では、増加した共有半径を有する原子種は、水素化錫(S11)などの適切な先駆物質材料に基づいて、エピタキシャル成長プロセスにより導入することができる。さらに、注入などの他の技術により原子種を導入することで、高局所化した歪みの生成を実現することができ、これにより、プロセスならびにプロダクトの設計において高いフレキシビリティを与えることができる。
本発明による利益を享受し得る当業者であれば、本発明に関して等価の範囲内で種々の変形及び実施が可能であることは明らかであることから、上述の個々の実施形態は、例示的なものに過ぎない。
例えば、上述した方法における各ステップは、その実行順序を変えることもできる。更に上述した構成あるいは設計の詳細は、なんら本発明を限定することを意図するものではなく、請求の範囲の記載にのみ限定されるものである。
従って、上述した特定の実施形態は、変形及び修正が可能であることは明らかであり、このようなバリエーションは、本発明の趣旨及び範囲内のものである。従って、本発明の保護は、請求の範囲によってのみ限定されるものである。
本発明の実施例に従う、シリコンと、シリコンのダイアモンド構造に構築することができ、ゲルマニウムと比べると増加した共有半径を有する更なる種に基づいて、結晶半導体層に歪み領域を生成する際の様々な製造段階における半導体デバイスの概略断面図。 本発明の実施例に従う、シリコンと、シリコンのダイアモンド構造に構築することができ、ゲルマニウムと比べると増加した共有半径を有する更なる種に基づいて、結晶半導体層に歪み領域を生成する際の様々な製造段階における半導体デバイスの概略断面図。 本発明の実施例に従う、シリコンと、シリコンのダイアモンド構造に構築することができ、ゲルマニウムと比べると増加した共有半径を有する更なる種に基づいて、結晶半導体層に歪み領域を生成する際の様々な製造段階における半導体デバイスの概略断面図。 本発明の実施例に従う、シリコンと、シリコンのダイアモンド構造に構築することができ、ゲルマニウムと比べると増加した共有半径を有する更なる種に基づいて、結晶半導体層に歪み領域を生成する際の様々な製造段階における半導体デバイスの概略断面図。 本発明のさらなる実施例に従う、それぞれのチャネル領域に圧縮歪みを生成する、埋め込まれた歪みのある半導体領域を受け入れるトランジスタデバイスを形成する際の様々な製造段階における概略断面図。図3:本発明のさらに別の実施例に従う、大きさの異なる歪みを生成するために様々な量の錫を受け入れる、様々なトランジスタ素子を含む半導体デバイスの概略断面図。 本発明のさらなる実施例に従う、それぞれのチャネル領域に圧縮歪みを生成する、埋め込まれた歪みのある半導体領域を受け入れるトランジスタデバイスを形成する際の様々な製造段階における概略断面図。図3:本発明のさらに別の実施例に従う、大きさの異なる歪みを生成するために様々な量の錫を受け入れる、様々なトランジスタ素子を含む半導体デバイスの概略断面図。 本発明のさらなる実施例に従う、それぞれのチャネル領域に圧縮歪みを生成する、埋め込まれた歪みのある半導体領域を受け入れるトランジスタデバイスを形成する際の様々な製造段階における概略断面図。図3:本発明のさらに別の実施例に従う、大きさの異なる歪みを生成するために様々な量の錫を受け入れる、様々なトランジスタ素子を含む半導体デバイスの概略断面図。 本発明のさらなる実施例に従う、それぞれのチャネル領域に圧縮歪みを生成する、埋め込まれた歪みのある半導体領域を受け入れるトランジスタデバイスを形成する際の様々な製造段階における概略断面図。 本発明のさらに別の実施例に従う、大きさの異なる歪みを生成するために様々な量の錫を受け入れる、様々なトランジスタ素子を含む半導体デバイスの概略断面図。

Claims (12)

  1. 結晶半導体層(104、236、336)において、シリコンと、ゲルマニウムの共有半径よりも大きな共有半径を有する少なくとも1つのさらなる原子種と、に基づいて結晶構造を形成するステップと、
    前記半導体層の第1領域(110、235、335)に歪み(107、207、307)を生成するために、前記結晶構造を用いるステップと、を含む方法。
  2. 前記結晶構造を形成するステップは、前記シリコンと、前記少なくとも1つのさらなる原子種をエピタキシャル成長させるステップと、前記シリコンおよび少なくとも1つのさらなる原子種を蒸着するステップと、の少なくとも一方を含む、請求項1記載の方法。
  3. 前記結晶構造を形成するステップは、前記少なくとも1つのさらなる原子種を前記結晶半導体層の第1部位に注入するステップと、前記結晶半導体層を結晶テンプレートとして用いて前記部位を再結晶化するステップと、を含む請求項1記載の方法。
  4. 前記構造にシリコン/ゲルマニウム結晶を形成するステップと、前記第1領域の歪みの量を調整するように、前記少なくとも1つのさらなる原子種を注入するステップと、をさらに含む、請求項3記載の方法。
  5. 前記結晶半導体層の第2領域に、前記第1歪み(307)とは異なる第2歪み(307A)を生成するように、前記少なくとも1つのさらなる原子種を第2部位に注入するステップをさらに含む、請求項3記載の方法。
  6. 前記結晶半導体層の上方にゲート電極(108、208、308)を形成するステップをさらに含み、前記結晶構造は前記ゲート電極(108、208、308)に対して側方向にオフセットして形成されている、請求項1記載の方法。
  7. 前記ゲート電極(108、208、308)に隣接してリセス(234)を形成し、前記リセス内に前記結晶構造の少なくとも一部(236、336)を形成するステップをさらに含む、請求項6記載の方法。
  8. シリコンおよびゲルマニウムの共有半径よりも大きな共有半径を有する少なくとも1つのさらなる原子種を含む、第1応力誘発領域(335)を有する結晶半導体層(104、236、336)を含む、半導体デバイス。
  9. 前記結晶半導体層(104)の上方に形成されたゲート電極(108、208、308)と、
    歪みのあるチャネル領域(110、235、335)と、をさらに含み、前記第1応力誘発領域はドレインならびにソース領域に形成される、請求項8記載の半導体デバイス。
  10. 前記第1応力誘発領域は錫を含む、請求項8記載の半導体デバイス。
  11. 前記第1応力誘発領域はゲルマニウムを含む、請求項10記載の半導体デバイス。
  12. シリコンおよび少なくとも1つのさらなる原子種を含む第2応力誘発領域(335)をさらに含み、前記第1応力誘発領域の前記少なくとも1つのさらなる原子種の濃度は、前記第2応力誘発領域(335)の少なくとも1つのさらなる原子種の濃度とは異なる、請求項8記載の半導体デバイス。
JP2008538919A 2005-10-31 2006-10-23 高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術 Pending JP2009514248A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102005051994A DE102005051994B4 (de) 2005-10-31 2005-10-31 Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
US11/465,592 US7544551B2 (en) 2005-10-31 2006-08-18 Technique for strain engineering in Si-based Transistors by using embedded semiconductor layers including atoms with high covalent radius
PCT/US2006/041559 WO2007053381A1 (en) 2005-10-31 2006-10-23 Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius

Publications (1)

Publication Number Publication Date
JP2009514248A true JP2009514248A (ja) 2009-04-02

Family

ID=37949774

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008538919A Pending JP2009514248A (ja) 2005-10-31 2006-10-23 高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術

Country Status (6)

Country Link
US (1) US7544551B2 (ja)
JP (1) JP2009514248A (ja)
KR (1) KR101238432B1 (ja)
CN (1) CN101300664B (ja)
DE (1) DE102005051994B4 (ja)
TW (1) TWI495101B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009071312A (ja) * 2007-09-14 2009-04-02 Asml Netherlands Bv リソグラフィ装置の光エレメントの保護方法およびデバイス製造方法
JP2011071517A (ja) * 2009-09-24 2011-04-07 Taiwan Semiconductor Manufacturing Co Ltd 金属ゲートとストレッサーを有するゲルマニウムフィンfet
JP2012504326A (ja) * 2008-09-30 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 基板全域にわたって高められた均一性を有する埋め込みSi/Ge材質を伴うトランジスタ
JP2014508396A (ja) * 2010-12-21 2014-04-03 インテル コーポレイション トランジスタ装置、集積回路及び製造方法
KR101393917B1 (ko) * 2012-05-16 2014-05-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Cmos 디바이스 및 그 형성 방법
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
WO2015129810A1 (ja) * 2014-02-27 2015-09-03 株式会社 東芝 Cmosイメージセンサ
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2020535632A (ja) * 2017-09-25 2020-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation ソース領域またはドレイン領域あるいはその両方とチャネル領域との間の直列抵抗の低減

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100782497B1 (ko) * 2006-11-20 2007-12-05 삼성전자주식회사 얇은 응력이완 버퍼패턴을 갖는 반도체소자의 제조방법 및관련된 소자
DE102007025336B4 (de) 2007-05-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren für die Verformungserzeugung in siliziumbasierten Transistoren durch Anwendung von Implantationstechniken zur Herstellung einer verformungs-induzierenden Schicht unter dem Kanalgebiet
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010147392A (ja) * 2008-12-22 2010-07-01 Elpida Memory Inc 半導体装置およびその製造方法
DE102008063427B4 (de) * 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8368147B2 (en) * 2010-04-16 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with recessed channel
CN102339852B (zh) * 2010-07-27 2013-03-27 中国科学院微电子研究所 半导体器件及其制造方法
CN102339860B (zh) * 2010-07-27 2013-03-27 中国科学院微电子研究所 半导体器件及其制造方法
US8377780B2 (en) 2010-09-21 2013-02-19 International Business Machines Corporation Transistors having stressed channel regions and methods of forming transistors having stressed channel regions
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130183814A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103377941B (zh) * 2012-04-28 2016-08-10 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9691898B2 (en) * 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN105161406B (zh) * 2014-06-12 2019-04-26 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
FR3034909B1 (fr) * 2015-04-09 2018-02-23 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de dopage des regions de source et de drain d'un transistor a l'aide d'une amorphisation selective
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213622A (ja) * 1994-09-13 1996-08-20 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5548128A (en) * 1994-12-14 1996-08-20 The United States Of America As Represented By The Secretary Of The Air Force Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates
JP3621695B2 (ja) * 2002-07-29 2005-02-16 株式会社東芝 半導体装置及び素子形成用基板
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
WO2005015609A2 (en) 2003-06-13 2005-02-17 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn
US7598513B2 (en) * 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
US6974733B2 (en) * 2003-06-16 2005-12-13 Intel Corporation Double-gate transistor with enhanced carrier mobility
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7029980B2 (en) 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213622A (ja) * 1994-09-13 1996-08-20 Toshiba Corp 半導体装置およびその製造方法

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2009071312A (ja) * 2007-09-14 2009-04-02 Asml Netherlands Bv リソグラフィ装置の光エレメントの保護方法およびデバイス製造方法
JP2012504326A (ja) * 2008-09-30 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 基板全域にわたって高められた均一性を有する埋め込みSi/Ge材質を伴うトランジスタ
JP2011071517A (ja) * 2009-09-24 2011-04-07 Taiwan Semiconductor Manufacturing Co Ltd 金属ゲートとストレッサーを有するゲルマニウムフィンfet
JP2013243381A (ja) * 2009-09-24 2013-12-05 Taiwan Semiconductor Manufacturing Co Ltd 金属ゲートとストレッサーを有するゲルマニウムフィンfet
US9698060B2 (en) 2009-09-24 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US9627384B2 (en) 2010-12-21 2017-04-18 Intel Corporation Transistors with high concentration of boron doped germanium
US9722023B2 (en) 2010-12-21 2017-08-01 Intel Corporation Selective germanium P-contact metalization through trench
US11508813B2 (en) 2010-12-21 2022-11-22 Daedalus Prime Llc Column IV transistors for PMOS integration
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9349810B2 (en) 2010-12-21 2016-05-24 Intel Corporation Selective germanium P-contact metalization through trench
US9437691B2 (en) 2010-12-21 2016-09-06 Intel Corporation Column IV transistors for PMOS integration
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US11251281B2 (en) 2010-12-21 2022-02-15 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2014508396A (ja) * 2010-12-21 2014-04-03 インテル コーポレイション トランジスタ装置、集積回路及び製造方法
US11387320B2 (en) 2010-12-21 2022-07-12 Intel Corporation Transistors with high concentration of germanium
US10090383B2 (en) 2010-12-21 2018-10-02 Intel Corporation Column IV transistors for PMOS integration
US9117791B2 (en) 2010-12-21 2015-08-25 Intel Corporation Selective germanium P-contact metalization through trench
US10297670B2 (en) 2010-12-21 2019-05-21 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US10304927B2 (en) 2010-12-21 2019-05-28 Intel Corporation Selective germanium p-contact metalization through trench
US10553680B2 (en) 2010-12-21 2020-02-04 Intel Corporation Selective germanium P-contact metalization through trench
US10811496B2 (en) 2010-12-21 2020-10-20 Intel Corporation Transistor devices having source/drain structure configured with high germanium content portion
US10879353B2 (en) 2010-12-21 2020-12-29 Intel Corporation Selective germanium P-contact metalization through trench
US11476344B2 (en) 2011-09-30 2022-10-18 Daedalus Prime Llc Contact resistance reduction employing germanium overlayer pre-contact metalization
KR101393917B1 (ko) * 2012-05-16 2014-05-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Cmos 디바이스 및 그 형성 방법
US10096641B2 (en) 2014-02-27 2018-10-09 Kabushiki Kaisha Toshiba CMOS image sensor
WO2015129810A1 (ja) * 2014-02-27 2015-09-03 株式会社 東芝 Cmosイメージセンサ
JP2020535632A (ja) * 2017-09-25 2020-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation ソース領域またはドレイン領域あるいはその両方とチャネル領域との間の直列抵抗の低減
JP7324192B2 (ja) 2017-09-25 2023-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション ソース領域またはドレイン領域あるいはその両方とチャネル領域との間の直列抵抗の低減

Also Published As

Publication number Publication date
TW200802861A (en) 2008-01-01
TWI495101B (zh) 2015-08-01
KR20080074937A (ko) 2008-08-13
US20070096194A1 (en) 2007-05-03
DE102005051994B4 (de) 2011-12-01
CN101300664B (zh) 2010-11-10
US7544551B2 (en) 2009-06-09
CN101300664A (zh) 2008-11-05
DE102005051994A1 (de) 2007-05-10
KR101238432B1 (ko) 2013-02-28

Similar Documents

Publication Publication Date Title
JP2009514248A (ja) 高共有半径の原子を含む埋め込まれた半導体層を利用したシリコンベースのトランジスタに歪みを生成する技術
JP4937263B2 (ja) Nmosトランジスタおよびpmosトランジスタに凹んだ歪みのあるドレイン/ソース領域を形成する技術
JP5576655B2 (ja) ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術
JP5204645B2 (ja) 強化した応力伝送効率でコンタクト絶縁層を形成する技術
TWI441282B (zh) 用於藉由基於受應力之植入遮罩的應力記憶法而形成受應變之電晶體的方法
US7329571B2 (en) Technique for providing multiple stress sources in NMOS and PMOS transistors
US7879667B2 (en) Blocking pre-amorphization of a gate electrode of a transistor
US7399663B2 (en) Embedded strain layer in thin SOI transistors and a method of forming the same
JP4937253B2 (ja) コンタクト絶縁層および異なる特性を有するシリサイド領域を形成するための技法
US7344984B2 (en) Technique for enhancing stress transfer into channel regions of NMOS and PMOS transistors
US7579262B2 (en) Different embedded strain layers in PMOS and NMOS transistors and a method of forming the same
JP5443767B2 (ja) 駆動電流を減少させずにしきい値をさらに安定させるトランジスタデバイス
US7569437B2 (en) Formation of transistor having a strained channel region including a performance enhancing material composition utilizing a mask pattern
WO2010076017A1 (en) A transistor with an embedded strain inducing material having a gradually shaped configuration
JP2008518476A (ja) 異なるように歪ませた歪みチャネル領域を有する半導体領域を含む、半導体デバイスおよびその製造方法
US8062952B2 (en) Strain transformation in biaxially strained SOI substrates for performance enhancement of P-channel and N-channel transistors
WO2007053381A1 (en) Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
WO2008054678A1 (en) A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
WO2007053382A1 (en) An embedded strain layer in thin soi transistors and a method of forming the same
WO2008016512A1 (en) A transistor having a strained channel region including a performance enhancing material composition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091008

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120801

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130109