TWI495101B - 藉由使用包含具有高共價半徑之原子的嵌入半導體層之用於矽基電晶體中工程應變之技術 - Google Patents
藉由使用包含具有高共價半徑之原子的嵌入半導體層之用於矽基電晶體中工程應變之技術 Download PDFInfo
- Publication number
- TWI495101B TWI495101B TW095139687A TW95139687A TWI495101B TW I495101 B TWI495101 B TW I495101B TW 095139687 A TW095139687 A TW 095139687A TW 95139687 A TW95139687 A TW 95139687A TW I495101 B TWI495101 B TW I495101B
- Authority
- TW
- Taiwan
- Prior art keywords
- strain
- tin
- region
- semiconductor layer
- crystalline
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 127
- 239000004065 semiconductor Substances 0.000 title claims description 97
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 66
- 229910052718 tin Inorganic materials 0.000 claims description 62
- 229910052732 germanium Inorganic materials 0.000 claims description 52
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 52
- 239000000463 material Substances 0.000 claims description 27
- 239000000758 substrate Substances 0.000 claims description 27
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 23
- 239000013078 crystal Substances 0.000 claims description 23
- 229910052707 ruthenium Inorganic materials 0.000 claims description 22
- 238000000151 deposition Methods 0.000 claims description 12
- 230000001939 inductive effect Effects 0.000 claims description 11
- 239000002243 precursor Substances 0.000 claims description 7
- KXCAEQNNTZANTK-UHFFFAOYSA-N stannane Chemical compound [SnH4] KXCAEQNNTZANTK-UHFFFAOYSA-N 0.000 claims description 5
- 229910000083 tin tetrahydride Inorganic materials 0.000 claims description 5
- 229910052797 bismuth Inorganic materials 0.000 claims description 4
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims description 4
- 238000002425 crystallisation Methods 0.000 claims description 4
- 230000008025 crystallization Effects 0.000 claims description 4
- 229910052741 iridium Inorganic materials 0.000 claims description 4
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 4
- 230000005669 field effect Effects 0.000 claims 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims 1
- 229910052739 hydrogen Inorganic materials 0.000 claims 1
- 239000001257 hydrogen Substances 0.000 claims 1
- 230000008569 process Effects 0.000 description 79
- 230000001965 increasing effect Effects 0.000 description 31
- 125000006850 spacer group Chemical group 0.000 description 19
- 239000007943 implant Substances 0.000 description 18
- 230000035882 stress Effects 0.000 description 17
- 238000004519 manufacturing process Methods 0.000 description 13
- 238000005516 engineering process Methods 0.000 description 11
- 238000013461 design Methods 0.000 description 10
- 238000005530 etching Methods 0.000 description 10
- 238000002513 implantation Methods 0.000 description 10
- 230000001976 improved effect Effects 0.000 description 9
- 229910052715 tantalum Inorganic materials 0.000 description 9
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 9
- 230000008021 deposition Effects 0.000 description 8
- 239000002800 charge carrier Substances 0.000 description 6
- 125000004431 deuterium atom Chemical group 0.000 description 6
- 238000011161 development Methods 0.000 description 6
- 230000018109 developmental process Effects 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 230000004048 modification Effects 0.000 description 5
- 238000012986 modification Methods 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910052787 antimony Inorganic materials 0.000 description 4
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 4
- -1 hydrazine hydride Chemical compound 0.000 description 4
- 238000005468 ion implantation Methods 0.000 description 4
- 229910052758 niobium Inorganic materials 0.000 description 4
- 239000010955 niobium Substances 0.000 description 4
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000006355 external stress Effects 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000004904 shortening Methods 0.000 description 3
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 3
- 229910052684 Cerium Inorganic materials 0.000 description 2
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 2
- 229910052691 Erbium Inorganic materials 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 229910052805 deuterium Inorganic materials 0.000 description 2
- 229910003460 diamond Inorganic materials 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 229910052762 osmium Inorganic materials 0.000 description 2
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 2
- 150000004772 tellurides Chemical group 0.000 description 2
- 238000005496 tempering Methods 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 208000012868 Overgrowth Diseases 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 238000004220 aggregation Methods 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 238000005280 amorphization Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 239000002178 crystalline material Substances 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 125000003983 fluorenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3CC12)* 0.000 description 1
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical group [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000005984 hydrogenation reaction Methods 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910001507 metal halide Inorganic materials 0.000 description 1
- 150000005309 metal halides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- 238000005191 phase separation Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 230000002040 relaxant effect Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- 229910001432 tin ion Inorganic materials 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 229910000047 yttrium hydride Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1054—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66613—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
- H01L29/66628—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66636—Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
- Recrystallisation Techniques (AREA)
Description
本發明大致關於形成積體電路,且更詳言之,關於藉由使用嵌入矽/鍺而形成具有應變通道區(strained channel region)之不同電晶體類型,以提高電荷載體於電晶體之通道區中之移動性(mobility)。
積體電路之製造,需於給定之晶片區域上,依據特定電路佈局形成大量電路元件。大抵上,目前應用許多製程技術,其中,對於複雜電路諸如微處理器、儲存晶片等,CMOS技術由於在操作速度及/或電力消耗及/或成本效率方面有極佳之性能,而為目前最有前景之方法。使用CMOS技術製造複雜積體電路時,數百萬之電晶體,亦即N-通道電晶體與P-通道電晶體形成於包含結晶半導體層之基板上。MOS電晶體,不管其為N-通道電晶體或P-通道電晶體,包含所謂PN接面(junction),係由高度摻雜之汲極與源極區介面所形成,於該汲極與源極區之間設有相反摻雜之通道區。
通道區之導電度,亦即導電通道之驅動電流能力,係由形成於該通道區上且以薄絕緣層分隔之閘極所控制。導電通道一旦形成,由於施加適當控制電壓於閘電極(gate electrode),通道區導電度取決於摻雜物濃度、多數電荷載體移動性、以及電晶體寬度方向上通道區給定延伸時源極與汲極區間距離(亦稱為通道長度)。因此,施加適當控制電壓於閘電極,並結合於絕緣層下快速產生導電通道之能力,整個通道區導電性實質上決定了MOS電晶體之性能。所以,縮短通道長度使通道電阻係數降低,對達成提高積體電路操作速度提供了通道長度之主要設計標準。
然而,電晶體尺寸持續縮小,許多與此有關之問題必須提出,以便不會過度抵消穩定地縮短MOS電晶體通道長度所得之優點。此方面之一主要課題為發展增進之光微影技術(photolithography)與蝕刻策略,以便為新一代裝置可靠而再現性地製出具關鍵尺寸之電路元件,例如電晶體之閘電極。再者,汲極與源極區要求於垂直方向與側邊方向具有高精細摻雜物分佈(profile),以提供低的片和接觸電阻係數(sheet and contact resistivity),以及所欲通道控制能力。此外,考量漏電流控制,關於閘極絕緣層之PN接面垂直位置亦為關鍵設計標準。所以,縮短通道長度通常亦需要減小關於由閘極絕緣層與通道區所形成介面之汲極與源極區深度,因而需要精巧植入技術。依據其他方法,磊晶生長區(稱為升高汲極與源極區)形成有對閘電極的特定偏移(offset),以增加該升高汲極與源極區之導電性,同時維持關於閘極絕緣層之淺PN接面。
因為關鍵尺寸,亦即電晶體閘極長度持續縮小,所以必須順應與可能地新開發關於以上所述製程步驟之高複雜製程技術,有人提出對給定通道長度,藉由增加通道區中電荷載體移動性而亦提高電晶體元件之通道導電性,藉以提供達成改善性能之可能性,使與未來技術節點之進步可相匹配,並避免或至少延遲許多與裝置尺寸縮放(scaling)有關之上述製程之調適。增加電荷載體移動性之一有效機制為修改通道區之晶格結構,例如藉於通道區附近產生拉伸或壓縮應力而於通道區產生相應應變,使電子與電洞之移動性分別受到修改。例如,於通道區產生拉伸應變增加電子移動性,而可獲得50%或更高之移動性增加,視拉伸應變大小與方向而定,此移動性增加依序可直接轉化成相應導電性提高。另一方面,通道區之壓縮應變可增加電洞移動性,因而提供改善P型電晶體性能之可能性。對更進一步世代之裝置而言,將應力或應變工程導入積體電路製造中,係極有前景之方法,因為,例如經應變矽(strained silicon)可視為「新」類型半導體材料,其可使快速強大之半導體裝置製造成為可能而無需使用昂貴半導體材料,同時許多已建立完備之製造技術仍可使用。
因此,有人提出於通道區中或於其下導入例如矽/鍺層或矽/碳層,以產生拉伸或壓縮應力,而可導致相應應變。藉由於通道區中或於其下導入應力產生層,可相當程度地提高電晶體性能,所以投入相當大努力將形成相應應力層實施於習知與眾所公認之MOS技術中。例如,發展其他磊晶生長技術並加入製造流程中,以於通道區中或於其下適當位置形成含鍺或含碳應力層。
其他方法係應用例如藉由覆蓋層(overlaying layer)、間隔件元件等來產生外部應力,以於通道區內產生所欲應變。然而,藉施加特定外部應力來產生通道區中應變之製程,可能遇到外部應力無效率地轉化成通道區中應變之問題。所以,雖然以上所述於通道區內需要額外應力層之方法,於製程複雜性方面具有優勢,但是應力轉移機制之效率可能受該製程與裝置特性所影響,而可能造成減小一種電晶體類型之性能改善。
另一方法係藉由於電晶體汲極與源極區中形成應變矽/鍺層,提高PMOS電晶體中電洞移動性,其中,經壓縮應變之汲極區與源極區於鄰接矽通道區中產生單軸應變。為此目的,PMOS電晶體之汲極與源極區選擇性地凹陷,而NMOS電晶體係經遮蔽,然後藉由磊晶生長使矽/鍺層選擇性地形成於PMOS電晶體中。此技術對PMOS電晶體以及因此整個CMOS裝置之性能提高,提供顯著好處。
所以,利用嵌入式半導體材料尤其是矽/鍺之工程應變,視所欲功效提供作為應變或鬆弛層,已證明是種增加高階矽基電晶體之裝置性能之有力方法。然而,結果,個別通道區所引發之應變程度,取決於基本矽與嵌入半導體化合物間之晶格不匹配量。對矽/鍺而言,目前建立之磊晶生長技術,鍺最大濃度限制為約25%,否則可能發生鍺聚集,轉而可能導致於相應嵌入半導體化合物材料中不希望之應力解除,由此亦降低個別通道區之應變。
綜觀上述情況,對利用嵌入半導體材料來有效增加應變之改善技術,同時實質上避免或至少減少一或多個以上所述問題,實有其需求。
以下提出本發明之簡單概述,用來初步瞭解本發明之一些態樣。此概述並非本發明之詳盡綜論,其無意用來驗證本發明之關鍵或重要元件,或用來描繪本發明之範圍。其唯一目的是以簡化形式呈現一些觀念作為稍後更詳細說明之引言。
大抵而言,本發明係關於一種用於在結晶半導體層之特定區中產生應變之技術,為達此目的,於此技術中採用矽基(silicon-based)晶格(亦即具有似鑽石晶格結構之晶格)與本身具增大晶格間隙之半導體化合物間的晶格不匹配(lattice mismatch)。對應技術慣用於相當高階矽基MOS電晶體元件中產生應變矽通道,其中,如前所述,由此機制所得應變量尤其顯著受限於可成功使用於目前所建立磊晶生長技術之有限鍺濃度。所以,本發明中,除鍺之外可額外使用或是與鍺選一使用的方式,可使用於似矽結晶結構中,對鍵結(bonding)特性具有顯著增加共價半徑之其他原子種類,藉以使於個別矽基結晶結構中有大幅減少非矽原子數及高度晶體畸變(distortion)成為可能。本發明之例釋實施例中,由於相較於矽與鍺,錫原子具有相同的原子價(valence),而且亦展現顯著較大共價半徑,所以錫(Sn)可作為鍺之額外添加物或替代物。
依據本發明之一例釋實施例,一種電晶體裝置包括基板,該基板上形成有具有似鑽石結晶結構之結晶半導體層。該結晶半導體層包括應力引發區(stress-inducing region),該應力引發區包含矽與具有與該結晶結構中之矽相同的原子價之其他原子種類,該其他原子種類具有共價半徑大於鍺之共價半徑。此外,該電晶體裝置包括形成於該結晶半導體層之上之閘電極(gate electrode),以及應變通道區。
依據本發明之另一例釋實施例,一種半導體裝置包括結晶半導體層,該結晶半導體層具有包含矽與錫之第一部份,以於該結晶半導體層中形成第一應變區。
依據本發明之又一例釋實施例,一種方法包括於結晶半導體層中形成以矽與至少一種具有共價半徑大於鍺之共價半徑之其他原子種類為基礎之結晶結構。此外,該方法包括使用該結晶結構以於該半導體層之第一特定區中產生應變。
本發明可很容易做各種修改與變化,其特定實施例以附圖舉例之方式顯示,並於本文中做詳細闡述。然而,應明瞭,本文中特定實施例之闡述並無意用來限制本發明於所揭示之特定型式,反而是意圖用來涵蓋所有落於如後附申請專利範圍所界定本發明精神與範圍內之修改、等同與變化。
以下說明本發明之例釋實施例。為清楚起見,並非所有實際施行之特徵皆描述於本說明書中。當然,應可理解,於任何此等實際施行之開發中,必須決定許多特定實施例以達成開發者特定目的,諸如依從與系統有關及商業有關之限制,該些目的從一個實施例至另一實施例會有不同。此外,將可理解,此等開發可能複雜且耗時,但對該些了解本發明揭示內容之熟悉此項技術者而言,此等開發實為例行性之工作。
現將參照附圖對本發明進行說明。圖中各種結構、系統與裝置為概要性敘述,僅用於解釋,以便不會因熟悉此項技術者所週知之細節而模糊本發明。儘管如此,本說明書仍包含附圖,以便用來說明與解釋本發明之例釋實施例。應明瞭本文所使用之字與片語,並將該些字與片語解釋成具有符合該些字與片語為熟悉該相關領域者所理解之意義。無特別之術語或片語定義,亦即,異於該些熟悉本領域者所理解之通常與習慣意義之定義,隱含於本文術語或片語之一致用法中。當術語或片語意含特殊意義時,亦即,除熟悉本領域者所理解外之其他意義時,此特殊定義將以直接且明確提供該術語或片語特殊定義之下定義方式於本說明書中進行說明。
大抵上,本發明專注於利用相較於非應變矽晶體而具有不同晶格間隙之鑽石型晶格結構之應變或鬆弛半導體結構來增進應變引發機制。如前所述,於矽基半導體裝置之適當位置內設應變或鬆弛矽/鍺層之機制,提供了有效率工程應變技術,以相應提高電荷載體移動性,尤其是於相當高階MOS電晶體裝置之通道區內。該些技術依賴於磊晶生長製程,於此製程中可形成應變或鬆弛矽/鍺層,視裝置需求而定。
此外,最近發展局部選擇性磊晶生長技術,可有效抑制顯著材料沉積於介電質表面,然卻有效率地沉積矽、矽/鍺等於暴露之矽或似矽表面。例如,若矽/鍺層沉積於結晶矽層上,則可沉積矽/鍺材料,以使具有實質上如底層結晶「模板(template)」一樣之晶格間隙,而形成應變矽/鍺層,因為相較於純矽晶體,未受擾亂之矽/鍺晶體可有稍微增大之晶格間隙。矽/鍺層與矽層之晶格間隙差異取決於鍺濃度。所以,產生於矽/鍺層之應變量亦取決於鍺濃度。然而,如前所述,依目前所用磊晶生長技術,最大鍺濃度可高達約25至30%,但較高之濃度實際上可能導致鍺聚集,轉而可能導致缺陷率增加,使得初始應變矽/鍺層鬆弛化。
依據本發明,所欲晶格不匹配程度以及因此應變,可於具有比鍺顯著較大共價半徑之原子種類基礎上予以調整,其中,於一些例釋實施例中,關於似鑽石結晶結構中之共價鍵結結構,所考慮使用之原子種類其原子價實質上與矽或鍺相同。於一例釋實施例中,具有共價半徑1.04埃()之錫可作為適當之原子種類。例如,具有共價半徑1.17埃之矽可與具有共價半徑1.22埃之鍺組合使用,其中,另外可使用一定量之錫以產生顯著增大之晶格不匹配。因為矽與錫間共價半徑差異顯著大於矽與鍺間共價半徑差異,所以利用減少非矽原子數目可達成對整個晶格結構較顯著之作用。如此一來,鍺濃度可維持於臨界值約25%以下,且藉由加入一定量錫仍可得到晶格不匹配提高。
再者,於一些例釋實施例中,矽可與錫組合使用而無需添加任何鍺,藉此提供適度高程度之晶格修改(lattice modification)有顯著減少非矽原子數目。例如,於一些實施例中,除了以磊晶生長方式引入錫之外,亦考慮利用其他技術例如植入來引入一定量錫,或此二方式擇一使用。儘管如此,但因減少錫原子數目可對晶格畸變產生顯著貢獻,甚至利用目前植入技術所能達到之原子濃度可充分產生特定應變或至少細調晶格不匹配,所以藉此達到該應變程度。因為離子植入為建立完備之技術,以光微影技術所形成之阻劑罩(resist mask)為基礎,可於室溫進行離子植入,因此錫之相應引入可用高度有效率與甚至高度局部方式來達成,藉此提供製程技術及裝置設計額外彈性。例如,可利用目前施行之植入技術來達成濃度約為102 0
錫原子/立方公分,使可進行相應矽/錫或矽/鍺/錫結晶結構中應變之有效率調整或控制。
以下詳細說明可參考為本發明原理之特定應用,亦即,引入具有增大共價半徑之原子種類以於特定結晶半導體區產生應變。然而,應明瞭,並無意對特定電晶體結構例如似SOI電晶體、本體式(bulk)裝置、具有升高汲極與源極區之電晶體等做任何限制,除非於實施方式與申請專利範圍中對此特別限制有做說明。
參照第1a至1d圖、第2a至2d圖與第3圖,本發明進一步之例釋實施例現將作更詳細闡述。
第1a圖概要顯示於初期製造階段時,半導體裝置100之截面圖。半導體裝置100包括基板101,該基板101可表任何帶有半導體層102之適當基板,於基板101中或其上可形成電路元件例如電晶體、電容器、電阻器等。例如,基板101可表本體式半導體基板如矽基板,或可表任何絕緣基板如似SOI基板,其中,半導體層102可形成於埋入式絕緣層(未圖示)上。應明瞭,雖然本發明結合如典型上以高階CMOS技術,包括具50奈米(nm)和甚至更小閘極長度之電晶體所製造之高度縮小化MOS電晶體,其具有相當大之優點,但本發明原理亦可應用至較非關鍵應用上,以便使現有設計可達到顯著之性能增加。
半導體層102可為矽基結晶半導體層,其中矽基一詞係理解成包含矽濃度至少為原子50%之材料層。於例釋實施例中,半導體層102可表摻雜矽層,如典型上用於高度複雜積體電路,而該積體電路具有閘極長度於上述特定範圍內之電晶體元件之摻雜矽層。於層102上可進一步形成實質上結晶層103,該結晶層103可表所謂之緩衝層,於此結晶層中,結晶不匹配以及因此特定原子種類諸如鍺、錫等之濃度可逐漸增加,以使於其上形成實質上鬆弛之應力引發結晶層104,此應力引發結晶層可包含至少一個具有共價半徑大於鍺共價半徑之原子種類與矽相組合。於一例釋實施例中,應力引發結晶層104可包含矽、鍺與錫,其中,鍺濃度範圍可從低於1%至約25%,而錫濃度範圍可為約0.1至25%。於一些實施例中,可選擇鍺含量遠低於25%,例如1至10%,而錫含量可選擇於約0.1至10%範圍內。於又一實施例中,應力引發結晶層104可包含矽與錫而實質上無任何鍺。
層104可表實質上鬆弛層,具有依層102所提供結晶模板之鑽石似結構,該結晶模板係經緩衝層103轉移至層104,然而,其中層104晶格間隙可異於層102晶格間隙,端視鍺與錫濃度而定。
如第1a圖所示,可依據下列製程形成半導體裝置100。提供基板101後,基板101可表其上形成有層102之本體式矽基板或絕緣層上矽基板,層102厚度可適於磊晶生長製程,緩衝層103可藉由磊晶生長製程105予以形成,於此磊晶製程105中,一或多個非矽種類可用不同濃度進行沉積以形成緩衝層103。例如,於氫化鍺(GeH4
)基礎上形成矽/鍺緩衝層之技術已建立完備,並且當認為於緩衝層103中無另外原子種類例如錫係適當時,可用來形成層103。於其他實施例中,可於其他原子種類例如錫之基礎上形成緩衝層103,緩衝層103可藉由以氫化錫(SnH4
)作為磊晶生長製程105之前驅物來製成,其中,氫化錫可以如氫化鍺之相同方式處理。
藉由適當沉積緩衝層103之材料,就晶格間隙而言,相應晶格結構可逐漸地自層102之基本結晶模板中衍生出來,使得層104最後可沉積成相較於層102之原始晶格間隙,具有增大晶格間隙而實質上無應變之半導體層,亦即鬆弛之半導體層。為達此目的,於磊晶生長製程105中,若有需要,則可提供所欲量之錫及個別量之鍺與矽組合,而矽仍為層104之主要材料。達成所欲層厚度後,可中斷磊晶生長製程105,然後可進行其他磊晶生長製程以於層104上沉積實質上結晶矽層。於其他實施例中,可修改磊晶生長製程105以利後續沉積摻雜矽或未摻雜矽,視需求而定,其中,實質上鬆弛層104係作為結晶模板。如此一來,沉積於其上之矽可具有實質上相同之結晶結構,該結晶結構相較於自然結晶矽層例如層102,係為應變結晶結構。因此,可產生特定程度之拉伸應變。
第1b圖概要顯示於進一步製造階段時之半導體裝置100。如圖中所示,依據上述磊晶生長技術於層104中形成另一結晶矽層106。如此一來,層106呈現本質拉伸應變,表示為107,藉以有效率地修改層106中電荷載體移動性。此外,層106上形成有閘電極108,並且以閘極絕緣層109分隔。閘電極108組合閘極絕緣層109於層106中界定出通道區110,如前所述,層106呈現拉伸應變107,藉以增加例如通道區110中電子移動性。結果,相應電晶體元件包括閘電極108與通道區110之性能可顯著提高,其中於磊晶生長製程105基礎上,拉伸應變107程度可有效率地予以調整與控制。因此,利用應力引發結晶層104之方式,可提供產生矽基層106中拉伸應變之有效率應變產生機制。
第1c圖概要顯示依據另一例釋實施例之半導體裝置100,於此裝置中,於結晶半導體層之特定區域中可產生壓縮應變。該實施例中,應力引發結晶層104可直接形成於結晶層102上,層102於磊晶生長製程105中再次作為結晶模板,因為層102之結晶結構於層104中實質上維持著,所以該結晶模板現可已組態過,使得層104本身生長成實質上應變層,藉以降低其自然晶格間隙,使得通道區110可為壓縮應變區,藉以修改電洞移動性,而可利於於通道區110與閘電極108基礎上形成P-通道電晶體。
之後,自如第1b圖或第1c圖中裝置100開始,於建立完備之形成金氧半電晶體技術基礎上,可繼續進一步之製造程序,稍後將參照第2a至2d圖作更詳細之說明。
如前所說明,具有增加共價半徑原子種類之適度低濃度,可充分修改產生壓縮或拉伸應變所需之相應晶格不匹配,如第1a至1c圖所述者。所以,於一些例釋實施例中,可使用除磊晶生長製程105外之額外或可與磊晶生長製程105擇一使用之其他引入原子種類技術,例如擴散或植入。例如,可實施植入製程以引入例如錫原子進入層104,藉以增加相應濃度,以及因此引入應變。
第1d圖概要顯示包括第一裝置區150與第二裝置區160之半導體裝置100例釋實施例,於裝置區150中可形成有閘電極108,而於裝置區160中可於第二閘極絕緣層119上形成第二閘電極118,其中,第二裝置區160可用植入遮罩121予以覆蓋,遮罩121可為阻劑型遮罩。此外,半導體裝置100進行離子植入120以局部方式引入錫。例如,於所示實施例中,可假設利用磊晶生長製程105已形成層104(參照第1c圖所說明),藉以提供具有本質應變107之層104。藉由於閘電極108附近進一步植入錫離子,相應錫濃度可予以增加,其中,可使用高劑量例如101 6
至101 7
離子/平方公分,以於層104內提供適度高之額外錫原子濃度。為了獲得於層104內實質上沉積錫離子之植入能量,而不過度損害「模板層」102,可依據已建立完備之模擬模型來選擇製程120之植入參數。
植入製程120完成後,可除去阻劑遮罩121,然後裝置100可接著進行回火製程,以使層104中受損部份再結晶及實質上置該植入種類於晶格位置,以重建層104中應變晶格。由於通道區110附近錫濃度增加,因而於其內可產生均勻增加之壓縮應變,亦藉而造成更有效率地修改電荷載體移動性。
應明瞭,上述實施例只具有例釋性質,可考慮進行許多之修改。例如,以遮罩121為基礎於形成閘電極108與118之前,可進行植入製程120,使得層104整個曝光部份可接收增加之錫含量。又一例釋實施例中,當以植入製程120引入之錫濃度足夠產生所欲應變107之程度時,可省略磊晶生長製程105。又一其他例釋實施例中,可於矽與鍺基礎上進行磊晶生長製程105,而植入製程120可用來局部引入錫原子,藉以提供細調最後所得應變之方法。例如,第一與第二裝置區150、160可表不同電晶體類型之區域,或可表不同晶粒區域,該些裝置區有不同應變程度需求。例如,於複雜微處理器之高靈敏度裝置區,例如靜態RAM區,希望沒有應變或有相當小之應變;然而於邏輯區,例如CPU核心,較佳為應力增加,以提高CPU核心之運作速度。結果,由於結晶結構上具有增加共價半徑之原子種類例如錫之效果提高,植入技術可達到適當之濃度,以便局部調整應變。
參照第2a至2d圖,本發明之其他例釋實施例現將作更詳細說明,於該些實施例中,嵌入應變半導體材料係形成於電晶體元件之汲極與源極區中,以於鄰接通道區中引發相應應變。
第2a圖概要顯示包括基板201且基板201上形成有結晶半導體層202之半導體裝置200截面圖。基板201可表本體式矽基板或似SOI基板,亦即,基板201可形成於理入式絕緣層(未圖示)上,於基板201上形成有結晶半導體層202。結晶半導體層202可表矽基層,亦即,層202可包含至少約50%矽。此外,於初期製造階段,電晶體元件250可形成於結晶半導體層202中或之上。於該階段,閘電極208可形成於層202上,並可包含摻雜多晶矽或任何其他可設於層202上之適當材料,可用閘極絕緣層209分隔。應明瞭,亦可使用其他方法或電晶體結構與本發明相組合,其中,例如閘電極208可表示取代品或假閘電極,該取代品或假閘電極可於製程稍後階段移除,以提供具提高電氣特性之導電性材料。閘電極208可由封蓋層230與個別間隔件元件231所「包覆(encapsulated)」,而間隔件元件231可用個別襯墊232而與閘電極208分隔。例如,封蓋層230與間隔件231可包含任何適當介電材料例如氮化矽、氧氮化矽與二氧化矽,可作為蝕刻製程與磊晶生長製程之蝕刻與生長遮罩,以形成嵌入應變半導體區。襯墊232典型上由對間隔件231具有高蝕刻選擇性之材料所形成。例如,於建立完備之蝕刻程序上,二氧化矽與氮化矽之組合可有效率地用於襯墊232與間隔件231。
如第2a圖所示之半導體裝置200可依據下列步驟予以形成。製造基板201後(若考慮似SOI基板,基板201可包括以高階晶圓鍵結技術或其他方法來形成埋入式絕緣層),閘電極208與閘極絕緣層209之形成,可利用沉積法及/或氧化法形成適當閘極絕緣材料,接著進行適當閘電極材料之沉積。然後,依據建立完備之程序,可應用高階微影技術及蝕刻技術來圖案化相應之層,藉以形成閘電極208與閘極絕緣層209,其中,於進行圖案化製程時,封蓋層230亦可進行圖案化,該封蓋層230可作為抗反射塗層(ARC)、硬質遮罩層等。下一步,藉由電漿輔助化學氣相沉積(PECVD)可共形地沉積襯墊材料,接著沉積間隔件層,然後以非等向性蝕刻製程進行間隔件層圖案化,因而得到間隔件231。之後,可移除襯墊232經暴露之殘留部份,然後裝置200可進行非等向性蝕刻製程233,以形成個別孔洞或凹陷鄰接密封閘電極208。
第2b圖概要顯示於進一步製造階段中之半導體裝置200,其中,於非等向性蝕刻製程233完成後形成凹陷或孔洞234。下一步,裝置200可進行任何製備裝置200之預處理,以便進行後續選擇性磊晶生長製程。例如,可實施適當清洗製程以從裝置200之暴露表面移除污染物與蝕刻副產物。之後,可進行選擇性磊晶生長製程246,其中,於一個例釋實施例中,於含矽前驅物、含鍺前驅物以及包括具有如矽與鍺相同原子價並比鍺共價半徑大之原子種類之前驅物基礎上,可建立適當沉積環境。於一個例釋實施例中,於氫化錫(SnH4
)基礎上可產生沉積,以於製程246沉積環境中提供所欲錫濃度。如前所述,典型上,於選擇性磊晶生長製程中,製程參數例如壓力、溫度、載氣種類等係經選擇,以使實質上無材料沉積於介電層表面例如封蓋層230與間隔件231之表面,而是於結晶層202之暴露表面上產生沉積,藉而利用此沉積層作為結晶模板,該模板實質上決定了磊晶生長材料之結晶結構。於該例釋實施例中,於凹陷234至少一部份中生長之材料係供作為應變材料,亦即,該材料應具有如層202基本模板一樣之結晶結構以及因此實質上一樣之晶格間隙,由於鍺與其他具有增加共價半徑之原子種類例如錫之存在,因而產生高度應變材料區。如此一來,由於應變結晶材料存在,於鄰接凹陷234處之通道區235中亦產生相應之應變。
如前所述,對矽與鍺而言由於錫共價半徑增加,非矽原子之顯著減少可足夠獲得於磊晶生長製程246時之相應應變半導體材料。因此,對產生所需應變而言,沉積環境中濃度範圍約0.1至10%之例如錫之適度低濃度,可視為適當。其他實施例中,於矽與至少一個其他具有增加共價半徑之原子種類例如錫基礎上,可建立製程246之沉積環境,而實質上無加入任何鍺,藉此當對其他電氣特性例如PN接面漏電流等而言,鍺實質數量之影響也許認為不適當時,提供增加之設計彈性。於磊晶生長製程236中,可用任何適當方法控制錫及/或鍺濃度以及因此矽濃度。例如,一些情況中,因為於裝置200進一步製程之後續高溫製程中,錫不同於鍺之擴散行為,使錫原子更靠近汲極與源極區上表面,可認為適當。其他例釋實施例中,可於後續形成金屬矽化物之區域附近提供錫,其中相較於需要顯著增加鍺含量以產生相同應變量然而對後續矽化製程可造成顯著限制而言,顯著減少之錫原子數可增加產生金屬矽化物之彈性。又於其他實施例中,可於由磊晶生長製程246所形成之應變矽/鍺/錫層或矽/錫層頂部上形成最終矽層。
第2c圖概要顯示磊晶生長製程236完成後之半導體裝置200。所以,裝置200包括具有對應於矽基層202之鑽石結構之結晶結構之凹陷應變半導體區236。由於晶格不匹配,因區236中結晶結構之自然晶格間隙大於規則矽間隙,因此通道區235中產生壓縮應變207,藉以提高電晶體250操作時之電洞移動性。此外,當使用高鍺含量時,由於鍺被具有增加共價半徑之原子種類例如錫所部份或完全取代,因此於區236中非矽原子濃度(該濃度遠低於習知裝置中先前所遭遇聚積之限制值)之基礎上,可得到顯著增加之應變207數值。然而,如前所述,區236中鍺及/或錫濃度於深度方向可變化以符合各種裝置需求。例如,於所示實施例中,可形成特定「過度生長」以提高後續矽化製程,藉以降低產生之接觸電阻。此外,可提供磊晶生長材料236之上部區,標示為236A,藉由相應增加錫濃度,該上部區可具有降低之鍺濃度但仍提供高度之應變,然而,該上部區可仍具有比產生相同應變207量之矽/鍺區顯著低之濃度,藉以提高於選擇後續矽化製程之適當耐火金屬時之彈性。應明瞭,於磊晶生長製程進行中,可產生區236中鍺及/或錫濃度之任何其他適當變化。
然後,能於形成汲極與源極區,至少一部份汲極與源極區之任何植入製程之後,當間隔件231具有適當尺寸以得到所欲側邊外形(lateral profile)時,可將間隔件231與封蓋層230移除。其他情況中,可移除間隔件231與封蓋層230,以及可進行習知製程序列以與中間之植入製程形成間隔件元件,而得到以設計規則所界定汲極與源極區所需之複雜側邊外形。
第2d圖概要顯示於進一步製造階段時之半導體裝置200,其中實質上完成電晶體250。所以,裝置200包括側壁間隔件結構237,該結構可包括複數個個別之間隔件元件用個別襯墊分隔。此外,依據裝置需求,汲極與源極區239具有特定側邊摻雜外形。此外,金屬矽化物區238可形成於汲極與源極區239上,而相應金屬矽化物區239可形成於閘電極208中。結果,由於區236所產生之應變207,通道區235可予以壓縮地應變,藉以顯著增加電晶體250(可為P-通道電晶體)之驅動電流能力。由於於區236中提供具有增加共價半徑之原子種類,相較於包括埋入式矽/鍺區之習知裝置,可達成應變207之顯著增加。
此外,藉由適當設計區236中之鍺及/或錫濃度設計,可提供形成金屬矽化物區238之增加彈性。例如,藉由降低至少上部區236A中鍺含量但同時藉由相應地提高錫濃度來增加應變,則高導電性鎳矽化物可形成於區238中。如此一來,可獲得性能顯著增加,但同時可維持與形成嵌入矽/鍺半導體結構之習知製程之高度相容性,並可獲得於設計方面之額外自由度。為了此目的,於可達成適當與所欲非矽原子之引入基礎上,可使相應磊晶生長製程適合於包括提供適當前驅材料例如氫化錫(SnH4
),此情況藉由應變半導體區236內之相分離與晶體滑移而使有效率地控制產生之應變,實質上未產生任何應力釋放。
第3圖概要顯示依據其他實施例之半導體裝置300之截面圖。半導體裝置300包括形成於基板301上,以結晶半導體層302為基礎之第一電晶體350與第二電晶體360。關於基板302與半導體層302,應用如前參照組件101、102、201與202所述之相同標準。此外,於所述實施例中,電晶體350、360可具有密封閘電極308,並鄰接相應升高半導體區336、336A而形成。於其他例釋實施例中(未圖示),可不設升高半導體區336、336A,並可僅表結晶半導體層302之一部份。
如第3圖所示之裝置300,可依據如前參照第2a至2b圖所述之製程策略予以形成,然而,其中,電晶體350、360可表示為可接收不同應變大小之電晶體,因為該些電晶體可表於不同晶粒區之電晶體或可表不同導電性類型之電晶體。例如,第一電晶體350可表P-通道電晶體而電晶體360可表N-通道電晶體。因此,於形成個別孔洞或凹陷以容設半導體區336、336A之相應蝕刻製程中,可達成製程均勻性提高,此是由於當暴露一型電晶體時,該蝕刻製程可不需任何硬質遮罩來完全覆蓋另一型電晶體。類似情況,於後續磊晶生長製程中,由於任何負載作用減少(其亦可能為習知技術之課題),可達成跨越基板301之均勻性之提高,於該製程中,一型電晶體係完全受到覆蓋,而另一型電晶體則受到暴露。於形成升高半導體區336、336A相應磊晶生長製程中,可引入少量鍺及/或錫以提供例如與第二電晶體360性能相容之「基本」應變307。其他例釋實施例中,當認為基本應變307不適當時,可省略相應孔洞蝕刻與後續磊晶生長製程。於其他情況中,電晶體360可表於關鍵裝置區中例如靜態RAM區中之P-通道電晶體,該區可接收減少之應變307量,而電晶體350可能需要增加應變量。
於一例釋實施例中,可進行植入製程320,於此製程中,暴露第一電晶體350而第二電晶體360可用阻劑遮罩321覆蓋。於植入製程320中,可將錫植入至區336,藉以增加區336中錫濃度,由於錫增加之共價半徑產生更有效率晶格畸變,而可導致所欲之應變增加。因為植入誘發濃度可能需要高濃度例如約102 0
原子/立方公分或更高,所以進行植入製程320時,區336可實質上無晶形化。因此,可進行回火製程以再結晶基於結晶模板302之該實質上無晶形化區336,藉此於區336中形成高度應變晶體結構。結果,可增加初始呈現之應變307至值307A,藉以獲得電晶體350所需之移動性增加。之後,可繼續實施如前參照第2a至2d圖所述之進一步製程以完成電晶體350與360。
其他例釋實施例中,當利用植入製程320所達成濃度去產生應變307A係適當時,可進行植入製程320而無需先形成區336、336A。例如,於高靈敏裝置區,基於應變半導體材料上之應變工程對N-通道電晶體而言可能不適當,然而可能需要PMOS電晶體之通道區中之「溫和」應變。於此情況,可於形成任何汲極與源極區之前應用植入製程320,其中,於一實施例中,植入製程320另外可施行為預先非晶形化植入製程以便促進後續引入摻雜形成汲極與源極區。如此一來,可達到高選擇性效能改善,且對現今製程技術具有高度相容性,實質上未大幅地影響N-通道電晶體。
因此,本發明藉由經應變或鬆弛之半導體材料方式來提供形成應變之改善技術,於此技術中,係將比鍺具有更大共價半徑之原子種類,例如錫,引入至個別結晶矽基半導體層,藉以顯著減少因聚集與晶格缺陷所引起之應力釋放風險。於例釋實施例中,可利用於適當前驅物材料例如氫化錫(SnH4
)上之磊晶生長製程來引入具有增加共價半徑之原子種類。此外,利用其他技術例如植入來引入原子種類,可達到高度定位化之應變工程,藉以提供高彈性之製程與產品設計。
以上所揭示之特定實施例只用於例釋,對該些嫻熟本說明書所教示優點之技藝者而言,顯然可以不同但均等之方式對本發明進行修改與實踐。例如,以上所提出之製程步驟可以不同之次序予以進行。此外,除如下文申請專利範圍中所述者外,並無意去限制本說明書所示之結構或設計細節。所以顯然地,以上所揭示之特定實施例可加以變化或修改,而所有該些變化係落於本發明之範圍與精神內。因此,本說明書所尋求之保護係如以下申請專利範圍中所提出者。
100、200、300...半導體裝置
101、201、301...基板
102、202...半導體層
103...緩衝層
104...應力引發層
105、246...磊晶生長製程
106...結晶矽層
107、207、307、307A...應變
108、118、208、308...閘電極
109、119、209...絕緣層
110、235...通道區
120、320...植入製程
121、321...遮罩
150、160...裝置區
230...封蓋層
231...間隔件
232...襯墊
233...蝕刻製程
234...凹陷
236...應變半導體區
236A...上部區
237...間隔結構
238...金屬矽化物區
239...汲極與源極區
250、350、360...電晶體
302...半導體層;結晶模板
336、336A...升高半導體區
參照附圖與以下說明可瞭解本發明,其中,相似元件符號代表相似元件,且其中:第1a至1d圖概要顯示依據本發明之例釋實施例,於以矽與以可內建於矽鑽石結構中且相較於鍺具有增大共價半徑之其他原子種類為基礎之結晶半導體層中,形成應變區之不同製造階段期間之半導體裝置之截面圖;第2a至2d圖概要顯示依據本發明之又一些例釋實施例,於形成接收嵌入應變半導體區之電晶體裝置以於個別通道區中產生壓縮應變之不同製造階段期間之截面圖;以及第3圖為依據本發明之另一例釋實施例,概要顯示半導體裝置之截面圖,該半導體裝置包括接收不同錫量以產生不同應變大小之不同電晶體元件。
100...半導體裝置
101...基板
102...半導體層
103...緩衝層
104...應力引發層
106...結晶矽層
107...應變
108...閘電極
109...絕緣層
110...通道區
Claims (19)
- 一種電晶體裝置,包括:基板,其上形成有具有似鑽石結晶結構之結晶半導體層,該結晶半導體層包括應力引發區,該應力引發區包括矽及具有與該結晶結構中之矽相同的原子價之其他原子種類,該其他原子種類具有共價半徑大於鍺之共價半徑;閘電極,形成於該結晶半導體層之上;以及應變通道區,其中,該結晶結構以對該閘電極橫向偏移(lateral offset)而形成。
- 如申請專利範圍第1項之電晶體裝置,其中,該應力引發區係形成於汲極與源極區中。
- 如申請專利範圍第1項之電晶體裝置,其中,該應力引發區係該應變半導體材料,以於該通道區中產生壓縮應變。
- 如申請專利範圍第1項之電晶體裝置,其中,該應力引發區包括錫。
- 如申請專利範圍第4項之電晶體裝置,其中,該應力引發區包括鍺。
- 一種半導體裝置,包括:結晶半導體層,具有包括矽與錫之第一部份,以於該結晶半導體層中形成第一應變區;以及該第二部份包括矽與錫,以於該結晶半導體層中 形成第二應變區,其中,該第一部份與該第二部份中之錫濃度不同。
- 如申請專利範圍第6項之半導體裝置,其中,該第一應變區代表場效電晶體之通道區。
- 如申請專利範圍第7項之半導體裝置,其中,該錫係設於該場效電晶體之汲極與源極區中,該錫與該矽組合形成應變汲極與源極區。
- 如申請專利範圍第6項之半導體裝置,其中,該部份中之錫含量係在約0.1至25原子%之範圍。
- 如申請專利範圍第6項之半導體裝置,其中,該第一部份復包括鍺。
- 一種形成積體電路之方法,包括:於結晶半導體層中形成以矽與至少一種具有共價半徑大於鍺之共價半徑之其他原子種類為基礎之結晶結構;使用該結晶結構以於該半導體層之第一特定區中產生應變;以及於該結晶半導體層之上形成閘電極,其中,該結晶結構以對該閘電極橫向偏移(lateral offset)而形成。
- 如申請專利範圍第11項之方法,其中,形成該結晶結構包括使用該結晶半導體層作為生長模板而磊晶生長該矽與該至少一種其他原子種類。
- 如申請專利範圍第11項之方法,其中,形成該結晶結構包括沉積該矽與該至少一種其他原子種類成實質上 非晶形形態,以及使用該結晶半導體層作為結晶模板而再結晶該矽與該至少一種其他原子種類。
- 如申請專利範圍第11項之方法,其中,形成該結晶結構包括植入該至少一種其他原子種類至該結晶半導體層之第一部份中,以及使用該結晶半導體層作為結晶模板而再結晶該部份。
- 如申請專利範圍第14項之方法,復包括於該結構中形成矽/鍺晶體,以及植入該至少一種其他原子種類以調整該第一區中之應變量。
- 如申請專利範圍第14項之方法,復包括植入該至少一種其他原子種類至第二部份中,以於該結晶半導體層之第二特定區中產生第二應變,該第二應變與該第一應變不同。
- 如申請專利範圍第11項之方法,復包括形成鄰接該閘電極之凹陷,以及於該凹陷內形成至少部份之該結晶結構。
- 如申請專利範圍第11項之方法,其中,磊晶生長該矽與該至少一種其他原子種類係以包括錫與氫之前驅物為基礎。
- 如申請專利範圍第18項之方法,其中,該前驅物包括氫化錫。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE102005051994A DE102005051994B4 (de) | 2005-10-31 | 2005-10-31 | Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius |
US11/465,592 US7544551B2 (en) | 2005-10-31 | 2006-08-18 | Technique for strain engineering in Si-based Transistors by using embedded semiconductor layers including atoms with high covalent radius |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200802861A TW200802861A (en) | 2008-01-01 |
TWI495101B true TWI495101B (zh) | 2015-08-01 |
Family
ID=37949774
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW095139687A TWI495101B (zh) | 2005-10-31 | 2006-10-27 | 藉由使用包含具有高共價半徑之原子的嵌入半導體層之用於矽基電晶體中工程應變之技術 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7544551B2 (zh) |
JP (1) | JP2009514248A (zh) |
KR (1) | KR101238432B1 (zh) |
CN (1) | CN101300664B (zh) |
DE (1) | DE102005051994B4 (zh) |
TW (1) | TWI495101B (zh) |
Families Citing this family (385)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8994104B2 (en) | 1999-09-28 | 2015-03-31 | Intel Corporation | Contact resistance reduction employing germanium overlayer pre-contact metalization |
KR100782497B1 (ko) * | 2006-11-20 | 2007-12-05 | 삼성전자주식회사 | 얇은 응력이완 버퍼패턴을 갖는 반도체소자의 제조방법 및관련된 소자 |
DE102007025336B4 (de) * | 2007-05-31 | 2010-08-19 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement und Verfahren für die Verformungserzeugung in siliziumbasierten Transistoren durch Anwendung von Implantationstechniken zur Herstellung einer verformungs-induzierenden Schicht unter dem Kanalgebiet |
US20090074962A1 (en) * | 2007-09-14 | 2009-03-19 | Asml Netherlands B.V. | Method for the protection of an optical element of a lithographic apparatus and device manufacturing method |
DE102008006961A1 (de) | 2008-01-31 | 2009-08-27 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet |
DE102008049723B4 (de) * | 2008-09-30 | 2012-01-26 | Advanced Micro Devices, Inc. | Transistor mit eingebettetem Si/Ge-Material mit einer besseren substratüberspannenden Gleichmäßigkeit |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010147392A (ja) * | 2008-12-22 | 2010-07-01 | Elpida Memory Inc | 半導体装置およびその製造方法 |
DE102008063427B4 (de) * | 2008-12-31 | 2013-02-28 | Advanced Micro Devices, Inc. | Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9245805B2 (en) * | 2009-09-24 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with metal gates and stressors |
US8368147B2 (en) * | 2010-04-16 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained semiconductor device with recessed channel |
CN102339852B (zh) * | 2010-07-27 | 2013-03-27 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
CN102339860B (zh) * | 2010-07-27 | 2013-03-27 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US8377780B2 (en) | 2010-09-21 | 2013-02-19 | International Business Machines Corporation | Transistors having stressed channel regions and methods of forming transistors having stressed channel regions |
US8778767B2 (en) | 2010-11-18 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuits and fabrication methods thereof |
US8901537B2 (en) | 2010-12-21 | 2014-12-02 | Intel Corporation | Transistors with high concentration of boron doped germanium |
US9484432B2 (en) | 2010-12-21 | 2016-11-01 | Intel Corporation | Contact resistance reduction employing germanium overlayer pre-contact metalization |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US20130183814A1 (en) * | 2012-01-13 | 2013-07-18 | Applied Materials, Inc. | Method of depositing a silicon germanium tin layer on a substrate |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
CN103377941B (zh) * | 2012-04-28 | 2016-08-10 | 中芯国际集成电路制造(上海)有限公司 | Pmos晶体管及形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8680576B2 (en) * | 2012-05-16 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS device and method of forming the same |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US10535735B2 (en) * | 2012-06-29 | 2020-01-14 | Intel Corporation | Contact resistance reduced P-MOS transistors employing Ge-rich contact layer |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8900958B2 (en) | 2012-12-19 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8853039B2 (en) | 2013-01-17 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction for formation of epitaxial layer in source and drain regions |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9293534B2 (en) | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9396934B2 (en) * | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9691898B2 (en) * | 2013-12-19 | 2017-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium profile for channel strain |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
JP2015162604A (ja) * | 2014-02-27 | 2015-09-07 | 株式会社東芝 | Cmosイメージセンサ |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
CN105161406B (zh) * | 2014-06-12 | 2019-04-26 | 中芯国际集成电路制造(上海)有限公司 | 晶体管及其形成方法 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
FR3034909B1 (fr) * | 2015-04-09 | 2018-02-23 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de dopage des regions de source et de drain d'un transistor a l'aide d'une amorphisation selective |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10319855B2 (en) * | 2017-09-25 | 2019-06-11 | International Business Machines Corporation | Reducing series resistance between source and/or drain regions and a channel region |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005015609A2 (en) * | 2003-06-13 | 2005-02-17 | Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University | Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn |
US20050070053A1 (en) * | 2003-09-25 | 2005-03-31 | Sadaka Mariam G. | Template layer formation |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3361922B2 (ja) * | 1994-09-13 | 2003-01-07 | 株式会社東芝 | 半導体装置 |
US5548128A (en) * | 1994-12-14 | 1996-08-20 | The United States Of America As Represented By The Secretary Of The Air Force | Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates |
JP3621695B2 (ja) * | 2002-07-29 | 2005-02-16 | 株式会社東芝 | 半導体装置及び素子形成用基板 |
US6982433B2 (en) * | 2003-06-12 | 2006-01-03 | Intel Corporation | Gate-induced strain for MOS performance improvement |
US7598513B2 (en) * | 2003-06-13 | 2009-10-06 | Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law | SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn |
US6974733B2 (en) * | 2003-06-16 | 2005-12-13 | Intel Corporation | Double-gate transistor with enhanced carrier mobility |
US7112495B2 (en) * | 2003-08-15 | 2006-09-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit |
-
2005
- 2005-10-31 DE DE102005051994A patent/DE102005051994B4/de not_active Expired - Fee Related
-
2006
- 2006-08-18 US US11/465,592 patent/US7544551B2/en not_active Expired - Fee Related
- 2006-10-23 CN CN2006800404545A patent/CN101300664B/zh not_active Expired - Fee Related
- 2006-10-23 JP JP2008538919A patent/JP2009514248A/ja active Pending
- 2006-10-23 KR KR1020087013351A patent/KR101238432B1/ko not_active IP Right Cessation
- 2006-10-27 TW TW095139687A patent/TWI495101B/zh not_active IP Right Cessation
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005015609A2 (en) * | 2003-06-13 | 2005-02-17 | Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University | Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn |
US20050070053A1 (en) * | 2003-09-25 | 2005-03-31 | Sadaka Mariam G. | Template layer formation |
Also Published As
Publication number | Publication date |
---|---|
KR101238432B1 (ko) | 2013-02-28 |
DE102005051994A1 (de) | 2007-05-10 |
CN101300664A (zh) | 2008-11-05 |
JP2009514248A (ja) | 2009-04-02 |
US7544551B2 (en) | 2009-06-09 |
TW200802861A (en) | 2008-01-01 |
KR20080074937A (ko) | 2008-08-13 |
CN101300664B (zh) | 2010-11-10 |
DE102005051994B4 (de) | 2011-12-01 |
US20070096194A1 (en) | 2007-05-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI495101B (zh) | 藉由使用包含具有高共價半徑之原子的嵌入半導體層之用於矽基電晶體中工程應變之技術 | |
TWI420602B (zh) | 用於形成nmos與pmos電晶體中之凹陷之受應變之汲極/源極區之技術 | |
TWI438847B (zh) | 阻止電晶體閘電極之預非晶化 | |
TWI436430B (zh) | 具有降低之本體電位之soi電晶體以及形成該soi電晶體之方法 | |
US8039335B2 (en) | Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain | |
TWI441282B (zh) | 用於藉由基於受應力之植入遮罩的應力記憶法而形成受應變之電晶體的方法 | |
US8673713B2 (en) | Method for forming a transistor with recessed drain and source areas and non-conformal metal silicide regions | |
TWI443750B (zh) | 以高效率轉移應力之形成接觸絕緣層之技術 | |
TWI417992B (zh) | 用於形成具有不同特性之接觸絕緣層及矽化物區域之技術 | |
TWI511273B (zh) | 用於藉由使汲極及源極區凹陷而於電晶體中緊鄰通道區提供應力源之技術 | |
TWI453900B (zh) | 於薄soi電晶體中之嵌入應變層以及其形成方法 | |
US8124467B2 (en) | Reducing silicide resistance in silicon/germanium-containing drain/source regions of transistors | |
TWI542001B (zh) | 於緊密間隔之電晶體中用於提升應力之接觸溝 | |
TWI424566B (zh) | 具有增加之臨限穩定性而沒有驅動電流降級之電晶體裝置及其製造方法 | |
TWI469344B (zh) | 具有包含效能增進材料成分之受應變通道區的電晶體 | |
KR20070069160A (ko) | 서로 다른 스트레인드 채널 영역들을 갖는 반도체 영역들을포함하는 반도체 디바이스 및 이를 제조하는 방법 | |
TWI478287B (zh) | 用於在電晶體中形成含矽/鍺之汲極/源極區域以減少矽/鍺損失之方法 | |
US20090001479A1 (en) | Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same | |
US8062952B2 (en) | Strain transformation in biaxially strained SOI substrates for performance enhancement of P-channel and N-channel transistors | |
TWI511286B (zh) | 具有縮減長度之汲極和源極區及與其毗鄰之受力介電材料的soi電晶體 | |
JP5666451B2 (ja) | アクティブ層の厚み減少を伴う歪トランジスタを形成するための構造歪を与えられた基板 | |
US7608912B2 (en) | Technique for creating different mechanical strain in different CPU regions by forming an etch stop layer having differently modified intrinsic stress | |
TWI408751B (zh) | 具有在應變之絕緣體上覆半導體基板上之嵌入的矽/鍺材料的電晶體 | |
WO2008054678A1 (en) | A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain | |
WO2007053381A1 (en) | Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |