US20070187767A1 - Semiconductor device including misfet - Google Patents

Semiconductor device including misfet Download PDF

Info

Publication number
US20070187767A1
US20070187767A1 US11/673,278 US67327807A US2007187767A1 US 20070187767 A1 US20070187767 A1 US 20070187767A1 US 67327807 A US67327807 A US 67327807A US 2007187767 A1 US2007187767 A1 US 2007187767A1
Authority
US
United States
Prior art keywords
layer
source
germanium
semiconductor device
silicon germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/673,278
Inventor
Nobuaki Yasutake
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Assigned to KABUSHIKI KAISHA TOSHIBA reassignment KABUSHIKI KAISHA TOSHIBA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YASUTAKE, NOBUAKI
Publication of US20070187767A1 publication Critical patent/US20070187767A1/en
Priority to US12/723,251 priority Critical patent/US7880228B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Definitions

  • the present invention relates to a semiconductor device, and more particularly to an MISFET (Metal Insulator Semiconductor Field Effect Transistor)
  • MISFET Metal Insulator Semiconductor Field Effect Transistor
  • a carrier (hole) mobility in a channel region of a p-channel MOSFET (which will be referred to as a pMOS hereinafter) is slower than a carrier (electron) mobility in a channel region of an n-channel MOSFET (which will be referred to as an nMOS hereinafter), and hence increasing a speed of the pMOS is demanded.
  • a CMOSFET Complementally MOS Field Effect Transistor
  • a semiconductor device comprising: a semiconductor substrate; a gate insulating film formed on the semiconductor substrate; a gate electrode formed on the gate insulating film; a source/drain layer formed on both sides of the gate electrode, the source/drain layer containing silicon germanium and having a germanium layer in a surface layer portion; and a germanide layer formed on the germanium layer of the source/drain layer.
  • a semiconductor device comprising: a semiconductor substrate; a gate insulating film formed on the semiconductor substrate; a gate electrode formed on the gate insulating film; a first sidewall insulating film formed on a side surface of the gate electrode; a second sidewall insulating film formed on a side surface of the first sidewall insulating film; a first source/drain layer formed below the second sidewall insulating film, the first source/drain layer containing silicon germanium; a second source/drain layer formed in contact with the first source/drain layer on an outer side of the second sidewall insulating film, the second source/drain layer containing silicon germanium and having a germanium layer in a surface layer portion; and a germanide layer formed on the germanium layer of the second source/drain layer.
  • a semiconductor device comprising: a semiconductor substrate; a first silicon germanium layer formed on the semiconductor substrate; a second silicon germanium layer formed on the semiconductor substrate apart from the first silicon germanium layer; a gate insulating film formed on the semiconductor substrate between the first silicon germanium layer and the second silicon germanium layer; a gate electrode formed on the gate insulating film; a first germanium layer formed on the first silicon germanium layer; a first germanide layer formed on the first germanium layer; a second germanium layer formed on the second silicon germanium layer; and a second germanide layer formed on the second germanium layer.
  • FIG. 1 is a cross-sectional view showing a semiconductor device according to Embodiment 1 of the present invention.
  • FIGS. 2 to 8 are cross-sectional views showing a manufacturing method of a semiconductor device according to Embodiment 1;
  • FIG. 9 is a process cross-sectional view of a semiconductor device according to a modification of Embodiment 1;
  • FIG. 10 is a cross-sectional view showing a semiconductor device according to Embodiment 2 of the present invention.
  • FIGS. 11A, 11B , 11 C, 12 A, 12 B, 12 C, 13 A, and 13 B are cross-sectional views showing a manufacturing method of the semiconductor device according to Embodiment 2;
  • FIG. 14 is a cross-sectional view showing a semiconductor device according to Modification 1 of Embodiment 2 of the present invention.
  • FIG. 15 is a cross-sectional view showing a semiconductor device according to Modification 2 of Embodiment 2 of the present invention.
  • FIG. 16 is a cross-sectional view showing a semiconductor device according to Embodiment 3 of the present invention.
  • FIG. 17 is a cross-sectional view showing a semiconductor device according to Modification of Embodiment 3 of the present invention.
  • FIG. 1 is a cross-sectional view showing a semiconductor device according to this embodiment that functions as a pMOS of a CMOSFET.
  • an element isolating region 101 is provided in a semiconductor substrate, e.g., a single-crystal silicon semiconductor substrate (which will be referred to as a silicon substrate hereinafter) 100 , and an element forming region 100 a is partitioned by this element isolating region 101 .
  • a gate electrode 104 is formed on the silicon substrate 100 via a gate insulating film 103 .
  • a first sidewall insulating film 105 is formed on a side surface of the gate electrode 104
  • a second sidewall insulating film 106 is formed on a side surface of the first sidewall insulating film 105 .
  • a first source/drain layer 108 as an extension layer in which a p-type impurity ion is implanted is formed on the silicon substrate 100 below the second sidewall insulating film 106 .
  • a silicon germanium layer 109 is formed on the silicon substrate 100 on each of both sides (an outer side) of the second sidewall insulating film 106 , and a germanium layer 110 is formed on the silicon germanium layer 109 . Furthermore, a second source/drain layer in which a p-type impurity ion is implanted is formed on the silicon germanium layer 109 and the germanium layer 110 . When the second source/drain layer contains the silicon germanium in this manner, a compression stress can be given to a channel region to increase a mobility of a carrier.
  • a silicide layer 112 is formed on an upper side of the gate electrode 104
  • a germanide layer 113 is formed on the germanium layer 110 of the second source/drain layer.
  • This germanide layer 113 is formed by forming a high-melting point metal film of, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd) on the germanium layer 110 of the second source/drain layer, and then performing a heat treatment to react the germanium layer 110 with the high-melting point metal film.
  • the germanide layer 113 is constituted of a binary compound, e.g., NiGe, CoGe, TiGe, IrGe, PtGe, or Pd 2 Ge without containing Si.
  • a barrier insulating film 114 is formed on the element isolating region 101 , the gate electrode 104 , the sidewall insulating films 105 and 106 , and the germanide layer 113 .
  • An interlayer insulating layer 115 is formed on the barrier insulating film 114 .
  • a wiring layer 116 is formed on the interlayer insulating layer 115 . The wiring layer 116 is electrically connected with the germanide layer 113 via a contact plug 117 .
  • FIGS. 2 to 8 are process cross-sectional views showing a manufacturing method of the semiconductor device according to Embodiment 1. It is to be noted that the element isolating region 101 is not depicted and omitted in FIGS. 2 and 8 .
  • the element isolating region 101 is formed in the silicon substrate 100 .
  • the element isolating region 101 it is possible to use so-called STI (shallow trench isolation) obtained by forming a shallow groove in the silicon substrate 100 by a photo engraving process and filling this groove with an insulating film, e.g., an silicon oxide film (an SiO 2 film) formed by a CVD (Chemical vapor deposition) method.
  • STI shallow trench isolation
  • an insulating film e.g., an silicon oxide film (an SiO 2 film) formed by a CVD (Chemical vapor deposition) method.
  • the gate insulating film 103 having, e.g., an SiO 2 film or a silicon oxynitride film (an SiON film) as a material is formed on the silicon substrate 100 by using the CVD method or the like.
  • An electroconductive material film e.g., a polycrystal silicon film, in which, e.g., boron (B) is added at a high concentration, serving as the gate electrode 104 is deposited on the gate insulating film 103 .
  • an oxide film 118 e.g., an SiO 2 film formed by the CVD method is deposited on the polycrystal silicon film.
  • a gate electrode pattern is processed on the oxide film 118 by lithography and etching, and then the polycrystal silicon film is etched with this oxide film 118 being used as a mask, thereby forming the gate electrode 104 . Additionally, the gate insulating film 103 is etched and removed while avoiding a part below the gate electrode 104 .
  • a silicon nitride film (an SiN film) having a film thickness of, e.g., 10 nm is formed on the silicon substrate 100 and the gate electrode 104 by the CVD method and the like. Further, the silicon nitride film formed on the gate electrode 104 , the silicon substrate 100 , and others is subjected to anisotropic etched to form the first sidewall insulating film 105 on the side surface of the gate electrode 104 as shown in FIG. 4 .
  • the first source layer 108 as the extension layer is formed on the silicon substrate 100 on both sides of the first sidewall insulating film 105 .
  • a p-type impurity ion is implanted into the silicon substrate 100 with the gate electrode 104 and the first sidewall insulating film 105 being used as a mask.
  • boron (B) can be used, for example.
  • a silicon nitride film (an SiN film) or a silicon oxide film (an SiO 2 film) having a film thickness of, e.g., 20 to 30 nm is formed on the silicon substrate 100 , the gate electrode 104 , and the first sidewall insulating film 105 by the CVD method and the like.
  • the silicon nitride film or the silicon oxide film formed on the gate electrode 104 and the silicon substrate 100 is subjected to anisotropic etching.
  • the second sidewall insulating film 106 is formed on the first sidewall insulating film 105 on the side surface of the gate electrode 104 as shown in FIG. 6 .
  • a surface portion of the silicon substrate 100 is etched to be removed with the gate electrode 104 and the second sidewall insulating film 106 being used as a mask, thereby forming a shallow groove 121 for the second source/drain layer serving as a source/drain layer. It is to be noted that the upper surface of the gate electrode 104 is covered with the oxide film 118 at the time of this etching, and hence the gate electrode 104 is not etched.
  • the silicon germanium layer 109 and the germanium layer 110 are sequentially subjected to selective epitaxial growth on the groove 121 .
  • This selective epitaxial growth of the silicon germanium layer 109 can be realized by adding, e.g., approximately 0.4 vol % to 0.5 vol % of hydrogen chloride (HCl) to hydrogen (H 2 ) as a carrier gas and using a mixed gas containing dichlorsilane (SiH 2 Cl 2 ) and monogermane (Ge 4 ) as a raw material at a temperature of, e.g., 650° C. to 750° C.
  • a gas flow rate of GeH 4 with respect to SiH 2 Cl 2 can be set to, e.g., 2 vol % to 5 vol %. Changing this gas flow ratio enables controlling a germanium concentration in the silicon germanium layer 109 to a desired value.
  • a germanium concentration in this silicon germanium layer 109 is determined to fall within a range of, e.g., 10 at % to 30 at % in order to give a compression stress to the channel region and effectively improve a carrier mobility by containing the germanium and also to suppress an influence of occurrence of a crystal defect due to excessively containing the germanium.
  • a thickness of the silicon germanium layer 109 can be changed in dependence on a gate length. When the gate length is, e.g., 70 nm, a thickness of the silicon germanium layer 109 can be set to, e.g., 35 nm to 40 nm. However, this value can be increased to enlarge a compression stress given to the channel region.
  • a gas flow ratio at the time of selective epitaxial growth of the silicon germanium layer 109 i.e., a gas flow rate of GeH 4 with respect to SiH 2 Cl 2 can be greatly increased, thereby subjecting the germanium layer 110 to selective epitaxial growth on the silicon germanium layer 109 .
  • growth is effected in such a manner that a germanium concentration of the silicon germanium layer 109 becomes 10 at % to 30 at %, and growth is performed in such a manner that a germanium concentration of the germanium layer 110 becomes approximately 100 at %.
  • a boron (B) ion or the like is implanted into the silicon germanium layer 109 and the germanium layer 110 with the gate electrode 104 and the second sidewall insulating film 106 being used as a mask.
  • Ion implantation conditions of the second source/drain layer are a higher energy and a higher concentration than those in ion implantation of the first source/drain layer 108 .
  • a junction depth of the second source/drain layer can be formed larger than a junction depth of the first source/drain layer 108 as the extension layer.
  • the second source/drain layer is formed in contact with the first source/drain layer 108 . It is to be noted that the second source/drain layer may be formed to be deeper than the silicon germanium layer 109 .
  • the oxide film 118 on the gate electrode 104 is removed by, e.g., wet etching to expose a surface of the polycrystal silicon layer of the gate electrode 104 .
  • the silicide layer 112 is formed on the gate electrode 104
  • the germanide layer 113 is formed on the second source/drain layer, i.e., the germanium layer 110 .
  • a metal film (not shown) is formed on the gate electrode 104 and the germanium layer 110 by, e.g., sputtering.
  • the second source/drain layer has the germanium layer 110 on an interface between itself and the germanide layer 113 .
  • a metallic material of the metal film it is possible to use a high-melting point metal, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd). Thereafter, an unreacted metal film other than the silicide layer 112 and the germanide layer 113 is removed.
  • a high-melting point metal e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd).
  • the barrier insulating film 114 is deposited on the structure depicted in FIG. 8 .
  • the interlayer insulating layer 115 is deposited on the barrier insulating film 114 and flattened by, e.g., CMP (Chemical Mechanical Polishing).
  • CMP Chemical Mechanical Polishing
  • a contact hole reaching the germanide layer 113 is formed in the interlayer insulating layer 115 , and then the contact hole is filled with an electroconductive material to form the contact plug 117 .
  • the wiring layer 116 connected with the contact plug 117 is formed on the interlayer insulating layer 115 .
  • a process required for a semiconductor device e.g., multilayer wiring is carried out, thus manufacturing the semiconductor device according to this embodiment.
  • the second source/drain layer contains the silicon germanium as a compound of silicon and germanium having a larger atomic radium than silicon, a compression stress can be given to the channel region, and a carrier mobility can be improved.
  • a silicide layer is formed on a source/drain layer using the silicon germanium in order to reduce a resistance of the source/drain layer.
  • a thermally unstable compound is generated.
  • transistor characteristics may be deteriorated in some cases.
  • a surface layer of the source/drain layer containing the silicon germanium is constituted of a silicon layer having a fixed thickness and the silicide layer is formed on this silicon layer along to suppress generation of a compound due to reaction of the silicon germanium and the silicide layer, a contact resistance between the silicon layer and the silicide layer is increased, and hence an improvement in transistor characteristics may possibly become insufficient.
  • the surface layer of the second source/drain layer using the silicon germanium is constituted of the germanium layer 110 having a fixed thickness, and the germanide layer 113 is provided on the germanium layer 110 to prevent reaching the silicon germanium layer 109 .
  • the silicon germanium layer 109 of the second source/drain layer does not directly come into contact with the germanide layer 113 , and a thermally unstable compound is not generated. Therefore, deterioration in transistor characteristics can be avoided.
  • a contact resistance between the germanium layer 110 and the germanide layer 113 is lower than a contact resistance between the silicon layer and the silicide layer, thus effectively suppressing deterioration in transistor characteristics.
  • a compression stress can be given to the channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without generating a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • the germanium layer 110 is formed on the silicon germanium layer 109 having a predetermined germanium concentration in this embodiment.
  • a silicon germanium layer 109 A whose germanium concentration continuously varies from the surface layer portion toward the deep layer portion may be used, and the germanium layer 110 may be formed on this silicon germanium layer 109 A.
  • the germanium concentration in a lower part of the silicon germanium layer 109 A is set to 10 to 30 at %.
  • the germanium concentration in the interface between the silicon germanium layer 109 A and the germanium layer 110 is set to 100 at %.
  • the germanide layer is formed on the germanium layer in the source/drain layer.
  • a contact resistance between the germanium layer and the germanide layer in this example can be represented by the following Expression (1).
  • ⁇ C is a contact resistance between the germanium layer and the germanide layer
  • N D is an impurity concentration in an interface, i.e., a boron ion concentration in an interface between the germanium layer and the germanide layer.
  • ⁇ B is a height of a Schottky barrier.
  • ⁇ B is reduced by approximately 0.1 eV and becomes 0.33 eV, 0.10 to 0.14 eV, or 0.25 eV. It is to be noted that a germanium concentration of the silicon germanium layer in this example is 15 at %. Therefore, as compared with the conventional technology where the silicide film is formed on the silicon layer or the silicon germanium layer, the contact resistance in the source/drain layer can be reduced in this embodiment where the germanide layer is formed on the germanium layer on the silicon germanium layer.
  • FIG. 10 is a cross-sectional view showing a semiconductor device according to this embodiment that functions as a pMOS of a CMOSFET.
  • an element isolating region 101 is provided in a single-crystal silicon semiconductor substrate (a silicon substrate) 100 , and an n-type well region 102 having an n-type impurity ion is formed in an element forming region 100 partitioned by this element isolating region 101 .
  • a gate insulating film 103 is formed on the n-type well region 102 of the silicon substrate 100 , and a gate electrode 104 is formed on the gate insulating film 103 .
  • a first sidewall insulating film 105 is formed on a side surface of the gate electrode 104 , and a second sidewall insulating film 106 is formed on a side surface of the first sidewall insulating film 105 .
  • a semiconductor layer 107 is formed in the n-type well region 102 below the second sidewall insulating film 106 . Further, a first source/drain layer 108 as an extension layer in which a p-type impurity ion is implanted is formed on this semiconductor layer 107 .
  • the semiconductor layer 107 it is preferable for the semiconductor layer 107 to be a semiconductor layer containing silicon germanium in order to give a compression stress to a channel region and improve a mobility of a carrier.
  • the first source/drain layer 108 to be formed with a so-called elevated structure in which a surface thereof is placed above a surface of the silicon substrate 100 where a channel moves.
  • a silicon germanium layer 109 is formed on the n-type well region 102 on both sides (an outer side) of the second sidewall insulating film 106 .
  • a germanium layer 110 is formed on the silicon germanium layer 109 .
  • a second source/drain layer 111 in which a p-type impurity ion is implanted is formed in this silicon germanium layer 109 and the germanium layer 110 .
  • the second source/drain layer 111 in order to suppress a short channel effect, it is preferable for the second source/drain layer 111 to be formed with the elevated structure in which a surface thereof is formed at a higher position than a height of the surface of the silicon substrate 100 .
  • a silicide layer 112 is formed on the gate electrode 104
  • a germanide layer 113 is formed on the germanium layer 110 of the second source/drain layer 111 .
  • This germanide layer 113 is formed by forming a high-melting point metal film of, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd) on the germanium layer 110 of the second source/drain layer 111 , and then carrying out a heat treatment to react the germanium layer 110 of the second source/drain layer 111 with the high-melting point metal film.
  • the germanide layer 113 is constituted of a binary compound, e.g., NiGe, CoGe, TiGe, IrGe, PtGe, or Pd 2 Ge without containing Si.
  • a barrier insulating film 114 is formed on the element isolating region 101 , the gate electrode 104 , the sidewall insulating films 105 and 106 , and the germanide layer 113 .
  • An interlayer insulating layer 115 is formed on the barrier insulating film 114 .
  • a wiring layer 116 is formed on the interlayer insulating layer 115 . The wiring layer 116 is electrically connected with the germanide layer 113 via a contact plug 117 .
  • FIGS. 11A to 13 B are process cross-sectional views showing the manufacturing method of a semiconductor device according to this embodiment.
  • the element isolating region 101 is formed in the silicon substrate 100 .
  • the element isolating region 101 it is possible to use so-called STI (shallow trench isolation) obtained by forming a shallow groove in the silicon substrate 100 by a photo engraving process and filling this groove with a silicon oxide film (an SiO 2 film) formed by, e.g., a CVD (Chemical vapor deposition) method.
  • STI shallow trench isolation
  • an SiO 2 film silicon oxide film
  • CVD Chemical vapor deposition
  • the gate insulating film 103 having, e.g., an SiO 2 film or a silicon oxynitride film (an SiON film) as a material is formed on the silicon substrate 100 and the element isolating region 101 by using the CVD method and the like.
  • An electroconductive material film serving as the gate electrode 104 e.g., a polycrystal silicon film having boron (B) added therein with a high concentration is deposited on the gate insulating film 103 .
  • an oxide film 118 e.g., an SiO 2 film formed by the CVD method is deposited on the polycrystal silicon film.
  • a gate electrode pattern is processed on the oxide film 118 by lithography and etching. Thereafter, this oxide film 118 is used as a mask to etch the polycrystal silicon film, thereby forming the gate electrode 104 . Moreover, the gate insulating film 103 is etched to be removed except a part below the gate electrode 104 .
  • a silicon nitride film (an SiN film) having a film thickness of, e.g., 10 nm is formed on the element isolating region 101 , the silicon substrate 100 , and the gate electrode 104 by the CVD method and the like. Additionally, the silicon nitride film formed on the gate electrode 104 , the silicon substrate 100 , and others is subjected to anisotropic etching, thus forming the first sidewall insulating film 105 on the side surface of the gate electrode 104 .
  • a surface portion of the silicon substrate 100 is etched to be removed with the gate electrode 104 and the first sidewall insulating film 105 being used as a mask, thereby forming a shallow groove 119 for the first source/drain layer 108 serving as an extension layer.
  • the gate electrode 104 is not etched since the oxide film 118 covers the upper surface of the gate electrode 104 .
  • the semiconductor layer 107 e.g., a silicon germanium layer is subjected to selective epitaxial growth on the groove 119 .
  • This selective epitaxial growth of the silicon germanium layer 107 can be realized by adding, e.g., approximately 0.4 vol % to 0.5 vol % of hydrogen chloride (HCl) to hydrogen (H 2 ) as a carrier gas and using a mixed gas containing dichlorsilane (SiH 2 Cl 2 ) and monogermane (GeH 4 ) as a raw material at a temperature of, e.g., 650° C. to 750° C.
  • a gas flow rate of GeH 4 with respect to SiH 2 Cl 2 can be set to, e.g., 2 vol % to 5 vol %. Varying this gas flow ratio enables controlling a germanium concentration in the silicon germanium layer 107 to a desired value.
  • the germanium concentration in this silicon germanium layer 107 is determined to fall within a range of, e.g., 10 at % to 30 at % in order to give a compression stress to the channel region and effectively improve a carrier mobility based on containing germanium, and also to suppress an influence of occurrence of a crystal defect due to excessively containing germanium.
  • a thickness of the silicon germanium layer 107 can be changed in dependence on a gate length.
  • a thickness of the silicon germanium layer 107 can be set to, e.g., 35 nm to 40 nm. However, this value can be increased to enlarge a compression stress that is given to the channel region.
  • a surface of this silicon germanium layer 107 is set higher than that of the silicon substrate 100 in the channel region.
  • a depth of the groove 119 required to form the silicon germanium layer 107 is set to, e.g., 30 nm, and a film thickness of the silicon germanium layer 107 is set to 40 nm.
  • the silicon germanium layer 107 has the elevated structure in this manner, the silicon germanium layer 107 can be formed thick to improve a compression stress.
  • a later-explained effective junction depth of the first source/drain layer 108 can be reduced, thereby suppressing a short channel effect as compared with a flat structure.
  • the first source/drain layer 108 is formed.
  • an impurity that is implanted into the silicon germanium layer 107 it is possible to use, e.g., boron (B).
  • boron (B) when forming the first source/drain layer 108 based on ion implantation, adjusting an implantation energy enables controlling the junction depth. For example, as shown in FIG. 12A , the junction depth of the first source/drain layer 108 can be reduced to be smaller than a thickness of the silicon germanium layer 107 . Additionally, diffusion of boron (B) in the silicon germanium layer 107 is suppressed as compared with diffusion in the silicon substrate, and hence this is advantageous to reduce the junction depth of the first source/drain layer 108 and thereby suppress the short channel effect.
  • an insulating film serving as the second sidewall insulating film 106 e.g., an SiN film or an SiO 2 film having a film thickness of 20 to 30 nm is deposited on the gate electrode 104 and the silicon germanium layer 107 by the CVD method and the like. Thereafter, the insulating film on the gate electrode 104 and the silicon germanium layer 107 is removed by anisotropic etching so that the insulating film remains on the side surface of the gate electrode 104 along, thereby forming the second sidewall insulating film 106 .
  • the gate electrode 104 and the second sidewall insulating film 106 are used as a mask to etch the exposed silicon germanium layer 107 , and a groove 120 required to form the second source/drain layer 111 is thereby formed.
  • the groove 120 can have a depth that allows removing the silicon germanium layer 107 , for example. It is to be noted that an upper surface of the gate electrode 104 is covered with the oxide film 118 in this etching, and hence the gate electrode 104 is not etched. In this manner, the silicon germanium layer 107 remains below the second sidewall insulating film 106 alone, and the first source/drain layer 108 is formed below the second sidewall insulating film 106 .
  • the silicon germanium layer 109 and the germanium layer 110 are sequentially subjected to selective epitaxial growth in the groove 120 .
  • This selective epitaxial growth of the silicon germanium layer 109 can be carried out by adding a small amount of HCl to an H 2 gas as a carrier gas and using SiH 2 Cl 2 and GeH 4 as a raw material gas like the above-explained selective epitaxial growth of the silicon germanium layer 107 .
  • the silicon germanium layer 109 is grown, and then a gas flow ratio at the time of selective epitaxial growth of the silicon germanium layer 109 , i.e., a gas flow rate of GeH 4 with respect to SiH 2 Cl 2 is greatly increased, thereby subjecting the germanium layer 110 to selective epitaxial growth on the silicon germanium layer 109 .
  • growth is effected in such a manner that a germanium concentration in the silicon germanium layer 109 becomes 10 at % to 30 at %, and that a germanium concentration in the germanium layer 110 becomes approximately 100 at %, for example.
  • a total thickness of the silicon germanium layer 109 and the germanium layer 110 is set larger than a depth of the groove 120 , i.e., a thickness of the silicon germanium layer 107 in order to provide the elevated structure to the second source/drain layer 111 formed at this position in a later process.
  • a depth of the groove 120 i.e., a thickness of the silicon germanium layer 107 in order to provide the elevated structure to the second source/drain layer 111 formed at this position in a later process.
  • the gate electrode 104 and the second sidewall insulating film 106 are used as a mask to implant, e.g., a boron (B) ion into the silicon germanium layer 109 and the germanium layer 110 .
  • Ion implantation conditions of the second source/drain layer 111 are a higher energy and a higher concentration than those in ion implantation of the first source/drain layer 108 .
  • the second source/drain layer 11 can be formed to be deeper than the junction depth of the first source/drain layer 108 as extension layer.
  • the second source/drain layer 111 is formed in contact with the first source/drain layer 108 , and it may be formed to be deeper than the silicon germanium layer 109 .
  • the silicon germanium layer 107 exposed on the outer side of the second sidewall insulating film 106 is etched to form the groove 120 , and then the silicon germanium layer 109 is formed in the groove 120 in this embodiment as shown in FIGS. 12B and 12C .
  • the silicon germanium layer 107 may be left as it is without being etched.
  • the germanium layer 110 can be formed on the left silicon germanium layer 107 on the outer side of the second sidewall insulating film 106 .
  • the second source/drain layer 111 may be formed on the silicon germanium layer 107 and the germanium layer 110 on the outer side of the second sidewall insulating film 106 .
  • the oxide film 118 on the gate electrode 104 is removed by wet etching to expose the surface of the polycrystal silicon layer of the gate electrode 104 .
  • the silicide layer 112 is formed on the gate electrode 104
  • the germanide layer 113 is formed on the second source/drain layer 111 . That is, a metal film (not shown) is deposited on the gate electrode 104 and the second source/drain layer 111 by, e.g., sputtering, and then a heat treatment is carried out to react the polycrystal silicon layer on the surface of the gate electrode with the metal film, thereby forming the silicide layer 112 on the gate electrode 104 .
  • the germanium layer 110 at a surface layer portion of the second source/drain layer 111 is reacted with the metal film to form the germanide layer 113 on the second source/drain layer 111 .
  • the second source/drain layer 111 has the germanium layer 110 on an interface between itself and the germanide layer 113 .
  • a metallic material of the metal film it is possible to use a high-melting point metal, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd). Then, an unreacted metal film other than the silicide layer 112 and the germanide layer 113 is removed.
  • the barrier insulating film 114 is deposited on the structure depicted in FIG. 13A , and then the interlayer insulating layer 115 is deposited on the barrier insulating film 114 and flattened by, e.g., CMP (Chemical Mechanical Polishing). Further, a contact hole reaching the second source/drain layer 111 is formed in the interlayer insulating layer 115 . Thereafter, the contact hole is filled with an electroconductive material to form a contact plug 117 . Furthermore, the wiring layer 116 connected with the contact plug 117 is formed on the interlayer insulating layer 115 . Subsequently, a process required for a semiconductor device, e.g., multilayer wiring is carried out, thereby manufacturing the semiconductor device according to this embodiment.
  • CMP Chemical Mechanical Polishing
  • the source/drain layers 108 and 111 contain the silicon germanium as a compound of silicon and germanium having a larger atomic radius than silicon, a compression stress can be given to the channel region, and a carrier mobility can be improved.
  • a silicide layer is formed on the source/drain layer.
  • a thermally unstable compound is generated.
  • transistor characteristics may be deteriorated in some cases.
  • the surface layer of the source/drain layer containing the silicon germanium is constituted of a silicon layer having a fixed thickness and the silicide layer is formed on this silicon layer alone to suppress generation of a compound due to a reaction of the silicon germanium and the silicide layer, a contact resistance between the silicon layer and the silicide layer is increased. Therefore, an improvement in transistor characteristics may become insufficient.
  • the surface layer of the second source/drain layer 111 using the silicon germanium is constituted of the germanium layer 110 having a fixed thickness, and the germanide layer 113 is provided on the germanium layer 110 to avoid reaching the silicon germanium layer 109 .
  • the silicon germanium layer 109 of the second source/drain layer 111 does not directly come into contact with the germanide layer 113 , and a thermally unstable compound is not produced, thereby avoiding deterioration in transistor characteristics.
  • a contact resistance between the germanium layer 110 and the germanide layer 113 is lower than a contact resistance between the silicon layer and the silicide layer, deterioration in transistor characteristics can be effectively suppressed.
  • a compression stress can be given to the channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without producing a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • FIG. 14 is a cross-sectional view of a semiconductor device according to Modification of Embodiment 2.
  • the semiconductor device according to this modification is different from the semiconductor device according to Embodiment 2 in that a thickness of a first source/drain layer 108 as an extension layer is substantially the same as a thickness of a semiconductor layer 107 below a second sidewall insulating film 106 . Therefore, in the following description of the semiconductor device according to this modification, like reference numerals denote parts equal to those in the structure and the manufacturing method of the semiconductor device according to Embodiment 2, thereby omitting a detailed explanation thereof.
  • a first source/drain layer 108 in a semiconductor device according to this modification is formed by doping, e.g., boron (B) simultaneously with selective epitaxial grow of a silicon germanium layer as a semiconductor layer 107 . Therefore, as shown in FIG. 14 , a thickness of the first source/drain layer 108 is substantially the same as a thickness of the silicon germanium layer 107 .
  • a compression stress can be given to a channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without producing a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • a process of implanting an ion into the semiconductor layer 107 can be omitted in manufacture of the semiconductor device according to Embodiment 2.
  • boron (B) is doped simultaneously with selective epitaxial growth of the semiconductor layer 107 to form the first source/drain layer 108 in this modification.
  • a second source/drain layer 111 may be likewise formed by doping boron (B) simultaneously with selective epitaxial growth of a silicon germanium layer 109 and a germanium layer 110 .
  • FIG. 15 is a cross-sectional view of a semiconductor device according to Modification 2 of Embodiment 2.
  • a semiconductor device according to this modification is different from the semiconductor device according to Embodiment 2 in that a germanide layer 113 formed on a second source/drain layer 111 is in contact with a first source/drain layer 108 as an extension layer. Therefore, in the following description of the semiconductor device according to this modification, like reference numerals denote parts equal to those in the structure and the manufacturing method of the semiconductor device according to Embodiment 2, thereby omitting a detailed explanation thereof.
  • a metal film is formed on a second source/drain layer 111 , and then a heat treatment is carried out to form a germanide layer 113 .
  • the germanide layer 113 is formed to reach a position where it comes into contact with a germanium layer 210 constituting a first source/drain layer 108 formed in proximity to the second source/drain layer 111 .
  • the second source/drain layer 111 likewise has a germanium layer 110 on an interface between itself and the germanide layer 113 in the semiconductor device according to this modification.
  • a contact portion of a semiconductor layer 107 with respect to the germanide layer 113 has a germanium layer 210 in order to prevent a thermally unstable ternary compound NiSiGe being formed on an interface between the germanide layer 113 and the semiconductor layer 107 .
  • a compression stress can be given to a channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without producing a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • FIG. 16 is a cross-sectional view of a semiconductor device according to this Embodiment.
  • the semiconductor device according to this embodiment is different from the semiconductor device according to Embodiment 2 in that a portion of a silicon germanium layer 109 on both sides of a second sidewall insulating film 106 on a side surface of a gate electrode 104 has a concentration gradient. Therefore, in the following description of this embodiment, like reference numerals denote parts equal to those in the structure and the manufacturing method of the semiconductor device according to Embodiment 2, thereby omitting a detailed explanation thereof.
  • the silicon germanium layer 109 includes a silicon germanium layer 109 B having a predetermined germanium concentration, and a silicon germanium layer 109 C in which the germanium concentration increased toward the upper portion.
  • the silicon germanium layer 109 of this structure is formed on a silicon substrate 100 on both sides of the second sidewall insulating film 106 .
  • the germanium layer 110 is formed on the silicon germanium layer 109 C.
  • germanium concentrations in the silicon germanium layer 109 B and the germanium layer 110 are 10 to 30 at % and approximately 100 at %, respectively.
  • the germanium concentration of the silicon germanium layer 109 C is approximately 10 to 30 at % on an interface between the silicon germanium layer 109 C and the silicon germanium layer 109 B, and increased toward the upper portion.
  • the germanium concentration of the silicon germanium layer 109 C is approximately 100 at % on an interface between the silicon germanium layer 109 C and the germanium layer 110 .
  • a second source/drain layer 111 having an impurity ion implanted therein is formed with respect to this silicon germanium layer 109 B, 109 C, and the germanium layer 110 .
  • a germanide layer 113 is formed on the germanium layer 110 in order to reduce a resistance of the second source/drain layer 111 .
  • a gate electrode 104 , a first source/drain layer 108 , and others are formed on the silicon substrate 100 . Then, a groove 120 is formed in the silicon substrate on both sides of the second sidewall insulating film 106 formed on a side surface of the gate electrode 104 .
  • the silicon germanium layer 109 is subjected to selective epitaxial growth in the groove 120 .
  • the silicon germanium layer 109 B can be grown by adding hydrogen chloride (HCl) to hydrogen (H 2 ) as a carrier gas and performing heating with a mixed gas containing dichlorsilane (SiH 2 Cl 2 ) and monogermane (GeH 4 ) being used as a raw material gas.
  • a gas flow rate of GeH 4 with respect to SiH 2 Cl 2 can be set to, e.g., 2 vol % to 5 vol %.
  • the silicon germanium layer 109 C is continuously subjected to selective epitaxial growth while gradually increasing a gas flow ratio of GeH 4 with respect to SiH 2 Cl 2 to gradually raise a germanium concentration.
  • the gas flow ratio of GeH 4 with respect to SiH 2 Cl 2 is adjusted in such a manner that the germanium concentration of the silicon germanium layer 109 C has a concentration gradient rising to approximately 100 at %.
  • germanium layer 110 whose germanium concentration becomes approximately 100 at % is continuously subjected to epitaxial growth in such a manner that this layer has a thickness of, e.g., approximately 30 nm.
  • the silicon germanium layer 109 B, 109 C, and the germanium layer 110 in the semiconductor device according to this embodiment can be sequentially formed.
  • the silicon germanium is contained in the source/drain layers 108 and 111 to give a compression stress to a channel region and improve a carrier mobility. Further, the germanium layer is formed on the silicon germanium layer, and a high-melting point metal film is formed on this germanium layer to form the germanide layer. As a result, a thermally unstable compound is not produced on the source/drain layer. Therefore, a resistance of the source/drain layer can be reduced, and deterioration in transistor characteristics can be suppressed.
  • a gas flow ratio of GeH 4 with respect to SiH 2 Cl 2 must be precipitously changed to grow the germanium layer 110 on the silicon germanium layer 109 .
  • the silicon germanium layer 109 B, 109 C, and the germanium layer 110 where the second source/drain layer 111 is formed in manufacture of the semiconductor device according to this embodiment the silicon germanium layer 109 B, 109 C, and the germanium layer 110 are continuously grown while gradually increasing a gas flow ratio of GeH 4 with respect to SiH 2 Cl 2 , thereby forming the second source/drain layer 111 . Therefore, manufacture is simple.
  • Embodiment 3 may be modified as shown in FIG. 17 . That is, the semiconductor layer 107 shown in FIG. 16 may include a silicon germanium layer 107 B, a silicon germanium layer 107 C having a concentration gradient, and the germanium layer 210 . In addition, boron (B) may be introduced when the silicon germanium layer is formed.
  • the surface of the first source/drain layer 108 or the surface of the second source/drain layer 111 is placed at a height above the surface of the silicon substrate 100 , but it may be placed at a height equal to or below the surface of the silicon substrate 100 .
  • the silicon germanium layer is used for the semiconductor layer 107 , but a silicon layer may be used as any other material, for example.
  • the germanium layer 110 is directly formed on the silicon germanium layer 109 , but the germanium layer 110 may be formed on the silicon germanium layer 109 via, e.g., a silicon layer.
  • the semiconductor device that improves a carrier mobility and suppresses deterioration in transition characteristics.

Abstract

A semiconductor device includes a semiconductor substrate, a gate insulating film, a gate electrode, a source/drain layer, and a germanide layer. The gate insulating film is formed on the semiconductor substrate. The gate electrode is formed on the gate insulating film. The source/drain layer is formed on both sides of the gate electrode, contains silicon germanium, and has a germanium layer in a surface layer portion. The germanide layer is formed on the germanium layer of the source/drain layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2006-034916, filed Feb. 13, 2006, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The present invention relates to a semiconductor device, and more particularly to an MISFET (Metal Insulator Semiconductor Field Effect Transistor)
  • 2. Description of the Related Art
  • In recent years, with miniaturization of semiconductor devices, an increase in speed of an MISFET has attracted attention. For example, in a CMOSFET (Complementally MOS Field Effect Transistor), a carrier (hole) mobility in a channel region of a p-channel MOSFET (which will be referred to as a pMOS hereinafter) is slower than a carrier (electron) mobility in a channel region of an n-channel MOSFET (which will be referred to as an nMOS hereinafter), and hence increasing a speed of the pMOS is demanded.
  • On the other hand, in the pMOS, it is known that using a silicon germanium as a compound of silicon and germanium having a larger atomic radius than silicon for a source/drain layer provides a compression stress to a channel region and improves a carrier mobility, and that forming a silicide film on the source/drain layer of silicon germanium can reduce a resistance of the source/drain layer (see, e.g., P. R. Chidambaram et. al.; “35% Drive Current Improvement from Recesed-SiGe Drain Extension on 37 nm Gate Length PMOS”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 48-49).
  • In this conventional technology, however, when forming the silicide film on the source/drain layer, since the silicon germanium and the silicide film have poor affinity, an increase in a junction leakage current or a contact failure may possibly occur. That is, when, e.g., a nickel silicide film is formed on the silicon germanium, a ternary compound of NiSiGe is formed between them. However, since this compound is thermally unstable, aggregation of Ni or deterioration in surface morphology occurs, a junction leakage current is increased, and unevenness of a contact resistance becomes considerable on, e.g., an interface of the compound and the nickel silicide film. As a result, a problem of deterioration in transistor characteristics may occur.
  • BRIEF SUMMARY OF THE INVENTION
  • According to a first aspect of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate; a gate insulating film formed on the semiconductor substrate; a gate electrode formed on the gate insulating film; a source/drain layer formed on both sides of the gate electrode, the source/drain layer containing silicon germanium and having a germanium layer in a surface layer portion; and a germanide layer formed on the germanium layer of the source/drain layer.
  • According to a second aspect of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate; a gate insulating film formed on the semiconductor substrate; a gate electrode formed on the gate insulating film; a first sidewall insulating film formed on a side surface of the gate electrode; a second sidewall insulating film formed on a side surface of the first sidewall insulating film; a first source/drain layer formed below the second sidewall insulating film, the first source/drain layer containing silicon germanium; a second source/drain layer formed in contact with the first source/drain layer on an outer side of the second sidewall insulating film, the second source/drain layer containing silicon germanium and having a germanium layer in a surface layer portion; and a germanide layer formed on the germanium layer of the second source/drain layer.
  • According to a third aspect of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate; a first silicon germanium layer formed on the semiconductor substrate; a second silicon germanium layer formed on the semiconductor substrate apart from the first silicon germanium layer; a gate insulating film formed on the semiconductor substrate between the first silicon germanium layer and the second silicon germanium layer; a gate electrode formed on the gate insulating film; a first germanium layer formed on the first silicon germanium layer; a first germanide layer formed on the first germanium layer; a second germanium layer formed on the second silicon germanium layer; and a second germanide layer formed on the second germanium layer.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • FIG. 1 is a cross-sectional view showing a semiconductor device according to Embodiment 1 of the present invention;
  • FIGS. 2 to 8 are cross-sectional views showing a manufacturing method of a semiconductor device according to Embodiment 1;
  • FIG. 9 is a process cross-sectional view of a semiconductor device according to a modification of Embodiment 1;
  • FIG. 10 is a cross-sectional view showing a semiconductor device according to Embodiment 2 of the present invention;
  • FIGS. 11A, 11B, 11C, 12A, 12B, 12C, 13A, and 13B are cross-sectional views showing a manufacturing method of the semiconductor device according to Embodiment 2;
  • FIG. 14 is a cross-sectional view showing a semiconductor device according to Modification 1 of Embodiment 2 of the present invention;
  • FIG. 15 is a cross-sectional view showing a semiconductor device according to Modification 2 of Embodiment 2 of the present invention;
  • FIG. 16 is a cross-sectional view showing a semiconductor device according to Embodiment 3 of the present invention; and
  • FIG. 17 is a cross-sectional view showing a semiconductor device according to Modification of Embodiment 3 of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A semiconductor device and a manufacturing method thereof according to embodiments of the present invention will now be explained hereinafter with reference to the accompanying drawings.
  • Embodiment 1
  • First, referring to FIG. 1, a structure of a semiconductor device according to Embodiment 1 of the present invention will be explained. FIG. 1 is a cross-sectional view showing a semiconductor device according to this embodiment that functions as a pMOS of a CMOSFET.
  • As shown in FIG. 1, an element isolating region 101 is provided in a semiconductor substrate, e.g., a single-crystal silicon semiconductor substrate (which will be referred to as a silicon substrate hereinafter) 100, and an element forming region 100 a is partitioned by this element isolating region 101. A gate electrode 104 is formed on the silicon substrate 100 via a gate insulating film 103. A first sidewall insulating film 105 is formed on a side surface of the gate electrode 104, and a second sidewall insulating film 106 is formed on a side surface of the first sidewall insulating film 105.
  • Further, a first source/drain layer 108 as an extension layer in which a p-type impurity ion is implanted is formed on the silicon substrate 100 below the second sidewall insulating film 106.
  • A silicon germanium layer 109 is formed on the silicon substrate 100 on each of both sides (an outer side) of the second sidewall insulating film 106, and a germanium layer 110 is formed on the silicon germanium layer 109. Furthermore, a second source/drain layer in which a p-type impurity ion is implanted is formed on the silicon germanium layer 109 and the germanium layer 110. When the second source/drain layer contains the silicon germanium in this manner, a compression stress can be given to a channel region to increase a mobility of a carrier.
  • Moreover, in order to reduce resistances of the gate electrode 104 and the second source/drain layer, a silicide layer 112 is formed on an upper side of the gate electrode 104, and a germanide layer 113 is formed on the germanium layer 110 of the second source/drain layer. This germanide layer 113 is formed by forming a high-melting point metal film of, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd) on the germanium layer 110 of the second source/drain layer, and then performing a heat treatment to react the germanium layer 110 with the high-melting point metal film. The germanide layer 113 is constituted of a binary compound, e.g., NiGe, CoGe, TiGe, IrGe, PtGe, or Pd2Ge without containing Si.
  • Additionally, a barrier insulating film 114 is formed on the element isolating region 101, the gate electrode 104, the sidewall insulating films 105 and 106, and the germanide layer 113. An interlayer insulating layer 115 is formed on the barrier insulating film 114. Further, a wiring layer 116 is formed on the interlayer insulating layer 115. The wiring layer 116 is electrically connected with the germanide layer 113 via a contact plug 117.
  • A manufacturing method of a semiconductor device according to Embodiment 1 will now be explained with reference to FIGS. 2 to 8. FIGS. 2 to 8 are process cross-sectional views showing a manufacturing method of the semiconductor device according to Embodiment 1. It is to be noted that the element isolating region 101 is not depicted and omitted in FIGS. 2 and 8.
  • First, the element isolating region 101 is formed in the silicon substrate 100. As the element isolating region 101, it is possible to use so-called STI (shallow trench isolation) obtained by forming a shallow groove in the silicon substrate 100 by a photo engraving process and filling this groove with an insulating film, e.g., an silicon oxide film (an SiO2 film) formed by a CVD (Chemical vapor deposition) method.
  • Furthermore, as shown in FIG. 2, the gate insulating film 103 having, e.g., an SiO2 film or a silicon oxynitride film (an SiON film) as a material is formed on the silicon substrate 100 by using the CVD method or the like. An electroconductive material film, e.g., a polycrystal silicon film, in which, e.g., boron (B) is added at a high concentration, serving as the gate electrode 104 is deposited on the gate insulating film 103. Moreover, an oxide film 118, e.g., an SiO2 film formed by the CVD method is deposited on the polycrystal silicon film.
  • Then, as shown in FIG. 3, a gate electrode pattern is processed on the oxide film 118 by lithography and etching, and then the polycrystal silicon film is etched with this oxide film 118 being used as a mask, thereby forming the gate electrode 104. Additionally, the gate insulating film 103 is etched and removed while avoiding a part below the gate electrode 104.
  • Subsequently, a silicon nitride film (an SiN film) having a film thickness of, e.g., 10 nm is formed on the silicon substrate 100 and the gate electrode 104 by the CVD method and the like. Further, the silicon nitride film formed on the gate electrode 104, the silicon substrate 100, and others is subjected to anisotropic etched to form the first sidewall insulating film 105 on the side surface of the gate electrode 104 as shown in FIG. 4.
  • Then, as shown in FIG. 5, the first source layer 108 as the extension layer is formed on the silicon substrate 100 on both sides of the first sidewall insulating film 105. In order to realize this structure, a p-type impurity ion is implanted into the silicon substrate 100 with the gate electrode 104 and the first sidewall insulating film 105 being used as a mask. As the impurity ion to be implanted, boron (B) can be used, for example.
  • Subsequently, a silicon nitride film (an SiN film) or a silicon oxide film (an SiO2 film) having a film thickness of, e.g., 20 to 30 nm is formed on the silicon substrate 100, the gate electrode 104, and the first sidewall insulating film 105 by the CVD method and the like. Then, the silicon nitride film or the silicon oxide film formed on the gate electrode 104 and the silicon substrate 100 is subjected to anisotropic etching. As a result, the second sidewall insulating film 106 is formed on the first sidewall insulating film 105 on the side surface of the gate electrode 104 as shown in FIG. 6. Furthermore, a surface portion of the silicon substrate 100 is etched to be removed with the gate electrode 104 and the second sidewall insulating film 106 being used as a mask, thereby forming a shallow groove 121 for the second source/drain layer serving as a source/drain layer. It is to be noted that the upper surface of the gate electrode 104 is covered with the oxide film 118 at the time of this etching, and hence the gate electrode 104 is not etched.
  • Subsequently, as shown in FIG. 7, the silicon germanium layer 109 and the germanium layer 110 are sequentially subjected to selective epitaxial growth on the groove 121. This selective epitaxial growth of the silicon germanium layer 109 can be realized by adding, e.g., approximately 0.4 vol % to 0.5 vol % of hydrogen chloride (HCl) to hydrogen (H2) as a carrier gas and using a mixed gas containing dichlorsilane (SiH2Cl2) and monogermane (Ge4) as a raw material at a temperature of, e.g., 650° C. to 750° C. A gas flow rate of GeH4 with respect to SiH2Cl2 can be set to, e.g., 2 vol % to 5 vol %. Changing this gas flow ratio enables controlling a germanium concentration in the silicon germanium layer 109 to a desired value.
  • In this embodiment, a germanium concentration in this silicon germanium layer 109 is determined to fall within a range of, e.g., 10 at % to 30 at % in order to give a compression stress to the channel region and effectively improve a carrier mobility by containing the germanium and also to suppress an influence of occurrence of a crystal defect due to excessively containing the germanium. Furthermore, a thickness of the silicon germanium layer 109 can be changed in dependence on a gate length. When the gate length is, e.g., 70 nm, a thickness of the silicon germanium layer 109 can be set to, e.g., 35 nm to 40 nm. However, this value can be increased to enlarge a compression stress given to the channel region. Moreover, after growing the silicon germanium layer 109, a gas flow ratio at the time of selective epitaxial growth of the silicon germanium layer 109, i.e., a gas flow rate of GeH4 with respect to SiH2Cl2 can be greatly increased, thereby subjecting the germanium layer 110 to selective epitaxial growth on the silicon germanium layer 109. At this time, for example, as explained above, growth is effected in such a manner that a germanium concentration of the silicon germanium layer 109 becomes 10 at % to 30 at %, and growth is performed in such a manner that a germanium concentration of the germanium layer 110 becomes approximately 100 at %.
  • Additionally, in order to form the second source/drain layer on both sides of the second sidewall insulating film 106, a boron (B) ion or the like is implanted into the silicon germanium layer 109 and the germanium layer 110 with the gate electrode 104 and the second sidewall insulating film 106 being used as a mask. Ion implantation conditions of the second source/drain layer are a higher energy and a higher concentration than those in ion implantation of the first source/drain layer 108. As a result, a junction depth of the second source/drain layer can be formed larger than a junction depth of the first source/drain layer 108 as the extension layer. Here, the second source/drain layer is formed in contact with the first source/drain layer 108. It is to be noted that the second source/drain layer may be formed to be deeper than the silicon germanium layer 109.
  • Then, the oxide film 118 on the gate electrode 104 is removed by, e.g., wet etching to expose a surface of the polycrystal silicon layer of the gate electrode 104. Subsequently, as shown in FIG. 8, the silicide layer 112 is formed on the gate electrode 104, and the germanide layer 113 is formed on the second source/drain layer, i.e., the germanium layer 110. In more detail, a metal film (not shown) is formed on the gate electrode 104 and the germanium layer 110 by, e.g., sputtering. Thereafter, a heat treatment is carried out to react the polycrystal silicon layer provided on the surface of the gate electrode 104 with the metal film, thereby forming the silicide layer 112 on the gate electrode 104. Additionally, the germanium layer 110 at the surface layer portion of the second source/drain layer is reacted with the metal film, thereby forming the germanide layer 113 on the second source/drain layer. At this time, the second source/drain layer has the germanium layer 110 on an interface between itself and the germanide layer 113. Further, as a metallic material of the metal film, it is possible to use a high-melting point metal, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd). Thereafter, an unreacted metal film other than the silicide layer 112 and the germanide layer 113 is removed.
  • Then, as shown in FIG. 1, the barrier insulating film 114 is deposited on the structure depicted in FIG. 8. Subsequently, the interlayer insulating layer 115 is deposited on the barrier insulating film 114 and flattened by, e.g., CMP (Chemical Mechanical Polishing). Furthermore, a contact hole reaching the germanide layer 113 is formed in the interlayer insulating layer 115, and then the contact hole is filled with an electroconductive material to form the contact plug 117. Moreover, the wiring layer 116 connected with the contact plug 117 is formed on the interlayer insulating layer 115. Thereafter, a process required for a semiconductor device, e.g., multilayer wiring is carried out, thus manufacturing the semiconductor device according to this embodiment.
  • According to the semiconductor device of this embodiment, since the second source/drain layer contains the silicon germanium as a compound of silicon and germanium having a larger atomic radium than silicon, a compression stress can be given to the channel region, and a carrier mobility can be improved.
  • Additionally, in a conventional technology, a silicide layer is formed on a source/drain layer using the silicon germanium in order to reduce a resistance of the source/drain layer. However, when the silicide layer directly comes into contact with the silicon germanium in the source/drain layer, a thermally unstable compound is generated. As a result, transistor characteristics may be deteriorated in some cases. Further, even if a surface layer of the source/drain layer containing the silicon germanium is constituted of a silicon layer having a fixed thickness and the silicide layer is formed on this silicon layer along to suppress generation of a compound due to reaction of the silicon germanium and the silicide layer, a contact resistance between the silicon layer and the silicide layer is increased, and hence an improvement in transistor characteristics may possibly become insufficient.
  • On the other hand, in the semiconductor device according to this embodiment, in order to reduce a resistance of the second source/drain layer, the surface layer of the second source/drain layer using the silicon germanium is constituted of the germanium layer 110 having a fixed thickness, and the germanide layer 113 is provided on the germanium layer 110 to prevent reaching the silicon germanium layer 109. As a result, the silicon germanium layer 109 of the second source/drain layer does not directly come into contact with the germanide layer 113, and a thermally unstable compound is not generated. Therefore, deterioration in transistor characteristics can be avoided. Further, since a contact resistance between the germanium layer 110 and the germanide layer 113 is lower than a contact resistance between the silicon layer and the silicide layer, thus effectively suppressing deterioration in transistor characteristics.
  • Therefore, according to the semiconductor device of this embodiment, a compression stress can be given to the channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without generating a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • It is to be noted that the germanium layer 110 is formed on the silicon germanium layer 109 having a predetermined germanium concentration in this embodiment. However, as shown in FIG. 9, a silicon germanium layer 109A whose germanium concentration continuously varies from the surface layer portion toward the deep layer portion may be used, and the germanium layer 110 may be formed on this silicon germanium layer 109A. In this example, the germanium concentration in a lower part of the silicon germanium layer 109A is set to 10 to 30 at %. The germanium concentration in the interface between the silicon germanium layer 109A and the germanium layer 110 is set to 100 at %.
  • An effect of reducing a resistance of the source/drain layer in this embodiment will now be explained. In this embodiment, the germanide layer is formed on the germanium layer in the source/drain layer. A contact resistance between the germanium layer and the germanide layer in this example can be represented by the following Expression (1). ρ C exp ( ϕ B N D ) ( 1 )
  • In Expression (1), ρC is a contact resistance between the germanium layer and the germanide layer, and ND is an impurity concentration in an interface, i.e., a boron ion concentration in an interface between the germanium layer and the germanide layer. Furthermore, φB is a height of a Schottky barrier.
  • When NiGe is formed as the germanide layer on the germanium layer, φB=0.2 eV is achieved. Likewise, when PtGe is formed as the germanide layer, φB=0.05 eV is achieved. On the other hand, as a conventional technology, when NiSi is formed as the silicide layer on the silicon layer, φB=0.43 eV is attained. Likewise, PtSi is formed as the silicide layer on the same, φB=0.20 to 0.24 eV is achieved. When Pd2Si is formed as the silicide layer, φB=0.35 eV is attained. Moreover, when the silicon germanium layer is used in the source/drain layer and NiSi, PtSi, or Pd2Si is formed on the silicon germanium layer, φB is reduced by approximately 0.1 eV and becomes 0.33 eV, 0.10 to 0.14 eV, or 0.25 eV. It is to be noted that a germanium concentration of the silicon germanium layer in this example is 15 at %. Therefore, as compared with the conventional technology where the silicide film is formed on the silicon layer or the silicon germanium layer, the contact resistance in the source/drain layer can be reduced in this embodiment where the germanide layer is formed on the germanium layer on the silicon germanium layer.
  • Embodiment 2
  • A structure of a semiconductor device according to Embodiment 2 of the present invention will be first explained with reference to FIG. 10. FIG. 10 is a cross-sectional view showing a semiconductor device according to this embodiment that functions as a pMOS of a CMOSFET.
  • As shown in FIG. 10, an element isolating region 101 is provided in a single-crystal silicon semiconductor substrate (a silicon substrate) 100, and an n-type well region 102 having an n-type impurity ion is formed in an element forming region 100 partitioned by this element isolating region 101. A gate insulating film 103 is formed on the n-type well region 102 of the silicon substrate 100, and a gate electrode 104 is formed on the gate insulating film 103. A first sidewall insulating film 105 is formed on a side surface of the gate electrode 104, and a second sidewall insulating film 106 is formed on a side surface of the first sidewall insulating film 105.
  • Additionally, a semiconductor layer 107 is formed in the n-type well region 102 below the second sidewall insulating film 106. Further, a first source/drain layer 108 as an extension layer in which a p-type impurity ion is implanted is formed on this semiconductor layer 107. Here, it is preferable for the semiconductor layer 107 to be a semiconductor layer containing silicon germanium in order to give a compression stress to a channel region and improve a mobility of a carrier. Furthermore, it is preferable for the first source/drain layer 108 to be formed with a so-called elevated structure in which a surface thereof is placed above a surface of the silicon substrate 100 where a channel moves.
  • A silicon germanium layer 109 is formed on the n-type well region 102 on both sides (an outer side) of the second sidewall insulating film 106. A germanium layer 110 is formed on the silicon germanium layer 109. Moreover, a second source/drain layer 111 in which a p-type impurity ion is implanted is formed in this silicon germanium layer 109 and the germanium layer 110. When the silicon germanium is contained in the second source/drain layer 111 in this manner, a compression stress can be given to a channel region, and a mobility of a carrier can be increased. Additionally, in this example, like the first source/drain layer 108, in order to suppress a short channel effect, it is preferable for the second source/drain layer 111 to be formed with the elevated structure in which a surface thereof is formed at a higher position than a height of the surface of the silicon substrate 100.
  • Further, in order to reduce resistances of the gate electrode 104 and the second source/drain layer 111, a silicide layer 112 is formed on the gate electrode 104, and a germanide layer 113 is formed on the germanium layer 110 of the second source/drain layer 111. This germanide layer 113 is formed by forming a high-melting point metal film of, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd) on the germanium layer 110 of the second source/drain layer 111, and then carrying out a heat treatment to react the germanium layer 110 of the second source/drain layer 111 with the high-melting point metal film. The germanide layer 113 is constituted of a binary compound, e.g., NiGe, CoGe, TiGe, IrGe, PtGe, or Pd2Ge without containing Si.
  • Furthermore, a barrier insulating film 114 is formed on the element isolating region 101, the gate electrode 104, the sidewall insulating films 105 and 106, and the germanide layer 113. An interlayer insulating layer 115 is formed on the barrier insulating film 114. Moreover, a wiring layer 116 is formed on the interlayer insulating layer 115. The wiring layer 116 is electrically connected with the germanide layer 113 via a contact plug 117.
  • A manufacturing method of a semiconductor device according to this embodiment will now be explained with reference to FIGS. 11A to 13B. FIGS. 11A to 13B are process cross-sectional views showing the manufacturing method of a semiconductor device according to this embodiment.
  • First, as shown in FIG. 11A, the element isolating region 101 is formed in the silicon substrate 100. As the element isolating region 101, it is possible to use so-called STI (shallow trench isolation) obtained by forming a shallow groove in the silicon substrate 100 by a photo engraving process and filling this groove with a silicon oxide film (an SiO2 film) formed by, e.g., a CVD (Chemical vapor deposition) method. Additionally, an n-type impurity ion is implanted into the element forming region 100 a of the silicon substrate 100, and a heat treatment is carried out to form the n-type well region 102. Further, the gate insulating film 103 having, e.g., an SiO2 film or a silicon oxynitride film (an SiON film) as a material is formed on the silicon substrate 100 and the element isolating region 101 by using the CVD method and the like. An electroconductive material film serving as the gate electrode 104, e.g., a polycrystal silicon film having boron (B) added therein with a high concentration is deposited on the gate insulating film 103. Furthermore, an oxide film 118, e.g., an SiO2 film formed by the CVD method is deposited on the polycrystal silicon film.
  • Then, as shown in FIG. 11B, a gate electrode pattern is processed on the oxide film 118 by lithography and etching. Thereafter, this oxide film 118 is used as a mask to etch the polycrystal silicon film, thereby forming the gate electrode 104. Moreover, the gate insulating film 103 is etched to be removed except a part below the gate electrode 104.
  • Subsequently, as shown in FIG. 11C, a silicon nitride film (an SiN film) having a film thickness of, e.g., 10 nm is formed on the element isolating region 101, the silicon substrate 100, and the gate electrode 104 by the CVD method and the like. Additionally, the silicon nitride film formed on the gate electrode 104, the silicon substrate 100, and others is subjected to anisotropic etching, thus forming the first sidewall insulating film 105 on the side surface of the gate electrode 104. Further, a surface portion of the silicon substrate 100 is etched to be removed with the gate electrode 104 and the first sidewall insulating film 105 being used as a mask, thereby forming a shallow groove 119 for the first source/drain layer 108 serving as an extension layer. During the etching, the gate electrode 104 is not etched since the oxide film 118 covers the upper surface of the gate electrode 104.
  • Then, as shown in FIG. 12A, the semiconductor layer 107, e.g., a silicon germanium layer is subjected to selective epitaxial growth on the groove 119. This selective epitaxial growth of the silicon germanium layer 107 can be realized by adding, e.g., approximately 0.4 vol % to 0.5 vol % of hydrogen chloride (HCl) to hydrogen (H2) as a carrier gas and using a mixed gas containing dichlorsilane (SiH2Cl2) and monogermane (GeH4) as a raw material at a temperature of, e.g., 650° C. to 750° C. A gas flow rate of GeH4 with respect to SiH2Cl2 can be set to, e.g., 2 vol % to 5 vol %. Varying this gas flow ratio enables controlling a germanium concentration in the silicon germanium layer 107 to a desired value. In this embodiment, the germanium concentration in this silicon germanium layer 107 is determined to fall within a range of, e.g., 10 at % to 30 at % in order to give a compression stress to the channel region and effectively improve a carrier mobility based on containing germanium, and also to suppress an influence of occurrence of a crystal defect due to excessively containing germanium.
  • Furthermore, a thickness of the silicon germanium layer 107 can be changed in dependence on a gate length. When the gate length is, e.g., 70 nm, a thickness of the silicon germanium layer 107 can be set to, e.g., 35 nm to 40 nm. However, this value can be increased to enlarge a compression stress that is given to the channel region.
  • In the semiconductor device according to this embodiment, a surface of this silicon germanium layer 107 is set higher than that of the silicon substrate 100 in the channel region. For example, a depth of the groove 119 required to form the silicon germanium layer 107 is set to, e.g., 30 nm, and a film thickness of the silicon germanium layer 107 is set to 40 nm. When the silicon germanium layer 107 has the elevated structure in this manner, the silicon germanium layer 107 can be formed thick to improve a compression stress. Moreover, on the other hand, a later-explained effective junction depth of the first source/drain layer 108 can be reduced, thereby suppressing a short channel effect as compared with a flat structure.
  • Then, when, e.g., an impurity ion is implanted into the silicon germanium layer 107, the first source/drain layer 108 is formed. As an impurity that is implanted into the silicon germanium layer 107, it is possible to use, e.g., boron (B). In this example, when forming the first source/drain layer 108 based on ion implantation, adjusting an implantation energy enables controlling the junction depth. For example, as shown in FIG. 12A, the junction depth of the first source/drain layer 108 can be reduced to be smaller than a thickness of the silicon germanium layer 107. Additionally, diffusion of boron (B) in the silicon germanium layer 107 is suppressed as compared with diffusion in the silicon substrate, and hence this is advantageous to reduce the junction depth of the first source/drain layer 108 and thereby suppress the short channel effect.
  • Then, as shown in FIG. 12B, an insulating film serving as the second sidewall insulating film 106, e.g., an SiN film or an SiO2 film having a film thickness of 20 to 30 nm is deposited on the gate electrode 104 and the silicon germanium layer 107 by the CVD method and the like. Thereafter, the insulating film on the gate electrode 104 and the silicon germanium layer 107 is removed by anisotropic etching so that the insulating film remains on the side surface of the gate electrode 104 along, thereby forming the second sidewall insulating film 106.
  • Further, the gate electrode 104 and the second sidewall insulating film 106 are used as a mask to etch the exposed silicon germanium layer 107, and a groove 120 required to form the second source/drain layer 111 is thereby formed. The groove 120 can have a depth that allows removing the silicon germanium layer 107, for example. It is to be noted that an upper surface of the gate electrode 104 is covered with the oxide film 118 in this etching, and hence the gate electrode 104 is not etched. In this manner, the silicon germanium layer 107 remains below the second sidewall insulating film 106 alone, and the first source/drain layer 108 is formed below the second sidewall insulating film 106.
  • Then, as shown in FIG. 12C, the silicon germanium layer 109 and the germanium layer 110 are sequentially subjected to selective epitaxial growth in the groove 120. This selective epitaxial growth of the silicon germanium layer 109 can be carried out by adding a small amount of HCl to an H2 gas as a carrier gas and using SiH2Cl2 and GeH4 as a raw material gas like the above-explained selective epitaxial growth of the silicon germanium layer 107. Furthermore, the silicon germanium layer 109 is grown, and then a gas flow ratio at the time of selective epitaxial growth of the silicon germanium layer 109, i.e., a gas flow rate of GeH4 with respect to SiH2Cl2 is greatly increased, thereby subjecting the germanium layer 110 to selective epitaxial growth on the silicon germanium layer 109. At this time, growth is effected in such a manner that a germanium concentration in the silicon germanium layer 109 becomes 10 at % to 30 at %, and that a germanium concentration in the germanium layer 110 becomes approximately 100 at %, for example.
  • A total thickness of the silicon germanium layer 109 and the germanium layer 110 is set larger than a depth of the groove 120, i.e., a thickness of the silicon germanium layer 107 in order to provide the elevated structure to the second source/drain layer 111 formed at this position in a later process. When the second source/drain layer 111 has the elevated structure in this manner, an effective junction depth of the second source/drain layer 111 can be reduced, thereby suppressing a short channel effect.
  • Moreover, in order to form the second source/drain layer 111 on both sides of the second sidewall insulating film 106, the gate electrode 104 and the second sidewall insulating film 106 are used as a mask to implant, e.g., a boron (B) ion into the silicon germanium layer 109 and the germanium layer 110. Ion implantation conditions of the second source/drain layer 111 are a higher energy and a higher concentration than those in ion implantation of the first source/drain layer 108. As a result, the second source/drain layer 11 can be formed to be deeper than the junction depth of the first source/drain layer 108 as extension layer. In this example, the second source/drain layer 111 is formed in contact with the first source/drain layer 108, and it may be formed to be deeper than the silicon germanium layer 109.
  • It is to be noted that the silicon germanium layer 107 exposed on the outer side of the second sidewall insulating film 106 is etched to form the groove 120, and then the silicon germanium layer 109 is formed in the groove 120 in this embodiment as shown in FIGS. 12B and 12C. However, when the silicon germanium layer is used for the semiconductor layer 107 like this embodiment, the silicon germanium layer 107 may be left as it is without being etched. In this case, the germanium layer 110 can be formed on the left silicon germanium layer 107 on the outer side of the second sidewall insulating film 106. Moreover, the second source/drain layer 111 may be formed on the silicon germanium layer 107 and the germanium layer 110 on the outer side of the second sidewall insulating film 106.
  • Then, as shown in FIG. 13A, the oxide film 118 on the gate electrode 104 is removed by wet etching to expose the surface of the polycrystal silicon layer of the gate electrode 104. Thereafter, the silicide layer 112 is formed on the gate electrode 104, and the germanide layer 113 is formed on the second source/drain layer 111. That is, a metal film (not shown) is deposited on the gate electrode 104 and the second source/drain layer 111 by, e.g., sputtering, and then a heat treatment is carried out to react the polycrystal silicon layer on the surface of the gate electrode with the metal film, thereby forming the silicide layer 112 on the gate electrode 104. Simultaneously, the germanium layer 110 at a surface layer portion of the second source/drain layer 111 is reacted with the metal film to form the germanide layer 113 on the second source/drain layer 111. At this time, the second source/drain layer 111 has the germanium layer 110 on an interface between itself and the germanide layer 113. Additionally, as a metallic material of the metal film, it is possible to use a high-melting point metal, e.g., nickel (Ni), cobalt (Co), titanium (Ti), iridium (Ir), platinum (Pt), or palladium (Pd). Then, an unreacted metal film other than the silicide layer 112 and the germanide layer 113 is removed.
  • Subsequently, as shown in FIG. 13B, the barrier insulating film 114 is deposited on the structure depicted in FIG. 13A, and then the interlayer insulating layer 115 is deposited on the barrier insulating film 114 and flattened by, e.g., CMP (Chemical Mechanical Polishing). Further, a contact hole reaching the second source/drain layer 111 is formed in the interlayer insulating layer 115. Thereafter, the contact hole is filled with an electroconductive material to form a contact plug 117. Furthermore, the wiring layer 116 connected with the contact plug 117 is formed on the interlayer insulating layer 115. Subsequently, a process required for a semiconductor device, e.g., multilayer wiring is carried out, thereby manufacturing the semiconductor device according to this embodiment.
  • According to the semiconductor device of this embodiment, since the source/ drain layers 108 and 111 contain the silicon germanium as a compound of silicon and germanium having a larger atomic radius than silicon, a compression stress can be given to the channel region, and a carrier mobility can be improved.
  • Moreover, in a conventional technology, in order to reduce a resistance of a source/drain layer using the silicon germanium, a silicide layer is formed on the source/drain layer. However, when the silicide layer directly comes into contact with the silicon germanium in the source/drain layer, a thermally unstable compound is generated. As a result, transistor characteristics may be deteriorated in some cases. Additionally, even if the surface layer of the source/drain layer containing the silicon germanium is constituted of a silicon layer having a fixed thickness and the silicide layer is formed on this silicon layer alone to suppress generation of a compound due to a reaction of the silicon germanium and the silicide layer, a contact resistance between the silicon layer and the silicide layer is increased. Therefore, an improvement in transistor characteristics may become insufficient.
  • On the other hand, in the semiconductor device according to this embodiment, in order to reduce resistances of the source/ drain layers 108 and 111, the surface layer of the second source/drain layer 111 using the silicon germanium is constituted of the germanium layer 110 having a fixed thickness, and the germanide layer 113 is provided on the germanium layer 110 to avoid reaching the silicon germanium layer 109. As a result, the silicon germanium layer 109 of the second source/drain layer 111 does not directly come into contact with the germanide layer 113, and a thermally unstable compound is not produced, thereby avoiding deterioration in transistor characteristics. Further, since a contact resistance between the germanium layer 110 and the germanide layer 113 is lower than a contact resistance between the silicon layer and the silicide layer, deterioration in transistor characteristics can be effectively suppressed.
  • Therefore, according to the semiconductor device of this embodiment, a compression stress can be given to the channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without producing a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • Modification 1 of Embodiment 2
  • FIG. 14 is a cross-sectional view of a semiconductor device according to Modification of Embodiment 2.
  • The semiconductor device according to this modification is different from the semiconductor device according to Embodiment 2 in that a thickness of a first source/drain layer 108 as an extension layer is substantially the same as a thickness of a semiconductor layer 107 below a second sidewall insulating film 106. Therefore, in the following description of the semiconductor device according to this modification, like reference numerals denote parts equal to those in the structure and the manufacturing method of the semiconductor device according to Embodiment 2, thereby omitting a detailed explanation thereof.
  • That is, a first source/drain layer 108 in a semiconductor device according to this modification is formed by doping, e.g., boron (B) simultaneously with selective epitaxial grow of a silicon germanium layer as a semiconductor layer 107. Therefore, as shown in FIG. 14, a thickness of the first source/drain layer 108 is substantially the same as a thickness of the silicon germanium layer 107.
  • According to the semiconductor device of this modification, like Embodiment 2, a compression stress can be given to a channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without producing a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • Furthermore, in manufacture of the semiconductor device according to this modification, a process of implanting an ion into the semiconductor layer 107 can be omitted in manufacture of the semiconductor device according to Embodiment 2.
  • It is to be noted that boron (B) is doped simultaneously with selective epitaxial growth of the semiconductor layer 107 to form the first source/drain layer 108 in this modification. However, a second source/drain layer 111 may be likewise formed by doping boron (B) simultaneously with selective epitaxial growth of a silicon germanium layer 109 and a germanium layer 110.
  • Modification 2 of Embodiment 2
  • FIG. 15 is a cross-sectional view of a semiconductor device according to Modification 2 of Embodiment 2.
  • A semiconductor device according to this modification is different from the semiconductor device according to Embodiment 2 in that a germanide layer 113 formed on a second source/drain layer 111 is in contact with a first source/drain layer 108 as an extension layer. Therefore, in the following description of the semiconductor device according to this modification, like reference numerals denote parts equal to those in the structure and the manufacturing method of the semiconductor device according to Embodiment 2, thereby omitting a detailed explanation thereof.
  • That is, as shown in FIG. 15, in the semiconductor device according to this modification, a metal film is formed on a second source/drain layer 111, and then a heat treatment is carried out to form a germanide layer 113. At this moment, the germanide layer 113 is formed to reach a position where it comes into contact with a germanium layer 210 constituting a first source/drain layer 108 formed in proximity to the second source/drain layer 111. It is to be noted that the second source/drain layer 111 likewise has a germanium layer 110 on an interface between itself and the germanide layer 113 in the semiconductor device according to this modification.
  • Moreover, in this modification, a contact portion of a semiconductor layer 107 with respect to the germanide layer 113 has a germanium layer 210 in order to prevent a thermally unstable ternary compound NiSiGe being formed on an interface between the germanide layer 113 and the semiconductor layer 107.
  • According to the semiconductor device of this modification, like the semiconductor device according to Embodiment 2, a compression stress can be given to a channel region, a carrier mobility can be improved, and a resistance of the source/drain layer can be reduced without producing a thermally unstable compound on the source/drain layer. As a result, deterioration in transistor characteristics can be suppressed.
  • Embodiment 3
  • A structure of a semiconductor device according to Embodiment 3 will now be explained with reference to FIG. 16. FIG. 16 is a cross-sectional view of a semiconductor device according to this Embodiment.
  • The semiconductor device according to this embodiment is different from the semiconductor device according to Embodiment 2 in that a portion of a silicon germanium layer 109 on both sides of a second sidewall insulating film 106 on a side surface of a gate electrode 104 has a concentration gradient. Therefore, in the following description of this embodiment, like reference numerals denote parts equal to those in the structure and the manufacturing method of the semiconductor device according to Embodiment 2, thereby omitting a detailed explanation thereof.
  • As shown in FIG. 16, the silicon germanium layer 109 includes a silicon germanium layer 109B having a predetermined germanium concentration, and a silicon germanium layer 109C in which the germanium concentration increased toward the upper portion. The silicon germanium layer 109 of this structure is formed on a silicon substrate 100 on both sides of the second sidewall insulating film 106. Additionally, the germanium layer 110 is formed on the silicon germanium layer 109C. In this example, germanium concentrations in the silicon germanium layer 109B and the germanium layer 110 are 10 to 30 at % and approximately 100 at %, respectively. Further, the germanium concentration of the silicon germanium layer 109C is approximately 10 to 30 at % on an interface between the silicon germanium layer 109C and the silicon germanium layer 109B, and increased toward the upper portion. The germanium concentration of the silicon germanium layer 109C is approximately 100 at % on an interface between the silicon germanium layer 109C and the germanium layer 110.
  • Furthermore, a second source/drain layer 111 having an impurity ion implanted therein is formed with respect to this silicon germanium layer 109B, 109C, and the germanium layer 110. A germanide layer 113 is formed on the germanium layer 110 in order to reduce a resistance of the second source/drain layer 111.
  • A method of forming the silicon germanium layer 109B, 109C, and the germanium layer 110 in the semiconductor device according to this embodiment will now be explained.
  • First, like the manufacturing method of the semiconductor device according to Embodiment 2, a gate electrode 104, a first source/drain layer 108, and others are formed on the silicon substrate 100. Then, a groove 120 is formed in the silicon substrate on both sides of the second sidewall insulating film 106 formed on a side surface of the gate electrode 104.
  • Subsequently, the silicon germanium layer 109 is subjected to selective epitaxial growth in the groove 120. At this moment, like Embodiment 2, the silicon germanium layer 109B can be grown by adding hydrogen chloride (HCl) to hydrogen (H2) as a carrier gas and performing heating with a mixed gas containing dichlorsilane (SiH2Cl2) and monogermane (GeH4) being used as a raw material gas. A gas flow rate of GeH4 with respect to SiH2Cl2 can be set to, e.g., 2 vol % to 5 vol %.
  • Moreover, after the silicon germanium layer 109B is subjected to selective epitaxial growth, the silicon germanium layer 109C is continuously subjected to selective epitaxial growth while gradually increasing a gas flow ratio of GeH4 with respect to SiH2Cl2 to gradually raise a germanium concentration. At this time, the gas flow ratio of GeH4 with respect to SiH2Cl2 is adjusted in such a manner that the germanium concentration of the silicon germanium layer 109C has a concentration gradient rising to approximately 100 at %.
  • Additionally, the germanium layer 110 whose germanium concentration becomes approximately 100 at % is continuously subjected to epitaxial growth in such a manner that this layer has a thickness of, e.g., approximately 30 nm.
  • In this manner, the silicon germanium layer 109B, 109C, and the germanium layer 110 in the semiconductor device according to this embodiment can be sequentially formed.
  • According to the semiconductor device of this embodiment, like the semiconductor device according to Embodiment 2, the silicon germanium is contained in the source/ drain layers 108 and 111 to give a compression stress to a channel region and improve a carrier mobility. Further, the germanium layer is formed on the silicon germanium layer, and a high-melting point metal film is formed on this germanium layer to form the germanide layer. As a result, a thermally unstable compound is not produced on the source/drain layer. Therefore, a resistance of the source/drain layer can be reduced, and deterioration in transistor characteristics can be suppressed.
  • Furthermore, in the growth process of the silicon germanium layer 109 and the germanium layer 110 where the second source/drain layer 111 is formed in manufacture of the semiconductor device according to Embodiment 2, a gas flow ratio of GeH4 with respect to SiH2Cl2 must be precipitously changed to grow the germanium layer 110 on the silicon germanium layer 109. On the other hand, in the growth process of the silicon germanium layer 109B, 109C, and the germanium layer 110 where the second source/drain layer 111 is formed in manufacture of the semiconductor device according to this embodiment, the silicon germanium layer 109B, 109C, and the germanium layer 110 are continuously grown while gradually increasing a gas flow ratio of GeH4 with respect to SiH2Cl2, thereby forming the second source/drain layer 111. Therefore, manufacture is simple.
  • Embodiment 3 may be modified as shown in FIG. 17. That is, the semiconductor layer 107 shown in FIG. 16 may include a silicon germanium layer 107B, a silicon germanium layer 107C having a concentration gradient, and the germanium layer 210. In addition, boron (B) may be introduced when the silicon germanium layer is formed.
  • Moreover, the present invention is not restricted to each of the foregoing embodiments or the modifications, and can be modified and carried out in many ways within a scope of the present invention.
  • For example, in the semiconductor device according to each of Embodiment 2, each modification, and Embodiment 3, the surface of the first source/drain layer 108 or the surface of the second source/drain layer 111 is placed at a height above the surface of the silicon substrate 100, but it may be placed at a height equal to or below the surface of the silicon substrate 100. Additionally, in the semiconductor device according to each of Embodiment 2, each modification, and Embodiment 3, the silicon germanium layer is used for the semiconductor layer 107, but a silicon layer may be used as any other material, for example.
  • Further, in the second source/drain layer 111 of the semiconductor device according to each of the foregoing embodiments or each of the modifications, the germanium layer 110 is directly formed on the silicon germanium layer 109, but the germanium layer 110 may be formed on the silicon germanium layer 109 via, e.g., a silicon layer.
  • According to the embodiments of the present invention, it is possible to provide the semiconductor device that improves a carrier mobility and suppresses deterioration in transition characteristics.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (20)

1. A semiconductor device comprising:
a semiconductor substrate;
a gate insulating film formed on the semiconductor substrate;
a gate electrode formed on the gate insulating film;
a source/drain layer formed on both sides of the gate electrode, the source/drain layer containing silicon germanium and having a germanium layer in a surface layer portion; and
a germanide layer formed on the germanium layer of the source/drain layer.
2. The semiconductor device according to claim 1, wherein a germanium concentration of the silicon germanium is not lower than 10 at %.
3. The semiconductor device according to claim 1,
wherein a germanium concentration of the silicon germanium continuously varies from the surface layer portion toward a deep layer portion of the source/drain layer.
4. The semiconductor device according to claim 1, further comprising:
a sidewall insulating film formed on a side surface of the gate electrode; and
an extension layer formed on the semiconductor substrate below the sidewall insulating film, the extension layer being arranged between the source/drain layer and a channel region below the gate insulating film.
5. The semiconductor device according to claim 1,
wherein the germanide layer contains one of a nickel germanide layer, a cobalt germanide layer, a titanium germanide layer, an iridium germanide layer, a platinum germanide layer, and a palladium germanide layer.
6. The semiconductor device according to claim 1,
wherein the source/drain layer containing the silicon germanium gives a compression stress to a channel region below the gate insulating film.
7. The semiconductor device according to claim 6,
wherein the channel region forms a channel of a p-channel MOS field effect transistor.
8. A semiconductor device comprising:
a semiconductor substrate;
a gate insulating film formed on the semiconductor substrate;
a gate electrode formed on the gate insulating film;
a first sidewall insulating film formed on a side surface of the gate electrode;
a second sidewall insulating film formed on a side surface of the first sidewall insulating film;
a first source/drain layer formed below the second sidewall insulating film, the first source/drain layer containing silicon germanium;
a second source/drain layer formed in contact with the first source/drain layer on an outer side of the second sidewall insulating film, the second source/drain layer containing silicon germanium and having a germanium layer in a surface layer portion; and
a germanide layer formed on the germanium layer of the second source/drain layer.
9. The semiconductor device according to claim 8,
wherein at least one of a surface of the first source/drain layer and a surface of the second source/drain layer is positioned above a surface of the semiconductor substrate.
10. The semiconductor device according to claim 8,
wherein the first source/drain layer has a germanium layer in a surface layer portion.
11. The semiconductor device according to claim 10,
wherein the germanide layer is in contact with the germanium layer in the surface layer portion of the first source/drain layer.
12. The semiconductor device according to claim 8,
wherein a germanium concentration of the silicon germanium contained in the second source/drain layer continuously varies from the surface layer portion toward a deep layer portion of the second source/drain layer.
13. The semiconductor device according to claim 8,
wherein a germanium concentration of the silicon germanium contained in the second source/drain layer is not lower than 10 at %.
14. The semiconductor device according to claim 8,
wherein the germanide layer contains one of a nickel germanide layer, a cobalt germanide layer, a titanium germanide layer, an iridium germanide layer, a platinum germanide layer, and a palladium germanide layer.
15. The semiconductor device according to claim 8,
wherein the first source/drain layer and the second source/drain layer containing the silicon germanium give a compression stress to a channel region below the gate insulating film.
16. The semiconductor device according to claim 15,
wherein the channel region forms a channel of a p-channel MOS field effect transistor.
17. A semiconductor device comprising:
a semiconductor substrate;
a first silicon germanium layer formed on the semiconductor substrate;
a second silicon germanium layer formed on the semiconductor substrate apart from the first silicon germanium layer;
a gate insulating film formed on the semiconductor substrate between the first silicon germanium layer and the second silicon germanium layer;
a gate electrode formed on the gate insulating film;
a first germanium layer formed on the first silicon germanium layer;
a first germanide layer formed on the first germanium layer;
a second germanium layer formed on the second silicon germanium layer; and
a second germanide layer formed on the second germanium layer.
18. The semiconductor device according to claim 17,
wherein germanium concentrations of the first and second silicon germanium layers are not lower than 10 at %.
19. The semiconductor device according to claim 17,
wherein surfaces of the first and second germanium layers are positioned above a surface of the semiconductor substrate.
20. The semiconductor device according to claim 17,
wherein the first and second silicon germanium layers have a germanium concentration which varies continuously from a surface layer portion toward a deep layer portion.
US11/673,278 2006-02-13 2007-02-09 Semiconductor device including misfet Abandoned US20070187767A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/723,251 US7880228B2 (en) 2006-02-13 2010-03-12 Semiconductor device including MISFET

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006034916A JP2007214481A (en) 2006-02-13 2006-02-13 Semiconductor device
JP2006-034916 2006-02-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/723,251 Division US7880228B2 (en) 2006-02-13 2010-03-12 Semiconductor device including MISFET

Publications (1)

Publication Number Publication Date
US20070187767A1 true US20070187767A1 (en) 2007-08-16

Family

ID=38367502

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/673,278 Abandoned US20070187767A1 (en) 2006-02-13 2007-02-09 Semiconductor device including misfet
US12/723,251 Expired - Fee Related US7880228B2 (en) 2006-02-13 2010-03-12 Semiconductor device including MISFET

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/723,251 Expired - Fee Related US7880228B2 (en) 2006-02-13 2010-03-12 Semiconductor device including MISFET

Country Status (2)

Country Link
US (2) US20070187767A1 (en)
JP (1) JP2007214481A (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070275513A1 (en) * 2006-03-06 2007-11-29 Stmicroelectronics Crolles 2 Sas Formation of shallow siGe conduction channel
US20080079033A1 (en) * 2006-09-28 2008-04-03 Waite Andrew M Stressed field effect transistor and methods for its fabrication
US20080179629A1 (en) * 2007-01-11 2008-07-31 Kabushiki Kaisha Toshiba Semiconductor device
KR20090088340A (en) * 2008-02-14 2009-08-19 가부시끼가이샤 르네사스 테크놀로지 A semiconductor device and a method of manufacturing the same
US20100230721A1 (en) * 2009-03-13 2010-09-16 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of semiconductor device
WO2011131053A1 (en) * 2010-04-21 2011-10-27 中国科学院微电子研究所 Source and drain regions, contact holes and fabrication methods thereof
US20120153354A1 (en) * 2010-12-21 2012-06-21 Globalfoundries Inc. Performance enhancement in transistors comprising high-k metal gate stacks and an embedded stressor by performing a second epitaxy step
WO2012087403A1 (en) * 2010-12-21 2012-06-28 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
CN102856202A (en) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method of semiconductor structure, p-channel metal oxide semiconductor (PMOS) transistor and forming method of PMOS transistor
US20130248999A1 (en) * 1999-09-28 2013-09-26 Glenn A. Glass Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2650911A1 (en) * 2012-04-12 2013-10-16 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Contact point on a heterogeneous semiconductor substrate
CN103426766A (en) * 2012-05-24 2013-12-04 中芯国际集成电路制造(上海)有限公司 Pmos transistor and forming method thereof
CN104011870A (en) * 2011-12-20 2014-08-27 英特尔公司 Self-aligned contact metallization for reduced contact resistance
CN104347716A (en) * 2013-07-30 2015-02-11 三星电子株式会社 FinFET component and method forming same
CN104347714A (en) * 2013-07-30 2015-02-11 三星电子株式会社 FinFet device and forming method thereof
US20150206942A1 (en) * 2010-12-21 2015-07-23 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US20150372142A1 (en) * 2014-06-23 2015-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9331147B1 (en) * 2015-04-20 2016-05-03 Shanghai Huali Microelectronics Corporation Methods and systems for using conformal filling layers to improve device surface uniformity
WO2017111810A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Low schottky barrier contact structure for ge nmos
US9716172B2 (en) 2014-04-21 2017-07-25 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having multiple active area layers and its formation thereof
US9859424B2 (en) 2014-03-21 2018-01-02 Intel Corporation Techniques for integration of Ge-rich p-MOS source/drain contacts
US20180082950A1 (en) * 2016-09-16 2018-03-22 International Business Machines Corporation Trench contact resistance reduction
US20180151378A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Device and Method of Forming
US20180166287A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device using titanium-containing layer and device formed
US20180350916A1 (en) * 2017-06-05 2018-12-06 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method for same
US20200135874A1 (en) * 2018-10-26 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Feature to Contact Interfaces
US11011623B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8435873B2 (en) 2006-06-08 2013-05-07 Texas Instruments Incorporated Unguarded Schottky barrier diodes with dielectric underetch at silicide interface
KR101561059B1 (en) * 2008-11-20 2015-10-16 삼성전자주식회사 Semiconductor device and method of forming the same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
JP5355702B2 (en) 2009-09-16 2013-11-27 株式会社東芝 Semiconductor device and manufacturing method thereof
US8421160B2 (en) * 2011-02-25 2013-04-16 International Business Machines Corporation Structure and method to enabling a borderless contact to source regions and drain regions of a complementary metal oxide semiconductor (CMOS) transistor
KR20140121617A (en) * 2013-04-08 2014-10-16 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
JP2014222723A (en) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 Field-effect semiconductor device and method of manufacturing the same
WO2018190828A1 (en) * 2017-04-12 2018-10-18 Intel Corporation Semiconducting oxide device source and drain contacts

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692348A (en) * 1984-06-21 1987-09-08 International Business Machines Corporation Low temperature shallow doping technique
US6048756A (en) * 1997-07-31 2000-04-11 Electronics And Telecommunications Research Institute Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US6479358B1 (en) * 1999-02-19 2002-11-12 Advanced Micro Devices, Inc. Raised source/drain process by selective SiGe epitaxy
US20060270133A1 (en) * 2005-05-26 2006-11-30 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07169958A (en) * 1993-12-16 1995-07-04 Nec Corp Semiconductor device and its manufacture
JP2004214607A (en) * 2002-12-19 2004-07-29 Renesas Technology Corp Semiconductor device and method of manufacturing the same
US6815770B1 (en) * 2003-08-14 2004-11-09 United Microelectronics Corp. MOS transistor having reduced source/drain extension sheet resistance
JP2005353831A (en) * 2004-06-10 2005-12-22 Toshiba Corp Semiconductor device
CN101032028A (en) * 2004-07-27 2007-09-05 新加坡科技研究局 Reliable contacts

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692348A (en) * 1984-06-21 1987-09-08 International Business Machines Corporation Low temperature shallow doping technique
US6048756A (en) * 1997-07-31 2000-04-11 Electronics And Telecommunications Research Institute Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US6479358B1 (en) * 1999-02-19 2002-11-12 Advanced Micro Devices, Inc. Raised source/drain process by selective SiGe epitaxy
US20060270133A1 (en) * 2005-05-26 2006-11-30 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method

Cited By (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130248999A1 (en) * 1999-09-28 2013-09-26 Glenn A. Glass Contact resistance reduction employing germanium overlayer pre-contact metalization
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7687356B2 (en) * 2006-03-06 2010-03-30 Stmicroelectronics Crolles 2 Sas Formation of shallow siGe conduction channel
US20070275513A1 (en) * 2006-03-06 2007-11-29 Stmicroelectronics Crolles 2 Sas Formation of shallow siGe conduction channel
US8148214B2 (en) * 2006-09-28 2012-04-03 Globalfoundries Inc. Stressed field effect transistor and methods for its fabrication
WO2008042140A1 (en) * 2006-09-28 2008-04-10 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
GB2455669A (en) * 2006-09-28 2009-06-24 Advanced Micro Devices Inc Stressed field effect transistor and methods for its fabrication
GB2455669B (en) * 2006-09-28 2010-11-03 Advanced Micro Devices Inc Stressed field effect transistor and methods for its fabrication
US7504301B2 (en) 2006-09-28 2009-03-17 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
US20090130803A1 (en) * 2006-09-28 2009-05-21 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
US20080079033A1 (en) * 2006-09-28 2008-04-03 Waite Andrew M Stressed field effect transistor and methods for its fabrication
US20080179629A1 (en) * 2007-01-11 2008-07-31 Kabushiki Kaisha Toshiba Semiconductor device
KR20090088340A (en) * 2008-02-14 2009-08-19 가부시끼가이샤 르네사스 테크놀로지 A semiconductor device and a method of manufacturing the same
KR101660527B1 (en) 2008-02-14 2016-09-27 르네사스 일렉트로닉스 가부시키가이샤 A semiconductor device and a method of manufacturing the same
US20100230721A1 (en) * 2009-03-13 2010-09-16 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of semiconductor device
GB2493226A (en) * 2010-04-21 2013-01-30 Inst Of Microelectronics Cas Source and drain regions, contact holes and fabrication methods thereof
CN102237294A (en) * 2010-04-21 2011-11-09 中国科学院微电子研究所 Source and drain region, contact hole and methods for forming source and drain region and contact hole
WO2011131053A1 (en) * 2010-04-21 2011-10-27 中国科学院微电子研究所 Source and drain regions, contact holes and fabrication methods thereof
GB2493226B (en) * 2010-04-21 2014-11-05 Inst Of Microelectronics Cas Semiconductor Structure comprising Source/Drain Region, Contact Hole and Method of Forming the Same.
KR101691115B1 (en) * 2010-12-21 2016-12-30 인텔 코포레이션 Selective germanium p-contact metalization through trench
KR101812389B1 (en) * 2010-12-21 2017-12-26 인텔 코포레이션 Column iv transistors for pmos integration
CN103270597A (en) * 2010-12-21 2013-08-28 英特尔公司 Column iv transistors for pmos integration
US20130240989A1 (en) * 2010-12-21 2013-09-19 Glenn A. Glass Selective germanium p-contact metalization through trench
CN103329274A (en) * 2010-12-21 2013-09-25 英特尔公司 Selective germanium P-contact metalization through trench
CN103270598A (en) * 2010-12-21 2013-08-28 英特尔公司 Contact resistance reduction employing germanium overlayer pre-ontact metalization
US11508813B2 (en) 2010-12-21 2022-11-22 Daedalus Prime Llc Column IV transistors for PMOS integration
US11387320B2 (en) 2010-12-21 2022-07-12 Intel Corporation Transistors with high concentration of germanium
US11251281B2 (en) * 2010-12-21 2022-02-15 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2656393A1 (en) * 2010-12-21 2013-10-30 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2656389A1 (en) * 2010-12-21 2013-10-30 Intel Corporation Selective germanium p-contact metalization through trench
EP2656391A2 (en) * 2010-12-21 2013-10-30 Intel Corporation Column iv transistors for pmos integration
EP2656392A2 (en) * 2010-12-21 2013-10-30 Intel Corporation Transistors with high concentration of boron doped germanium
US10879353B2 (en) 2010-12-21 2020-12-29 Intel Corporation Selective germanium P-contact metalization through trench
JP2014501452A (en) * 2010-12-21 2014-01-20 インテル・コーポレーション Selective germanium P-contact metallization through trenches
JP2014507792A (en) * 2010-12-21 2014-03-27 インテル コーポレイション Contact resistance reduction using germanium overlayer pre-contact metallization
JP2014508396A (en) * 2010-12-21 2014-04-03 インテル コーポレイション Transistor device, integrated circuit, and manufacturing method
KR102168550B1 (en) * 2010-12-21 2020-10-21 인텔 코포레이션 Selective germanium p-contact metalization through trench
EP2656391A4 (en) * 2010-12-21 2014-09-10 Intel Corp Column iv transistors for pmos integration
EP2656392A4 (en) * 2010-12-21 2014-09-10 Intel Corp Transistors with high concentration of boron doped germanium
EP2656393A4 (en) * 2010-12-21 2014-09-10 Intel Corp Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2656389A4 (en) * 2010-12-21 2014-09-10 Intel Corp Selective germanium p-contact metalization through trench
WO2012087581A3 (en) * 2010-12-21 2012-09-07 Intel Corporation Transistors with high concentration of boron doped germanium
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
KR101489611B1 (en) * 2010-12-21 2015-02-04 인텔 코포레이션 Contact resistance reduction employing germanium overlayer pre-contact metalization
US10811496B2 (en) 2010-12-21 2020-10-20 Intel Corporation Transistor devices having source/drain structure configured with high germanium content portion
US10700178B2 (en) * 2010-12-21 2020-06-30 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
WO2012087404A1 (en) * 2010-12-21 2012-06-28 Intel Corporation Selective germanium p-contact metalization through trench
KR101510029B1 (en) * 2010-12-21 2015-04-08 인텔 코포레이션 Transistors with high concentration of boron doped germanium
KR20150058546A (en) * 2010-12-21 2015-05-28 인텔 코포레이션 Selective germanium p-contact metalization through trench
US20150206942A1 (en) * 2010-12-21 2015-07-23 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9117791B2 (en) * 2010-12-21 2015-08-25 Intel Corporation Selective germanium P-contact metalization through trench
KR20200070434A (en) * 2010-12-21 2020-06-17 인텔 코포레이션 Selective germanium p-contact metalization through trench
KR102123036B1 (en) * 2010-12-21 2020-06-15 인텔 코포레이션 Selective germanium p-contact metalization through trench
KR102079356B1 (en) * 2010-12-21 2020-02-19 인텔 코포레이션 Selective germanium p-contact metalization through trench
US9349810B2 (en) 2010-12-21 2016-05-24 Intel Corporation Selective germanium P-contact metalization through trench
CN105720091A (en) * 2010-12-21 2016-06-29 英特尔公司 Selective germanium P-contact metalization through trench
CN105826390A (en) * 2010-12-21 2016-08-03 英特尔公司 Transistor device, electronic device and method for forming transistor device
US9437691B2 (en) 2010-12-21 2016-09-06 Intel Corporation Column IV transistors for PMOS integration
CN105932063A (en) * 2010-12-21 2016-09-07 英特尔公司 Column IV transistors for PMOS integration
WO2012087403A1 (en) * 2010-12-21 2012-06-28 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9484432B2 (en) * 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
DE102010063782B4 (en) * 2010-12-21 2016-12-15 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Process for the production of transistors with metal gate stacks with a high ε and an embedded stress material
US20120153354A1 (en) * 2010-12-21 2012-06-21 Globalfoundries Inc. Performance enhancement in transistors comprising high-k metal gate stacks and an embedded stressor by performing a second epitaxy step
US20170047419A1 (en) * 2010-12-21 2017-02-16 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9627384B2 (en) 2010-12-21 2017-04-18 Intel Corporation Transistors with high concentration of boron doped germanium
KR20200018740A (en) * 2010-12-21 2020-02-19 인텔 코포레이션 Selective germanium p-contact metalization through trench
US10553680B2 (en) 2010-12-21 2020-02-04 Intel Corporation Selective germanium P-contact metalization through trench
US10304927B2 (en) 2010-12-21 2019-05-28 Intel Corporation Selective germanium p-contact metalization through trench
US9722023B2 (en) 2010-12-21 2017-08-01 Intel Corporation Selective germanium P-contact metalization through trench
US10297670B2 (en) * 2010-12-21 2019-05-21 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
KR101784226B1 (en) * 2010-12-21 2017-10-11 인텔 코포레이션 Transistor device, semiconductor device, and method for forming transistor device with selective germanium p-contact metallization through trench
KR20170116200A (en) * 2010-12-21 2017-10-18 인텔 코포레이션 Selective germanium p-contact metalization through trench
CN103270599A (en) * 2010-12-21 2013-08-28 英特尔公司 Transistors with high concentration of boron doped germanium
KR101978085B1 (en) * 2010-12-21 2019-05-13 인텔 코포레이션 Column iv transistors for pmos integration
KR20190018755A (en) * 2010-12-21 2019-02-25 인텔 코포레이션 Selective germanium p-contact metalization through trench
KR20180005251A (en) * 2010-12-21 2018-01-15 인텔 코포레이션 Column iv transistors for pmos integration
KR101949894B1 (en) * 2010-12-21 2019-02-20 인텔 코포레이션 Selective germanium p-contact metalization through trench
US10090383B2 (en) 2010-12-21 2018-10-02 Intel Corporation Column IV transistors for PMOS integration
EP3361512A1 (en) * 2010-12-21 2018-08-15 INTEL Corporation Column iv transistors for pmos integration
CN102856202A (en) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method of semiconductor structure, p-channel metal oxide semiconductor (PMOS) transistor and forming method of PMOS transistor
US11476344B2 (en) 2011-09-30 2022-10-18 Daedalus Prime Llc Contact resistance reduction employing germanium overlayer pre-contact metalization
CN106847811A (en) * 2011-12-20 2017-06-13 英特尔公司 The self-aligned contacts metallization of the contact resistance of reduction
US9754940B2 (en) 2011-12-20 2017-09-05 Intel Corporation Self-aligned contact metallization for reduced contact resistance
CN104011870A (en) * 2011-12-20 2014-08-27 英特尔公司 Self-aligned contact metallization for reduced contact resistance
US9269570B2 (en) 2012-04-12 2016-02-23 Commissariat a l'énergie atomique et aux énergies alternatives Contact on a heterogeneous semiconductor substrate
EP2650911A1 (en) * 2012-04-12 2013-10-16 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Contact point on a heterogeneous semiconductor substrate
FR2989517A1 (en) * 2012-04-12 2013-10-18 Commissariat Energie Atomique RESUME OF CONTACT ON HETEROGENE SEMICONDUCTOR SUBSTRATE
CN103426766A (en) * 2012-05-24 2013-12-04 中芯国际集成电路制造(上海)有限公司 Pmos transistor and forming method thereof
CN104347714A (en) * 2013-07-30 2015-02-11 三星电子株式会社 FinFet device and forming method thereof
CN104347716A (en) * 2013-07-30 2015-02-11 三星电子株式会社 FinFET component and method forming same
US9859424B2 (en) 2014-03-21 2018-01-02 Intel Corporation Techniques for integration of Ge-rich p-MOS source/drain contacts
TWI673871B (en) * 2014-03-21 2019-10-01 英特爾股份有限公司 Techniques for integration of ge-rich p-mos source/drain contacts
US10147817B2 (en) 2014-03-21 2018-12-04 Intel Corporation Techniques for integration of Ge-rich p-MOS source/drain
US10541334B2 (en) 2014-03-21 2020-01-21 Intel Corporation Techniques for integration of Ge-rich p-MOS source/drain
KR101812497B1 (en) 2014-04-21 2017-12-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and formation thereof
US9716172B2 (en) 2014-04-21 2017-07-25 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having multiple active area layers and its formation thereof
US20190019881A1 (en) * 2014-06-23 2019-01-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US20150372142A1 (en) * 2014-06-23 2015-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US20200144395A1 (en) * 2014-06-23 2020-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9331147B1 (en) * 2015-04-20 2016-05-03 Shanghai Huali Microelectronics Corporation Methods and systems for using conformal filling layers to improve device surface uniformity
CN108292687A (en) * 2015-12-24 2018-07-17 英特尔公司 Low schottky barrier contact structure for ge nmos
WO2017111810A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Low schottky barrier contact structure for ge nmos
US10665688B2 (en) 2015-12-24 2020-05-26 Intel Corporation Low Schottky barrier contact structure for Ge NMOS
US10403716B2 (en) * 2016-09-16 2019-09-03 International Business Machines Corporation Trench contact resistance reduction
US10217707B2 (en) * 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
US20180082950A1 (en) * 2016-09-16 2018-03-22 International Business Machines Corporation Trench contact resistance reduction
US11335773B2 (en) * 2016-09-16 2022-05-17 International Business Machines Corporation Trench contact resistance reduction
US20190296109A1 (en) * 2016-09-16 2019-09-26 International Business Machines Corporation Trench contact resistance reduction
US11004688B2 (en) 2016-11-29 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US11854811B2 (en) 2016-11-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US20180151378A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Device and Method of Forming
US10522359B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10163643B2 (en) * 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device using titanium-containing layer and device formed
US20180166287A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device using titanium-containing layer and device formed
US11270888B2 (en) 2016-12-14 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having source/drain with a protrusion
US10658186B2 (en) 2016-12-14 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device using titanium-containing layer and device formed
US11114548B2 (en) 2017-06-05 2021-09-07 Semiconductor Manufacturing (Shanghai) International Corporation Semiconductor device having source and drain in active region and manufacturing method for same
US20180350916A1 (en) * 2017-06-05 2018-12-06 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method for same
US10490652B2 (en) * 2017-06-05 2019-11-26 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device providing improved read and write margin, and manufacturing method for the same
US11721745B2 (en) 2018-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for increasing germanium concentration of surfaces of a silicon germanium portion of a fin and resulting semiconductor devices
US11011623B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US20200135874A1 (en) * 2018-10-26 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Feature to Contact Interfaces
TWI734228B (en) * 2018-10-26 2021-07-21 台灣積體電路製造股份有限公司 Integrated circuit device and method for fabricating the same
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
CN111106066A (en) * 2018-10-26 2020-05-05 台湾积体电路制造股份有限公司 Semiconductor device and method of forming the same

Also Published As

Publication number Publication date
US7880228B2 (en) 2011-02-01
US20100244154A1 (en) 2010-09-30
JP2007214481A (en) 2007-08-23

Similar Documents

Publication Publication Date Title
US7880228B2 (en) Semiconductor device including MISFET
US7372099B2 (en) Semiconductor device and its manufacturing method
US7452764B2 (en) Gate-induced strain for MOS performance improvement
US7649232B2 (en) P-channel MOS transistor, semiconductor integrated circuit device and fabrication process thereof
US7354835B2 (en) Method of fabricating CMOS transistor and CMOS transistor fabricated thereby
US6933589B2 (en) Method of making a semiconductor transistor
US9070704B2 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US8124467B2 (en) Reducing silicide resistance in silicon/germanium-containing drain/source regions of transistors
US7772676B2 (en) Strained semiconductor device and method of making same
US20100102401A1 (en) Semiconductor transistor having a stressed channel
US20080199999A1 (en) Formation of a Selective Carbon-Doped Epitaxial Cap Layer on Selective Epitaxial SiGe
US20090283842A1 (en) Semiconductor device and method of fabricating the same
US7985985B2 (en) Semiconductor device and method of fabricating the same
JP5659416B2 (en) Manufacturing method of semiconductor device
JP2006351581A (en) Manufacturing method of semiconductor device
JP2011171706A (en) Transistor and manufacturing method therefor
JP2008177319A (en) Semiconductor device manufacturing method and semiconductor device
US20110254054A1 (en) Semiconductor device
US7332435B2 (en) Silicide structure for ultra-shallow junction for MOS devices
US7605031B1 (en) Semiconductor device having strain-inducing substrate and fabrication methods thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: KABUSHIKI KAISHA TOSHIBA, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YASUTAKE, NOBUAKI;REEL/FRAME:019222/0080

Effective date: 20070221

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION