CN103329274A - Selective germanium P-contact metalization through trench - Google Patents

Selective germanium P-contact metalization through trench Download PDF

Info

Publication number
CN103329274A
CN103329274A CN2011800614402A CN201180061440A CN103329274A CN 103329274 A CN103329274 A CN 103329274A CN 2011800614402 A CN2011800614402 A CN 2011800614402A CN 201180061440 A CN201180061440 A CN 201180061440A CN 103329274 A CN103329274 A CN 103329274A
Authority
CN
China
Prior art keywords
concentration
drain region
source
type
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800614402A
Other languages
Chinese (zh)
Other versions
CN103329274B (en
Inventor
G·A·格拉斯
A·S·莫西
T·甘尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201610088996.5A priority Critical patent/CN105720091B/en
Publication of CN103329274A publication Critical patent/CN103329274A/en
Application granted granted Critical
Publication of CN103329274B publication Critical patent/CN103329274B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66931BJT-like unipolar transistors, e.g. hot electron transistors [HET], metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7785Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with more than one donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

Techniques are disclosed for forming transistor devices having reduced parasitic contact resistance relative to conventional devices. The techniques can be implemented, for example, using a standard contact stack such as a series of metals on, for example, silicon or silicon germanium (SiGe) source/drain regions. In accordance with one example such embodiment, an intermediate boron doped germanium layer is provided between the source/drain and contact metals to significantly reduce contact resistance. Numerous transistor configurations and suitable fabrication processes will be apparent in Sight of this disclosure, including both planar and non-planar transistor structures (e.g., FinFETs), as well as strained and unstrained channel structures. Graded buffering can be used to reduce misfit dislocation. The techniques are particularly well-suited for implementing p-type de vices, but can be used for n-type de vices if so desired.

Description

Pass the selectivity germanium P contact metallization of groove
Related application
The application is the U. S. application No.12/975 that submitted on December 21st, 2010,278 part continuation application.
Background technology
Comprise the circuit devcie of transistor, diode, resistor, capacitor and other passive and the raising of active electronic performance of devices is normally considered in design, manufacturing and the operating period of those devices principal element that forms in Semiconductor substrate.For example, during the Design and manufacture or formation of metal-oxide semiconductor (MOS) (MOS) transistor semiconductor device (such as the semiconductor device that is used for complementary metal oxide semiconductors (CMOS) (CMOS)), usually it is minimum that expectation makes the dead resistance that is associated with contact point, and this dead resistance is called non-essential resistance R in addition ExtThe R that reduces ExtCan from identical transistor design, realize higher electric current.
Description of drawings
Figure 1A illustrates the MOS device of the boron doped germanium layer that disposes according to an embodiment of the invention between source/drop ply and contacting metal.
Figure 1B illustrates the MOS device that disposes the boron doped germanium layer between source/drop ply and contacting metal according to another embodiment of the present invention.
Fig. 1 C illustrates the MOS device that disposes the boron doped germanium layer between source/drop ply and contacting metal according to another embodiment of the present invention.
Fig. 2 is the method that is used to form according to an embodiment of the invention the transistor arrangement with low contact resistance.
Fig. 3 A to 3I illustrates the structure that forms when the method for execution graph 2 according to each embodiment of the present invention.
Fig. 4 is the method that is used to form the transistor arrangement with low contact resistance according to another embodiment of the present invention.
Fig. 5 A to 5F illustrates the structure that forms when the method for execution graph 4 according to each embodiment of the present invention.
Fig. 6 illustrates the stereogram of the FinFET transistor body architecture that configures according to one embodiment of present invention.
Fig. 7 illustrates the drawing of cutting apart in batches, illustrates according to the transistor arrangement of embodiments of the invention configuration and does not configure the contact resistance of the standard crystal tubular construction of cap rock.
Fig. 8 illustrates the computing system of realizing according to the one or more transistor arrangements of utilizing of example embodiment of the present invention.
As it will be appreciated that ground, these figure not necessarily draw in proportion, or concrete configuration shown in being intended to invention required for protection is limited to.For example, although some figure roughly indicate straight line, right angle and smooth surface, but consider the physical constraints of employed treatment facility and technology, the actual implementation of transistor arrangement may have faulty straight line, right angle, and some features may have surface placement or unsmooth.In brief, providing accompanying drawing only is for example structure is shown.
Specifically describe
The technology that has the transistor device of the parasitic contact resistance that reduces with respect to conventional device that is used to form is disclosed.These technology for example usable criterion contact lamination (such as a series of metals on silicon or SiGe (SiGe) source/drain region) realize.According to the example of such embodiment, the boron undoped sige layer is significantly to reduce contact resistance in the middle of arranging between source/leakage and contacting metal.According to the disclosure, many transistor arrangement and suitable manufacturing process will be apparent, comprise the channel structure of plane and nonplanar transistor arrangement (for example FinFET) and strain and non-strain.These technology are particularly useful for realizing the p-type device, but also can be used for the N-shaped device when needed.
General view
As illustrated before, can realize the increase of drive current in the transistor by reducing device resistance.Contact resistance is the one-component of the overall electrical resistance of device.For example, the transistor contacts lamination of standard comprises: silicon or SiGe source/drop ply, nickel silicide layer, titanium nitride adhesive layer and tungsten contact pad.In such structure, by aiming at of the pinning energy level in silicon or SiGe valence band and the metal, contact resistance is effectively limited.Typically, utilize industrial standard silicide such as nickel (or other suitable silicide, such as titanium, cobalt or platinum), this causes, and about 0.5eV's can be with misalignment.Therefore, according to example embodiment of the present invention, the boron undoped sige layer in the middle of being provided with between source/leakage and contacting metal is significantly to reduce being with misalignment and contact resistance.
In a specific example embodiment, in the middle of disposing the contact of boron doped germanium layer present the band misalignment be decreased to 0.2eV and corresponding contact resistance reduce approximately 3 times (with respect to similar configuration with routine contact lamination, but do not have the middle boron undoped sige layer between source/drain region and contacting metal).Transmission electron microscopy (TEM) cross section or assisting ion mass spectrum are learned (SIMS) distribute germanium concentration in the vertical stack that can be used to show whole membrane structures, because can be easily distribution and the Germanium concentration profile of the extension alloy of silicon and SiGe be distinguished.
Therefore, according to the transistor arrangement of embodiments of the invention configurations provide surmount conventional structure with respect to the more improvement of low contact resistance.Some such embodiment make the good contact property of germanium be combined with the defect semiconductor transistor properties of Si and SiGe, so that follow-on low resistance contact to be provided.Can realize selectivity by variety of way.For example, in one embodiment, by in the territory crested of p-type MOS device (PMOS) depositional stage chien shih nmos area, can provide the selectivity to N-shaped MOS (NMOS) source/leakage position.In another embodiment, NMOS and PMOS zone can be opened simultaneously, but deposition only occurs in the PMOS zone by groove.Advantage herein is, do not have low-melting germanium during the common relatively high heat budget step of MOS flow process front end.After groove processing and germanium deposition, and according to specific such example embodiment, this structure can not experience and surpass 500 ℃ temperature, so the germanium cover layer can not be among the danger of melting and/or other mode deteriorate performance.As will further understanding ground according to the disclosure, selectivity can comprise natural selection.For example, although boron doped germanium is grown on p-type SiGe (or silicon) source/drain region, it can not be grown on the dielectric surface such as silicon dioxide (SiO2) or silicon nitride (SiN); It also can not be grown on the silicon of the heavy phosphorus doping that exposes in the N-shaped district.
According to the disclosure, many transistor arrangement and suitable manufacturing process will be apparent, comprise the channel structure of plane and nonplanar transistor arrangement (for example double grid and tri-gate transistor structure) and strain and non-strain.As describing herein, any amount of such architectural feature and material system can use together in conjunction with the germanium cover layer.Transistor arrangement can comprise p-type source/drain region, N-shaped source/drain region or N-shaped and p-type source/drain region.In some example embodiment, transistor arrangement is included in source/drain region or the pure germanium film (for example having those germanium films that are less than 10% silicon) of extension (polycrystalline) replacement source/drain region, SiGe alloy or nominal of the dopant injection of the silicon in the MOS structure.According to embodiments of the invention, in arbitrary such realization, can on source/drain region, directly form cover layer or the cap rock of boron doped germanium.Then contacting metal (or a series of metal) can be deposited, and subsequently reaction (annealing) can be carried out to form germanium metal compound source and drain contact.As understanding, this contact can be implemented as the one or more lamination that comprises in silicide layer, adhesive layer and/or the metal bed course.If necessary, also can on the other parts (such as polysilicon gate and/or ground joint zone) of transistor arrangement, directly form boron doped germanium cover layer.
Known, MOS transistor can comprise source and leakage tip region, and these zones are designed to reduce transistorized overall electrical resistance and strengthen simultaneously short-channel effect (SCE).Routinely, these tip region are a plurality of parts of substrate, and utilization injection and diffusion technique have been injected the dopant such as boron or carbon in these a plurality of parts.The source tip region forms in the zone between source region and channel region.Similarly, form in the zone of leakage tip region between drain region and channel region.Some embodiments of the present invention dispose the tip region that such routine forms.In other example embodiment, adopt manufacturing technology to expand most advanced and sophisticated (SET) transistor of self aligned extension, to realize very the theoretical limit near uniaxial strain.For example, this can pass through in source and drain region and the deposition of the selective epitaxial in their corresponding tip region, realizes to form the double-layer structural that is coated with by the boron doped silicon of the cap rock of boron doped germanium layer or SiGe (being used for source/drain region) in source/leakage and corresponding tip region.But in some example embodiment, germanium can be different with boron concentration, and in the scope of 100 atomic percents, and boron concentration is at 1E20cm at 20 atomic percents for germanium concentration -3To 2E21cm -3Scope in (for example germanium concentration surpasses 50 atomic percents and boron concentration surpasses 2E21cm -3).Note, boron doped germanium layer can be arranged in the tip region, but only is arranged in other embodiments (not in tip region) on source/drain region.
In other other example embodiment, the optional thin resilient coating that can use germanium concentration with gradual change and/or boron concentration as back lining at the bottom of and the boundary layer between source/drop ply (for example silicon or SiGe).Similarly, can use the thin resilient coating of germanium concentration with gradual change and/or boron concentration as the boundary layer between source/drop ply and the boron doped germanium cap rock.In other other embodiment, boron doped germanium cover layer or source/drop ply self can have with germanium and/or the boron concentration of the gradual change of optional resilient coating similar manner.In the situation that arbitrary such because boron is diffused in suppressed in the germanium (concentration is higher, relatively suppresses stronger), can be in germanium the boron of doped with high concentration, this then cause lower dead resistance and can not make most advanced and sophisticated steepness deteriorated.In addition, owing to having reduced schottky barrier height, contact resistance is reduced.
Architecture and method
Figure 1A illustrates the MOS device 100A that is formed on according to an embodiment of the invention on the substrate 102 and disposes the boron doped germanium layer between source/drop ply and contacting metal.Particularly, boron doped germanium layer 117 is arranged between source layer 110 and the contacting metal 125, and boron doped germanium layer 119 is arranged between drop ply 112 and the contacting metal 127.Can utilize any amount of routine techniques to form source region 110 and drain region 112.For example, in this example embodiment, by etch substrate then extension ground depositing silicon or silicon germanium material (for example germanium concentration is in the scope of for example 10 to 70 atomic percents), and form source region 110 and drain region 112.
Gate stack 122 forms on the channel region 120 of transistor 100A.As can further finding out, gate stack 122 comprises gate dielectric layer 106 and gate electrode 104, and interval body 108 forms near gate stack 122.Under some sample situations and depend on arrangement nodes, interval body 108 produces the approximately distance of 10 to 20 nanometers (nm) between the edge of gate dielectric layer 106 and each the edge in source and the drain region 110/112.In this space, can form source cusp field 110A and leak cusp field 112A.In this example embodiment, cusp field 110A/112A by typically based on inject-technique of diffusion forms and overlapping with interval body 108, and can be with gate dielectric layer 106 overlapping or thereunder diffusion for example less than the distance of 10nm.Forming based on injecting-during tip region 110A, the 112A of diffusion, the dopant such as boron or carbon can being injected in source region 110 and the drain region 112.Then transistor 100A is annealed so that dopant spreads to channel region 120.Also can come further dopant to be injected in those zones between gate dielectric layer 106 and the source/drain region 110/112 with angled ion implantation technique.The tip based on injecting-spreading like this forms technique and does not generally introduce strain at channel region.
In either case, and it will be appreciated that according to the disclosure, whether transistor arrangement has strain or unstrained raceway groove or whether have source-leakage tip region or do not have source-leakage tip region is not relevant especially with each embodiment of the present invention, and such embodiment is not intended to be subject to any specific such architectural feature.On the contrary, any amount of transistor arrangement and type can be benefited from as described herein boron doped germanium cover layer of employing.The technology that this paper provides can with for example conventional dopant Implanted Silicon, the source of rising/leakages, strain SiGe (or other suitable material) and below the gate electrode dielectric, extend or any deposition extension tip (being sometimes referred to as source-leakage extension) of separating with the vertical line that is limited by the gate electrode dielectric compatible.
Generally after formation source/drain region 110/112 and before forming contact 125/127, germanium cover layer 117/119 is set.The thickness of this cover layer 117/119 can be different to another embodiment from an embodiment, but in an example embodiment, this thickness is at 50 to 250 dusts
Figure BDA0000349060010000061
Scope in.The boron concentration of cover layer 117/119 also can be different, but in an example embodiment, this boron concentration is at 1E20cm -3To 2E21cm -3Scope in (for example surpass 2E20cm -3).Can be in the source/leakage 110/112 zone (and/or other zone as required, such as polysilicon gate or ground joint zone) on sedimentary cover 117/119 optionally.Can provide cover layer 117/119 (for example chemical vapour deposition (CVD), molecular beam epitaxy etc.) with any amount of suitable deposition technique.According to an example embodiment, contacting metal 125 and 127 each include the lamination of nickel silicide layer, titanium nitride adhesive layer and tungsten contact pad, but can understand according to the disclosure, also can use any amount of contacting metal configuration.But the Application standard deposition technique arranges contacting metal 125/127.
Figure 1B illustrates being formed on the substrate 102 and disposing the example MOS device 100B of the boron doped germanium layer 117/119 between source/drop ply 110/112 and contacting metal 125/127 according to another embodiment of the present invention.This example arrangement comprises the source and leaks extension most advanced and sophisticated (being referred to as in this article the extension tip).More specifically, MOS transistor 100B uses undercutting to be etched with permission source region 110 and extend below interval body 108 in drain region 112, and extends below gate dielectric layer 106 in some cases.A plurality of parts of extending (also may below gate dielectric layer 106) below the interval body 108 in source/drain region 110/112 are collectively referred to as respectively the most advanced and sophisticated 110B of source extension and leak the most advanced and sophisticated 112B of extension.Source and the most advanced and sophisticated 110B/112B of leakage extension substitute the described tip region 110A/112A based on injection/diffusion about Figure 1A.According to an embodiment, can for example come formation source/drain region 110/112 and the most advanced and sophisticated 110B/112B of source/leakage extension by etch substrate 102, etch substrate 102 can comprise incision interval body 108 (and possible gate dielectric layer 106), then deposit to provide for example in-situ doped silicon, germanium or SiGe with filling source/drain region 110/112 and the most advanced and sophisticated 110B/112B of source/leakage extension, as shown in Figure 1B with selective epitaxial.Note, shown in further among Figure 1B, extension is filled and can be raise with respect to the surface of substrate 102, but also can use uninflated configuration.Germanium cover layer 117/119 and contacting metal 125/127 can be realized as described with respect to Figure 1A before.
Fig. 1 C illustrates being formed on the substrate 102 and disposing the MOS device 100C of the boron doped germanium layer 117/119 between corresponding source/drop ply 110/112 and contacting metal 125/127 according to another embodiment of the present invention.By the dopant such as boron is injected source region 110 and the drain region 112 that substrate forms this example embodiment.Gate stack 122 forms at the channel region 120 of transistor 100C, and does not comprise in this example sidewall 108.The transistor arrangement of this example does not comprise undercutting or the tip region such with embodiment shown in Figure 1A and the 1B yet.Germanium cover layer 117/119 and contacting metal 125/127 can be realized as described with respect to Figure 1A before.
Can realize multiple other modification and feature according to the transistor arrangement of embodiments of the invention configuration.For example, in one or more positions of this structure, can use the resilient coating of gradual change.For example, substrate 102 can be the silicon fiml of silicon substrate or silicon-on-insulator (SOI) substrate or the MULTILAYER SUBSTRATE that comprises silicon, SiGe, germanium and/or III-V compound semiconductor.Therefore, as example, having silicon or silicon-Germanium substrate 102 and be arranged in source/drain region 110/112 and the embodiment of the boron doped SiGe filling of the original position of the most advanced and sophisticated 110B/112B of source/leakages extension, can at the bottom of the back lining 102 and source/leakage material between resilient coating is set.In such embodiment, this resilient coating can be boron doping (or intrinsic) germanium-silicon layer of gradual change, wherein germanium concentration is from being gradient to 100 atomic percents (or near 100 atomic percents, such as surpassing 90 atomic percents or 95 atomic percents or 98 atomic percents) with basic horizontal compatible at the bottom of the back lining.Boron concentration in this resilient coating can be fixed (for example being in high level), or for example from being in or (for example surpassing 2E20cm with basic concentration gradual change compatible at the bottom of the back lining to the high concentration of expectation -3).Note, " compatible " not necessarily requires overlapping (for example the germanium concentration at the bottom of the back lining can be 0 to 20 atomic percent, and the initial germanium concentration of resilient coating can be 30 to 40 atomic percents) on the concentration level as used herein.In addition, as used herein, " fix " with respect to the term of concentration level and to be intended to represent relatively constant concentration level (for example the least concentration level in this layer and the maximum concentration level in this layer differ in 10%).According to implication more generally, the fixed concentration level is intended to represent not exist the graded concentration level of having a mind to cause.The thickness of this resilient coating can be depending on many factors (such as the concentration range that is cushioned) and difference, but in certain embodiments, the thickness of this resilient coating arrives 30
Figure BDA0000349060010000071
Scope in, arrive such as 50
Figure BDA0000349060010000072
(for example Or
Figure BDA0000349060010000074
).As will be further understood that according to the disclosure, such graded buffer layer has reduced schottky barrier height valuably.
Alternatively, replace using the thin resilient coating between the most advanced and sophisticated 110B/112B of substrate 102 and source/drain region 110/122 and source/leakage extension, source/leakage material itself can be according to similar mode gradual change.For example and according to an example embodiment, the most advanced and sophisticated 110B/112B of boron doped SiGe source/drain region 110/112 and source/leakage extension may be configured with from being gradient to the germanium concentration of 100 atomic percents with basic horizontal concentration (for example in the scope of 30 to 70 atomic percents) compatible at the bottom of the back lining.In some such embodiment, the scope of the boron concentration in this boron doped germanium layer can be for example from being in or (for example surpassing 2E20cm with basic concentration compatible at the bottom of the back lining to the high concentration of expectation -3).
In other embodiments, resilient coating can be arranged between source/leakage material and the boron doped germanium cover layer 117/119.In such embodiment, source/leakage material is to have the fixedly boron doped SiGe layer of germanium concentration (for example in 30 to 70 atomic percent scopes), and this resilient coating can be that thin SiGe layer (for example 30 arrives
Figure BDA0000349060010000081
Arrive such as 50 ), this thin SiGe layer has from the basic horizontal concentration gradient compatible with the boron doped SiGe layer of bottom to 100 atomic percents the germanium concentration of (or near 100 atomic percents, such as surpassing 90 atomic percents or surpassing 95 atomic percents or surpass 98 atomic percents).In the situation that some are such, the boron concentration in this resilient coating can be fixed in the high level of expectation, or for example can (for example surpass 1E20cm from the high concentration that is in or the basic concentration gradual change compatible with bottom SiGe layer extremely expected -3, surpass 2E20cm -3Or above 3E20cm -3).Alternatively, not the resilient coating that utilizes between source/leakage material and the boron doped germanium cover layer 117/119, can make in a similar manner gradual change of cover layer 117/119 itself.For example and according to an example embodiment, boron doped cover layer 117/119 may be configured with the germanium concentration that is gradient to 100 atomic percents (or near 100 atomic percents) from the basic horizontal concentration compatible with at the bottom of the back lining and/or source/drain region (for example in the scope of 30 to 70 atomic percents).Boron concentration in 117/119 layer of this cover layer can be fixed in the high level of expectation, or can be for example from being in or the basic concentration compatible with at the bottom of the back lining and/or source/drain region (for example surpasses 2E20cm to the expectation high level -3) scope in.
Therefore, provide the low contact resistance architecture that is used for multiple transistor device.These devices can partly utilize isotropism undercutting in any amount of common process (such as by gate oxide, polysilicon gate electrode, thin interval body) and the source/drain region (or ammoniacal etchant has facet fin recess to form in the single crystalline substrate, or other suitable being etched with forms the fin recess) to form.According to some embodiment, can provide in-situ doped silicon or the complete germanium-silicon layer of strain with epitaxial deposition optionally, have or the cuspidated source/drain region of tool not with formation.As illustrated before, can use optional resilient coating.Also can use any suitable high k to replace metal gate (RMG) technological process, wherein high-k dielectric is replaced conventional gate oxide.For example utilizing, the silicidation technique of nickel, nickel-platinum or titanium and so on (being accompanied by or not following the pre-amorphous injection of germanium) can be used to form the low resistance germanide.For example; can use the technology that provides herein benefiting any technology node (for example 90nm, 65nm, 45nm, 32nm, 22nm, 14nm and 10nm transistor and lower), and claimed the present invention is not intended to be subject to any specific such node or the scope of device geometries.According to the disclosure, other advantage will be apparent.
Fig. 2 is the method that is used to form according to an embodiment of the invention the transistor arrangement with low contact resistance.Fig. 3 A to 3I is illustrated in and forms when carrying out this method and according to the example structure of some embodiment.
As finding out, the method starts from forming gate stack (202) in Semiconductor substrate, can form the MOS device such as the PMOS transistor on this Semiconductor substrate.For example, can utilize body silicon or this Semiconductor substrate of silicon-on-insulator Configuration.In other implementation, this Semiconductor substrate can utilize substitution material to form, this substitution material can with or can be and the silicon combination, such as germanium, SiGe, indium antimonide, lead telluride, indium arsenide, indium phosphide, GaAs or gallium antimonide.On meaning more generally, according to embodiments of the invention, can use any material that can serve as the basis that makes up semiconductor device, gate stack can be as conventional like that or utilize any suitable custom technology to form.In some embodiments of the invention, can patterned gate dielectric layer and gate electrode layer form this gate stack by depositing then.For example, under a kind of sample situation, can utilize such as chemical vapour deposition (CVD) (CVD), ald (ALD), spin-on deposition (SOD) or physical vapour deposition (PVD) (PVD) conventional depositing operation with the gate dielectric layer code-pattern be deposited on the Semiconductor substrate.Also can use alternative deposition technique, for example can heat growth gate dielectric layer.For example, can form grid dielectric material from the material such as silicon dioxide or high-k dielectric material.The example of high k grid dielectric material for example comprises: hafnium oxide, hafnium silicon oxide, lanthana, lanthana aluminium, zirconia, zirconium silicon oxide, tantalum oxide, titanium oxide, strontium barium oxide titanium, barium monoxide titanium, strontium oxide strontia titanium, yittrium oxide, aluminium oxide, lead oxide scandium tantalum and lead niobate zinc.In some specific example embodiment, the thickness of high k gate dielectric layer can be approximately
Figure BDA0000349060010000091
Arrive approximately
Figure BDA0000349060010000092
Between (for example Extremely
Figure BDA0000349060010000094
).Generally speaking, the thickness of gate dielectric layer should be enough to make gate electrode and adjacent source and drain contact electrical isolation.In other embodiments, can carry out additional processing to high k gate dielectric layer, such as annealing in process, to improve the quality of high k material.Next, can utilize the similar deposition technique such as ALD, CVD or PVD to deposit gate material at gate dielectric layer.In some such specific embodiments, gate material is polysilicon or metal level, but also can use other suitable gate material.This gate material can be to be removed after a while to be used for replacing the expendable material of metal gate (RMG) technique, and in some example embodiment, the thickness of this gate material exists
Figure BDA0000349060010000101
Extremely
Figure BDA0000349060010000102
Scope between (for example
Figure BDA0000349060010000103
).Then can carry out conventional Patternized technique and remove a plurality of parts of gate electrode layer and gate dielectric layer with etching to form gate stack, as shown in Figure 3A.As seen, Fig. 3 A illustrates substrate 300, can form gate stack on substrate 300.In this example embodiment, gate stack comprises gate dielectric layer 302 (can be high k grid dielectric material) and altered sacrificial gate electrode 304.In a kind of specific example situation, this gate stack comprises silicon dioxide gate dielectric layer 302 and polygate electrodes 304.This gate stack also can be included in the grid hard mask layer 306 that some benefit or purposes are provided during the processing, not affected by follow-up ion implantation technology such as grill-protected electrode 304.This hard mask layer 306 can utilize typical hard mask material to form, such as silicon dioxide, silicon nitride and/or other conventional dielectric substance.Fig. 3 A further is illustrated in the interval body 310 that forms on this lamination either side.For example, interval body 310 can utilize conventional material or other suitable spacer material such as silica, silicon nitride to form.Generally can select based on formed transistorized designing requirement the width of interval body 310.Yet, as describing herein, according to some embodiment, the width of sufficiently high boron doped germanium content (boron will can not be diffused in the raceway groove) interval body 310 in given source/leakage tip region, the width of interval body 310 can not be limited by the source to be limited with the design that the formation of leaking the extension tip applies.
Further with reference to figure 2, after gate stack formed, the method continued to define the source/drain region (204) of transistor arrangement.As illustrated before, can utilize any amount of suitable technique and configuration to come realization source/drain region.For example, source/drain region can be that inject, etched and extension is filled, raise, can be silicon or SiGe alloy, p-type and/or N-shaped, and the diffusion zone with plane or fin-shaped.In the example embodiment shown in Fig. 3 A, substrate 300 is etched so that chamber 312/314 and corresponding tip region 312A/314A, this etching process undercutting gate dielectric 302 to be provided.Fig. 3 B is illustrated in chamber 312/314 and tip region 312A/314A has been filled to provide source/drain region 318/320 and tip region 318A/320A substrate 300 afterwards.According to some example embodiment, the silicon that source and chamber, drain region 312/314 and their corresponding tip region 312A/314A are in-situ doped or SiGe fill, and form thus source region 318 (and the most advanced and sophisticated 318A of extension) and drain region 320 (and leaking the most advanced and sophisticated 320A of extension).(for example surpass 2E21cm with respect to material (for example silicon, SiGe, III-V material), dopant -3Boron or other suitable concentration of dopant) and size (for example the thickness of source/drop ply can be for example 50 in the scope of 500nm, so that source/drain region concordant or that raise to be provided), can use any amount of source/drop ply configuration at this.
As illustrated before, some such embodiment can be included between source/drop ply and the substrate or source/leakage and boron doped germanium cover layer between thin resilient coating.For example, in the example embodiment as shown in Fig. 3 B further as seen, sedimentary origin resilient coating 313 and leakage resilient coating 315 before sedimentary origin/leakages material.In certain embodiments, resilient coating 313 and 315 can be the boron doped germanium-silicon layer of gradual change, wherein the germanium component can be from basic horizontal concentration gradient to 100 atomic percent compatible with 300 materials at the bottom of the back lining (or near 100 atomic percents, as described earlier).Boron concentration is suitably gradual change also.According to the disclosure, multiple resilient coating scheme will be apparent.
Further with reference to figure 2, after having defined source/drain region, the method continue with in the source of transistor arrangement/drain region deposition boron doped germanium (206).Fig. 3 C illustrates boron doped germanium layer 317/319.In some example embodiment, boron doped germanium layer 317/319 can be in epitaxial deposition in the one or more layer, boron doped germanium layer 317/319 has the germanium concentration that surpasses 90 atomic percents, but will understand according to the disclosure, can use other suitable concentration level (for example above 91 atomic percents or above 92 atomic percents or above 98 atomic percents or above 99 atomic percents or real pure germanium).Such as before explanation, this germanium concentration can be fixed or gradual change, to be increased to high level (for example surpassing 90 atomic percents) from basic horizontal (near substrate 300).In some such embodiment, boron concentration can surpass 1E20cm -3, such as being higher than 2E20cm -3Or be higher than 2E21cm -3Also but gradual change (for example surpasses 1E20cm to increase to high level from the basic horizontal near substrate 300 -3Or above 2E20cm -3Or above 3E20cm -3, surpass 2E21cm -3).The germanium concentration of bottom source/drain region 318/320 fix or relatively low embodiment in, can come with the resilient coating of gradual change better as the interface between source/drain region 318/320 and the boron doped germanium layer 317/319, as illustrated before.According to some specific example embodiment, the thickness of boron doped germanium cap rock 317/319 can have and for example 50 arrives
Figure BDA0000349060010000111
Thickness in the scope, but alternate embodiment can have as according to the disclosure with apparent other layer thickness.
In certain embodiments, CVD technique or other suitable deposition technique can be used to deposit 206 or otherwise form boron doped germanium layer 317/319.For example, can in CVD or quick hot CVD (RT-CVD) or low pressure chemical vapor deposition (LP-CVD) or ultra high vacuum CVD (UHV-CVD) or gas source molecular beam epitaxy (GS-MBE) equipment, utilize the predecessor of germanic and boron (such as germane (GeH 4) or two germane (Ge 2H 6) and diborane (B2H5) or boron difluoride (BF 2)) carry out the deposition 206.In some such embodiment, may there be the carrier gas (for example, predecessor is diluted with the 1-5% concentration of carrier gas) such as for example hydrogen, nitrogen or rare gas.Also may there be etching gas, such as for example: based on the gas of halogen, such as hydrogen chloride (HCl), chlorine (Cl) or hydrogen bromide (HBr).The basic deposition of germanium and boron doped germanium may realize on the condition of wide region, utilize in 300 ℃ to 800 ℃ scope for example depositing temperature (for example 300-500 ℃) and under the reactor pressure in the scope of 760Torr of 1Torr for example.Germanium is optionally natural, because it is deposited on silicon or the sige alloy, and is not deposited on other material such as silicon dioxide and silicon nitride.Because this natural selectivity is not complete perfection, as described above, can improve with the etchant of low discharge the selectivity of deposition.In carrier and the etchant each can have the flow velocity (typically, need to be no more than the flow velocity of 100SCCM, but some embodiment may need more high flow rate) in 10 to 300SCCM scope.In a specific example embodiment, can utilize the GeH that in hydrogen, dilutes with 1% concentration 4And 100 and 1000SCCM between scope in flow velocity under carry out deposition 206.In-situ doped for boron can be used diluted B 2H 6(B for example 2H 6Can be in hydrogen with 3% concentration be diluted and its flow velocity 100 and 600SCCM between scope in).In some such specific example situations, with for example 10 and 100SCCM between scope in flow velocity add HCl or Cl 2Etchant, to improve the selectivity of deposition.
As understanding according to the disclosure, the selectivity that deposits boron doped germanium layer 317/319 can be different according to expectation.For example, in some cases, boron doped germanium layer 317/319 is 318/320 deposition or only a part of 318/320 (rather than total) deposits in source/drain region in source/drain region only.Can come optionally sedimentary deposit 317/319 with any amount of mask/patterning techniques.In addition, other embodiment can benefit from floor 317/319 and cover for example polycrystalline grid region or ground joint district.As understanding according to the disclosure, according to some example embodiment, can use high germanium concentration (for example surpassing 90 atomic percents to pure germanium) and high boron concentration (for example to surpass 2E20em -3) combination come in source and drain region to realize significantly lower contact resistance in (and other zone of expectation low contact resistance, such as the ground joint zone).In addition, and as before illustrated, fully suppressed by pure germanium because boron spreads, no matter how high near the boron concentration of raceway groove, under thermal annealing subsequently, all can realize there is not disadvantageous SCE deteriorated.The higher concentration of the germanium by the contact surface place can realize that also barrier height reduces.In some example embodiment, can use above 95 atomic percents and height to the germanium concentration of pure germanium (100 atomic percent) and realize such benefit.
Further with reference to figure 2, after boron doped germanium layer 317/319 was set, the method continued to deposit (208) dielectric capping layers 317/319.Fig. 3 D illustrates the dielectric 322 concordant with the hard mask 306 of gate stack, but not necessarily concordant.This dielectric can configure according to various ways.In certain embodiments, utilize SiO 2Or other low K dielectrics material is realized dielectric 322.In other embodiments, utilize SiN lining and one or more layers SiO subsequently 2Or any combination of nitride, oxide, oxynitride, carbide, oxycarbide or other suitable dielectric substance realizes dielectric 322.The dielectric 322 that is called interlayer dielectric (ILD) can complanation as usually.For example, other example dielectric substance for example comprises: oxide (CDO), the organic polymer such as octafluorocyclobutane or polytetrafluoroethylene, fluorine silex glass (FSG) and the organosilicate such as silsesquioxane, siloxanes or organic silicate glass that carbon mixes.In some example arrangement, the ILD layer can comprise that hole or other space are with its dielectric constant of further reduction.
Then, used therein replacement metal gate (RMG) technique and best in Fig. 3 E shown in some embodiments of the present invention in, the method can further comprise utilizes the conventional etch process of realizing to remove gate stack (comprising high k gate dielectric layer 302, altered sacrificial gate electrode 304 and hard mask layer 306).In substituting implementation, only remove sacrificial gate 304 and hard mask layer 306.Fig. 3 E illustrates the groove opening that forms according to such embodiment when etching away gate stack.If removed gate dielectric layer, then the method can continue new gate dielectric to be deposited in the groove opening (being labeled as 324 in Fig. 3 F).Can use such as any suitable high-k dielectric material of describing before those, such as hafnium oxide at this.Also can use identical depositing operation.The replacement of gate dielectric layer can be used, for example solving any damage that original gate dielectric layer occurs during applying dry ecthing and wet etching process, and/or the grid dielectric material that k or sacrificial dielectric material replace with high k or other expectation will be hanged down.As further illustrating among Fig. 3 F, the method can further continue to deposit to metal gate electrode layer 326 in the groove and deposit on the gate dielectric layer 324.The metal deposition process of useful routine forms metal gate electrode layer, such as CVD, ALD, PVD, chemical plating or plating.Metal gate electrode layer can comprise for example p-type workfunction metal (such as ruthenium, palladium, platinum, cobalt, nickel) and conducting metal oxide (for example ruthenium-oxide).In some example arrangement, can deposit two or more metal gate electrode layers.For example, can in gate groove, deposit workfunction metal and then deposit suitable metal gate electrode filling metal (such as aluminium or silver).
Further with reference to figure 2, dielectric layer 322 (and RMG technique of any expectation) is set afterwards on layer 317/319, the method continues with etching (210) with formation source/drain contact groove.Can use any suitable dry ecthing and/or wet etching process.Fig. 3 G illustrates the source after etching is finished according to an example embodiment/drain contact groove.Then the method continues to deposit (212) contact resistance and reduces metal and annealing, to form silicide/germanide, then deposits (214) source/drain contact plug.Fig. 3 H illustrates contacting metal 325/327, and contacting metal 325/327 comprises silicide/germanide in certain embodiments, but other embodiment can comprise other layer (for example adhesive layer).Fig. 3 I illustrates contact plug metal 329/331, contact plug metal 329/331 comprises aluminium in certain embodiments, but can utilize conventional depositing operation that any suitable conductive contact metal or alloy is used for contact plug 329/331, such as other alloy or the titanium of silver, nickel-platinum or nickel-aluminium or nickel and aluminium.For example, the silication of other alloy by utilizing nickel, aluminium, nickel-platinum or nickel-aluminium or nickel and aluminium or titanium (following or do not follow the pre-amorphous injection of germanium to form the low resistance germanide) can realize the germanium of source and drain contact/metallization 212.Boron doped germanium layer 317/319 allows metal-germanide to form (for example nickel-germanium).Germanide allows significantly lower schottky barrier height and the contact resistance that is better than the improvement of common metal silicide system (to comprise R Ext).For example, conventional transistor typically uses source/leakage SiGe epitaxy technique, and wherein germanium concentration is in the scope of 30-40 atomic percent.Such conventional system presents the approximately R of 140Ohm*um ExtValue is subject to epitaxial silicon compound interface resistance, and this interface resistance is high and may hinder following pitch expansion.Some embodiments of the present invention allow the R in the PMOS device Ext(for example approximately 2 times or better improve the R of all according to appointment 70Ohm*um of remarkable improvement Ext), can support better the expansion of PMOS device.Therefore, have according to an embodiment of the invention the source that disposes boron doped germanium cap rock 317/319/leakage, wherein boron concentration surpasses 1E20cm-3, germanium concentration and surpasses 90 atomic percents and high at the interface between source/drain region 318/320 and contacting metal 325/327 to pure germanium (100 atomic percent) or otherwise can present the R that is lower than 100Ohm*um near the transistor of pure germanium (100 atomic percent) ExtBe worth, and be lower than in certain embodiments the R of 90Ohm*um ExtValue, and the R that is lower than in some cases 80Ohm*um ExtValue, and be lower than in some cases 75Ohm*um or lower R ExtValue.
Fig. 4 is the method that is used to form the transistor arrangement with low contact resistance according to another embodiment of the present invention.Fig. 5 A to 5F is illustrated in and forms when carrying out this method and according to the example structure of some embodiment.As finding out, this example transistor structure comprises p-type and N-shaped source and drain region (being labeled as respectively p-S/D and n-S/D), and boron doped germanium only optionally is deposited in the p-type district.Substantially, the method is similar to the method with reference to figure 2 and 3A-H description, and difference is, at deposition dielectric 322 and after being etched with the formation contact trench, carries out the deposition of boron doped germanium layer 317/319 on source/drain region.
The method comprises utilizes standard to process to form (402) gate stack and a plurality of p-S/D of definition (404) and n-S/D zone, such as best illustrating among Fig. 5 A.In certain embodiments, can mix p-S/D and n-S/D zone so that the selectivity with respect to the expected degree of boron doped germanium to be provided.The method also is included in Direct precipitation (406) dielectric 322 on p-S/D and the n-S/D zone, as shown in Fig. 5 B.The method continues with etching (408) to form p-S/D and n-S/D zone contact trench, then with boron doped germanium layer 317/319 selectivity deposition (410) in groove and be deposited on (the application scenario of depending on desired function and transistor arrangement, p-S/D zone, may have one or more p-S/D zone) on, as illustrating best among Fig. 5 C and the 5D.Can utilize any suitable depositing operation (such as selective epitaxial) to carry out deposition 410.In case be provided with layer 317/319, the method continues then to deposit (414) source/drain contact plug 329/331, shown in Fig. 5 E and 5F with depositing (412) contacting metal 325/327 on the layer 317/319 and on the n-S/D zone of any exposure.This alternative method provides the same benefits of the contact resistance of improvement, but has more selectivity in the occasion of the boron doped germanium of deposition.According to the disclosure, utilize mask/patterning and the optionally any suitable combination of deposition technique, other such selectivity depositing operation will be apparent.As understanding, the relevant discussion with respect to the similar part of the method can be suitable for equally at this before.
The FinFET configuration
As everyone knows, FinFET is the transistor that the faciola (being commonly referred to as fin) at semi-conducting material is set up on every side.This transistor comprises pattern field effect transistor (FET) node, comprises grid, gate dielectric, source region and drain region.The conducting channel of this device is positioned on the outside of fin, the gate dielectric below.Particularly, electric current flows along the sidewall (perpendicular to the sidewall of substrate surface) of fin and along the top (being parallel to substrate surface) of fin.Because the conducting channel of such configuration is positioned at three different outer surface level zones of fin substantially, so such FinFET design is sometimes referred to as three gate FinFETs.The FinFET of other type configuration also is available, and such as so-called double grid FinFET, wherein conducting channel mainly only is positioned at two sidewalls along the fin top of fin (not along).
Fig. 6 illustrates the according to one embodiment of present invention stereogram of the example three grid architectures of configuration.As can be seen, this tri-gate devices comprises substrate 600, and this substrate 600 has semiconductor bodies or the fin 660 (by a dotted line expression) that passes insulation layer 610,620 extensions from substrate 600.Gate electrode 640 forms to form 3 grid on 3 surfaces of fin 660.Hard mask 690 forms on gate electrode 640.Gate isolation body 670,680 forms at the opposing sidewalls place of gate electrode 640.
The source region is included in the epitaxial region 631 that forms on the interface, source 650 of depression and fin 660 sidewall, and the epitaxial region 631 that forms on the interface, source 650 that the drain region is included in depression and the relative fin 660 sidewall (not shown).Cap rock 641 is deposited on the epitaxial region 631.Note, boron cap rock 641 can be arranged in (tip) zone of depression, but only is arranged in other embodiments (not in sunk area) on source/drain region.In one embodiment, isolated area 610, the 620th utilizes shallow trench isolation that routine techniques forms from (STI) district, and for example etch substrate 600 to be to form groove, then on groove the deposition oxide material to form sti region.Isolated area 610,620 can be made by any suitable dielectric/insulating material, such as SiO 2The before discussion about substrate 102 is suitable for (for example substrate 600 can be silicon substrate, SOI substrate or MULTILAYER SUBSTRATE) equally at this.
As understanding according to the disclosure, the technique of applicable routine and formation technology are made the FinFET transistor arrangement.Yet, according to one example embodiment, for example, the double-decker of epitaxial region 631 and cap rock 641 can utilize the in-situ doped silicon that covered by boron doped germanium (641) or SiGe (631) (having optional germanium between two bilayers and/or the resilient coating of boron gradual change) to realize.Can be used to be converted to boron doped germanium cap rock 641 from the basic horizontal germanium compatible with epitaxial region 631/boron concentration such as illustrated before, such resilient coating.Alternatively, can directly in epitaxial region 631 and/or cap rock 641, realize germanium and/or boron concentration gradient, rather than in the graded buffer layer of centre is arranged, realize.As will be further understood that, notice that the replacement scheme of three grid configuration is the double grid architecture, it is included in the dielectric isolation layer on the fin 660.
Fig. 7 illustrates the drawing of cutting apart in batches, illustrates according to the transistor arrangement of embodiments of the invention configuration and does not configure the contact resistance of the standard crystal tubular construction of cap rock.The transistor arrangement that is associated with the high resistance number that surpasses 0.18 all is that realize in the PMOS source/drain region that utilizes the standard SiGe alloy of Direct precipitation contacting metal on it to raise.The transistor arrangement that is associated with 0.107 and lower resistance number all is similar realization, but according to a plurality of embodiment of the present invention, has added the boron doped germanium cap rock between source/drain region and contacting metal.Table 1 illustrates from having and do not have the as described herein resulting initial data quantile of test of the example structure of boron doped germanium cap rock.
Figure BDA0000349060010000171
Table 1
As can be seen, this example actual improve (reducing) that shows than the contact resistance of approximately three to six times (3X is to 6X) of conventional transistor arrangement in bulk.Unit is ohm/arbitrary area.
Will be apparent according to the disclosure by other improvement that applicable boron doped according to an embodiment of the invention germanium cap rock is realized.Particularly, according to some example embodiments of the present invention, the germanide material of gained and schottky barrier height improve 2 times of R that realize leaking with respect to conventional SiGe source the PMOS device ExtImprove.As everyone knows, schottky barrier height is the potential barrier conduct semiconductor-metal being used for of tying.The size of schottky barrier height has reflected the mismatch in the energy position of Fermi level on the semiconductor-metal interface and semi-conductive majority carrier belt edge.For the p-type semiconductor-metal interface, schottky barrier height is poor between Fermi level and the semi-conductive valence band maximum.
Example system
Fig. 8 illustrates the according to one embodiment of present invention calculation element 1000 of configuration.As seen, calculation element 1000 comprises motherboard 1002.Motherboard 1002 can comprise a plurality of assemblies, includes but not limited to processor 1004 and at least one communication chip 1006, but each entity ground wherein and electrically be coupled to motherboard 1002 or be integrated in the motherboard 1002.As understanding, for example, motherboard 1002 can be any printed circuit board (PCB), also is mounted in the daughter board on the mainboard or installs unique plate of 1000 no matter it is mainboard.Depend on its application, calculation element 1000 can comprise one or more other assemblies, but this one or more other assembly entity ground and electrically be coupled to motherboard 1002.These other assemblies can include but not limited to volatile memory (for example DRAM), nonvolatile memory (for example ROM), graphic process unit, digital signal processor, encryption processor, chipset, antenna, display, touch-screen display, touch screen controller, battery, audio coder-decoder, video coder-decoder, power amplifier, global positioning system (GPS) device, compass, accelerometer, gyroscope, loud speaker, camera and mass storage device are (such as hard disk drive, compact disk (CD), digital versatile disc (DVD) etc.).The arbitrary assembly that comprises in the calculation element 1000 can comprise as described in this article one or more transistor arrangements.In certain embodiments, several functions can be integrated into (for example, attention communication chip 1006 can be the part of processor 1004 or be integrated in the processor 1004) in one or more chips.
Communication chip 1006 realizes that radio communications are to be used for going to calculation element 1000 and from the transfer of data of calculation element 1000.Term " wireless " and derivatives thereof can be used for describing by circuit, equipment, system, method, technology, communication channel that transmits data with modulated electromagnetic radiation via non-solid state medium etc.This term does not mean that the device that is associated does not comprise any wire, but they may not comprise any wire in certain embodiments.Communication chip 1006 can be realized any in multiple wireless standard or the agreement, includes but not limited to Wi-Fi (IEEE802.11 series), WiMAX (IEEE802.16 series), IEEE802.20, Long Term Evolution (LTE), EV-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, bluetooth and derivative thereof and is called 3G, 4G, 5G and higher any other wireless protocols.Calculation element 1000 can comprise a plurality of communication chips 1006.For example, the first communication chip 1006 can be exclusively used in the more radio communication of short distance (such as Wi-Fi and bluetooth), and second communication chip 1006 can be exclusively used in more the radio communication of long-range (such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO and other).
The processor 1004 of calculation element 1000 comprises the integrated circuit that is encapsulated in the processor 1004.In some embodiments of the invention, the integrated circuit lead of this processor comprises that plate carries nonvolatile memory or high-speed cache, and/or otherwise is communicatively coupled to the chip external memory that utilizes one or more transistor arrangements as described herein to realize.Term " processor " for example can represent to process from the electronic data of register and/or memory with any device of this electronic data being converted to other electronic data that can be stored in register and/or the memory or the part of device.
Communication chip 1006 also can comprise the integrated circuit lead that is encapsulated in communication chip 1006 inside.According to some such example embodiment, the integrated circuit lead of this communication chip comprises the one or more devices that utilize one or more transistor arrangements as described herein to realize.As understanding according to the disclosure, attention can directly be integrated into a plurality of standard radio abilities (for example the function of arbitrary chip 1006 is integrated in the processor 1004, rather than has independent communication chip) in the processor 1004.In addition, notice that processor 1004 can be the chipset with such wireless capability.In brief, can use any amount of processor 1004 and/or communication chip 1006.Equally, any one chip or chipset can have the several functions that is integrated in wherein.
In a plurality of implementations, calculation element 1000 can be laptop computer, net book, notebook, smart mobile phone, flat board, personal digital assistant (PDA), super mobile OC, mobile phone, desktop computer, server, printer, scanner, monitor, set-top box, amusement control unit, digital camera, portable music player or digital video recorder.In other implementation, this device 1000 can be deal with data or adopt transistorized any other electronic installation.
A plurality of embodiment will be apparent according to the disclosure, and the feature of describing in this article can make up in any amount of configuration.An exemplary embodiment of the present invention provides transistor device.This device comprises the substrate with channel region and is positioned at the gate electrode of this channel region top.Gate dielectric layer is arranged between gate electrode and the channel region, and p-type and N-shaped source/drain region are arranged in the substrate and contiguous channel region.This device also is included in the boron doped germanium layer at least a portion in p-type source/drain region.This boron doped germanium layer comprises the germanium concentration that surpasses 90 atomic percents and surpasses 1E20cm -3Boron concentration.This device also is included in metal on the boron doped germanium layer-germanide source/drain contact.In such example, this boron doped germanium layer is only on the p-type source/drain region of this device.In another sample situation, this device further comprises interlayer dielectric.In another sample situation, this device further is included in the resilient coating of the gradual change between the resilient coating of the gradual change between in this substrate and p-type and the N-shaped source/drain region at least one and/or at least one and the boron doped germanium layer in p-type and N-shaped source/drain region.In the situation that one such, the resilient coating of the gradual change between at least one in p-type and N-shaped source and the drain region and the boron doped germanium layer have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to the germanium concentration of the high concentration that surpasses 95 atomic percents.In such specific example situation, high concentration has reflected pure germanium.Under another sample situation, the resilient coating of the gradual change between at least one in p-type and the N-shaped source/drain region and the boron doped germanium layer have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to surpassing 1E20cm -3The boron concentration of high concentration.Under another sample situation, boron doped germanium layer has at least one the graded concentration in germanium and the boron.Under another sample situation, p-type and N-shaped source/drain region comprise the SiGe with the germanium concentration from the basic horizontal concentration gradient compatible with substrate to the high concentration that surpasses 50 atomic percents, and boron doped germanium layer has the germanium concentration that surpasses 95 atomic percents.Under another sample situation, p-type and N-shaped source/drain region comprise having from the basic horizontal concentration gradient compatible with substrate to surpassing 1E20cm -3The boron doped SiGe of boron concentration of high concentration.Under another sample situation, p-type and N-shaped source/drain region comprise silicon or SiGe, and this device also is included in the resilient coating between at least one and the boron doped germanium layer in p-type and the N-shaped source/drain region, this resilient coating have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to the germanium concentration of the high concentration that surpasses 50 atomic percents and from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to surpassing 1E20cm -3The boron concentration of high concentration.Under another sample situation, this boron doped germanium layer comprises the germanium concentration that surpasses 98 atomic percents and surpasses 2E20cm -3Boron concentration.Another embodiment provides a kind of electronic installation, and it comprises the printed circuit board (PCB) with one or more integrated circuits, and at least one in wherein one or more integrated circuits comprises such as many-sided defined one or more transistor devices in this paragraph.In the situation that a kind of such, the one or more integrated circuit comprises at least one in communication chip and/or the processor, and in communication chip and/or the processor at least one comprises one or more transistor devices.In the situation that another is such, this device is calculation element (for example mobile phone or smart phone, laptop computer, flat computer etc.).
Another embodiment of the present invention provides transistor device.Under this sample situation, this device comprises the substrate with channel region, the gate electrode that is positioned at this channel region top, and wherein gate electrode layer is arranged between gate electrode and the channel region, and interval body is arranged on the side of gate electrode.This device also is included in the substrate and p-type and the N-shaped source/drain region of contiguous channel region, and each in p-type and the N-shaped source/drain region is included in the tip region that extend the corresponding interval body below in gate dielectric layer and/or the interval body.This device also is included in the boron doped germanium layer at least a portion in p-type source/drain region, and this boron doped germanium layer comprises the germanium concentration that surpasses 95 atomic percents and surpasses 2E20cm -3Boron concentration.This device also is included in metal on the boron doped germanium layer-germanide source/drain contact.This device is a kind of in plane or the FinFET transistor.Under such sample situation, this device also is included in the resilient coating between at least one and the boron doped germanium layer in p-type and the N-shaped source/drain region, wherein this resilient coating have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to the germanium concentration of the high concentration that surpasses 95 atomic percents and from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to surpassing 1E20cm -3The boron concentration of high concentration.Under another sample situation, boron doped germanium layer has at least one the graded concentration in germanium and the boron.Under another sample situation, p-type and N-shaped source/drain region comprise the SiGe with the germanium concentration from the basic horizontal concentration gradient compatible with substrate to the high concentration that surpasses 50 atomic percents, and boron doped germanium layer has the germanium concentration that surpasses 98 atomic percents.Under another sample situation, p-type and N-shaped source/drain region have from the basic horizontal concentration gradient compatible with substrate to surpassing 2E20cm -3The boron concentration of high concentration.Under another sample situation, p-type and N-shaped source/drain region comprise having the fixedly SiGe of germanium concentration, and this device also is included in the resilient coating between p-type and N-shaped source/drain region and the boron doped germanium layer, this resilient coating have from the basic horizontal concentration gradient compatible with N-shaped source/drain region with p-type to the high concentration that surpasses 50 atomic percents germanium concentration and from the basic horizontal concentration gradient compatible with N-shaped source/drain region with p-type to surpassing 2E20cm -3The boron concentration of high concentration, this resilient coating has the thickness less than 100 dusts.Another embodiment provides a kind of calculation element (for example desktop computer or portable computer etc.), it comprises the printed circuit board (PCB) with communication chip and/or processor, and wherein at least one in communication chip and/or the processor comprises the one or more transistor devices such as many-sided definition in this paragraph.
Another embodiment of the present invention is provided for forming the method for transistor device.The method comprises provides the substrate with channel region, and is provided at the gate electrode on this channel region, and wherein gate dielectric layer is arranged between gate electrode and the channel region.The method continues to be provided in the substrate and p-type and the N-shaped source/drain region of contiguous channel region, and is provided at the boron doped germanium layer at least a portion in p-type source/drain region.This boron doped germanium layer comprises the germanium concentration that surpasses 90 atomic percents and surpasses 1E20cm -3Boron concentration.The method continues to be provided at metal on the boron doped germanium layer-germanide source/drain contact.Under some such sample situations, the method further comprise the resilient coating of the gradual change between at least one that is provided in this substrate and p-type and the N-shaped source/drain region and/or be provided at p-type and N-shaped source/drain region at least one and boron doped germanium layer between the resilient coating of gradual change.Under another sample situation, boron doped germanium layer has at least one the graded concentration (can with or can not use with graded buffer layer) in germanium and the boron.For example, can when any electronic installation of making such as calculation element, adopt the method.
For the purpose of illustration and description, provided the foregoing description of example embodiment of the present invention.It is not intended to limit or limits the present invention to disclosed accurately form.According to above-mentioned open, many modifications and variations are possible.Scope of the present invention is not by this detail specifications restriction but is defined by the following claims.

Claims (23)

1. transistor device comprises:
Substrate has channel region;
Gate electrode, described gate electrode are positioned at described channel region top, and wherein gate dielectric layer is arranged between described gate electrode and the described channel region;
P-type and N-shaped source/drain region, described p-type and N-shaped source/drain region are in described substrate and contiguous described channel region;
Boron doped germanium layer, described boron doped germanium layer and comprise the germanium concentration that surpasses 90 atomic percents and surpass 1E20cm at least a portion in p-type source/drain region -3Boron concentration; And
Metal-germanide source/drain contact, described metal-germanide source/drain contact is on described boron doped germanium layer.
2. device as claimed in claim 1 is characterized in that, described device is a kind of in plane or the FinFET transistor.
3. device as claimed in claim 1 or 2 is characterized in that, described boron doped germanium layer is only on the p-type source/drain region of described device.
4. such as each the described device in the above claim, it is characterized in that, also comprise interlayer dielectric.
5. such as each the described device in the above claim, it is characterized in that, also comprise at least one in the following:
The resilient coating of the gradual change between at least one in described substrate and described p-type and N-shaped source/drain region; And
The resilient coating of the gradual change between at least one in described p-type and N-shaped source/drain region and the described boron doped germanium layer.
6. device as claimed in claim 5, it is characterized in that, the resilient coating of the gradual change between at least one in p-type and N-shaped source/drain region and the boron doped germanium layer have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to the germanium concentration of the high concentration that surpasses 95 atomic percents.
7. device as claimed in claim 6 is characterized in that, described high concentration reflection pure germanium.
8. such as each the described device in the claim 5,6 or 7, it is characterized in that, the resilient coating of the gradual change between at least one in p-type and N-shaped source/drain region and the boron doped germanium layer have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to surpassing 1E20cm -3The boron concentration of high concentration.
9. as each the described device in the above claim, it is characterized in that, described boron doped germanium layer has at least one the graded concentration in germanium and the boron.
10. such as each the described device in the above claim, it is characterized in that, p-type and N-shaped source/drain region comprise the SiGe with the germanium concentration from the basic horizontal concentration gradient compatible with substrate to the high concentration that surpasses 50 atomic percents, and boron doped germanium layer has the germanium concentration that surpasses 95 atomic percents.
11. each the described device as in the above claim is characterized in that, described p-type and N-shaped source/drain region comprise having from the basic horizontal concentration gradient compatible with substrate to surpassing 1E20cm -3The boron doped SiGe of boron concentration of high concentration.
12. such as each the described device among the claim 1-4, it is characterized in that, p-type and N-shaped source/drain region comprise silicon or SiGe, and described device also is included in the resilient coating between at least one and the boron doped germanium layer in p-type and the N-shaped source/drain region, described resilient coating have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to the germanium concentration of the high concentration that surpasses 50 atomic percents and from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to surpassing 1E20cm -3The boron concentration of high concentration.
13. each the described device as in the above claim is characterized in that, described boron doped germanium layer comprises the germanium concentration that surpasses 98 atomic percents and surpasses 2E20cm -3Boron concentration.
14. an electronic installation comprises:
Printed circuit board (PCB) has one or more integrated circuits, and at least one in wherein said one or more integrated circuits comprises such as each the one or more transistor devices that limit in the above claim.
15. electronic installation as claimed in claim 14, it is characterized in that, described one or more integrated circuit comprises at least one in communication chip and/or the processor, and in described communication chip and/or the processor at least one comprises described one or more transistor device.
16. such as the described electronic installation of claims 14 or 15, it is characterized in that, described device is calculation element.
17. a transistor device comprises:
Substrate has channel region;
Gate electrode, described gate electrode are positioned at described channel region top, and wherein gate dielectric layer is arranged between described gate electrode and the described channel region, and interval body is arranged on the side of described gate electrode;
P-type and N-shaped source/drain region, described p-type and N-shaped source/drain region are in described substrate and be close to described channel region, and each in described p-type and the N-shaped source/drain region is included in the tip region that extend the corresponding interval body below in gate dielectric layer and/or the interval body;
Boron doped germanium layer, described boron doped germanium layer and comprise the germanium concentration that surpasses 95 atomic percents and surpass 2E20cm at least a portion in p-type source/drain region -3Boron concentration; And
Metal-germanide source/drain contact, described metal-germanide source/drain contact is on described boron doped germanium layer;
Wherein said device is a kind of in plane or the FinFET transistor.
18. device as claimed in claim 17 is characterized in that, described device also comprises:
Resilient coating between in p-type and N-shaped source/drain region at least one and the boron doped germanium layer, wherein said resilient coating have from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to the germanium concentration of the high concentration that surpasses 95 atomic percents and from p-type and N-shaped source/drain region at least one compatible basic horizontal concentration gradient to surpassing 2E20cm -3The boron concentration of high concentration.
19. device as claimed in claim 17 is characterized in that, described boron doped germanium layer has at least one the graded concentration in germanium and the boron.
20. device as claimed in claim 17, it is characterized in that, described p-type and N-shaped source/drain region comprise the SiGe with the germanium concentration from the basic horizontal concentration gradient compatible with substrate to the high concentration that surpasses 50 atomic percents, and boron doped germanium layer has the germanium concentration that surpasses 98 atomic percents.
21. device as claimed in claim 20 is characterized in that, described p-type and N-shaped source/drain region have from the basic horizontal concentration gradient compatible with substrate to surpassing 2E20cm -3The boron concentration of high concentration.
22. device as claimed in claim 17, it is characterized in that, described p-type and N-shaped source/drain region comprise having the fixedly SiGe of germanium concentration, and described device also is included in the resilient coating between p-type and N-shaped source/drain region and the boron doped germanium layer, described resilient coating have from the basic horizontal concentration gradient compatible with N-shaped source/drain region with p-type to the high concentration that surpasses 50 atomic percents germanium concentration and from the basic horizontal concentration gradient compatible with N-shaped source/drain region with p-type to surpassing 2E20cm -3The boron concentration of high concentration, described resilient coating has the thickness less than 100 dusts.
23. a method that forms transistor device comprises:
Substrate is provided, and described substrate has channel region;
Gate electrode is provided, and described gate electrode is positioned at described channel region top, and wherein gate dielectric layer is arranged between described gate electrode and the described channel region; And
P-type and N-shaped source/drain region are provided, and described p-type and N-shaped source/drain region are in described substrate and contiguous described channel region;
Boron doped germanium layer is provided, and described boron doped germanium layer is at least a portion in p-type source/drain region, and described boron doped germanium layer comprises the germanium concentration that surpasses 90 atomic percents and surpasses 1E20cm -3Boron concentration; And
Metal-germanide source/drain contact is provided, and described metal-germanide source/drain contact is on described boron doped germanium layer.
CN201180061440.2A 2010-12-21 2011-09-30 Through the selectivity germanium P contact metallization of groove Active CN103329274B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610088996.5A CN105720091B (en) 2010-12-21 2011-09-30 Across the selective germanium P contact metallization of groove

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/975,278 2010-12-21
US12/975,278 US8901537B2 (en) 2010-12-21 2010-12-21 Transistors with high concentration of boron doped germanium
PCT/US2011/054202 WO2012087404A1 (en) 2010-12-21 2011-09-30 Selective germanium p-contact metalization through trench

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610088996.5A Division CN105720091B (en) 2010-12-21 2011-09-30 Across the selective germanium P contact metallization of groove

Publications (2)

Publication Number Publication Date
CN103329274A true CN103329274A (en) 2013-09-25
CN103329274B CN103329274B (en) 2016-03-23

Family

ID=46233263

Family Applications (8)

Application Number Title Priority Date Filing Date
CN201180062116.2A Active CN103270598B (en) 2010-12-21 2011-09-30 The method of transistor device, electronics and formation transistor device
CN201610088996.5A Active CN105720091B (en) 2010-12-21 2011-09-30 Across the selective germanium P contact metallization of groove
CN201180061440.2A Active CN103329274B (en) 2010-12-21 2011-09-30 Through the selectivity germanium P contact metallization of groove
CN201610313170.4A Active CN105826390B (en) 2010-12-21 2011-09-30 Transistor device, electronic apparatus, and method of forming transistor device
CN201180062124.7A Active CN103270599B (en) 2010-12-21 2011-12-07 There is the transistor of high concentration boron doped germanium
CN201610552470.8A Active CN106684148B (en) 2010-12-21 2011-12-07 Transistor with high concentration of boron doped germanium
CN201180062107.3A Active CN103270597B (en) 2010-12-21 2011-12-20 For IV group crystal pipe integrated for PMOS
CN201610340122.4A Active CN105932063B (en) 2010-12-21 2011-12-20 Group IV transistor for PMOS integration

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201180062116.2A Active CN103270598B (en) 2010-12-21 2011-09-30 The method of transistor device, electronics and formation transistor device
CN201610088996.5A Active CN105720091B (en) 2010-12-21 2011-09-30 Across the selective germanium P contact metallization of groove

Family Applications After (5)

Application Number Title Priority Date Filing Date
CN201610313170.4A Active CN105826390B (en) 2010-12-21 2011-09-30 Transistor device, electronic apparatus, and method of forming transistor device
CN201180062124.7A Active CN103270599B (en) 2010-12-21 2011-12-07 There is the transistor of high concentration boron doped germanium
CN201610552470.8A Active CN106684148B (en) 2010-12-21 2011-12-07 Transistor with high concentration of boron doped germanium
CN201180062107.3A Active CN103270597B (en) 2010-12-21 2011-12-20 For IV group crystal pipe integrated for PMOS
CN201610340122.4A Active CN105932063B (en) 2010-12-21 2011-12-20 Group IV transistor for PMOS integration

Country Status (9)

Country Link
US (16) US8901537B2 (en)
EP (9) EP2656389B1 (en)
JP (7) JP5732142B2 (en)
KR (12) KR20130088179A (en)
CN (8) CN103270598B (en)
PL (1) PL3361512T3 (en)
SG (4) SG190998A1 (en)
TW (4) TWI544630B (en)
WO (4) WO2012087404A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106531804A (en) * 2015-09-10 2017-03-22 台湾积体电路制造股份有限公司 FINFET device and method for fabricating the same

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
CN103635241B (en) 2011-04-15 2017-04-19 埃迪亚贝拉科技有限公司 Process for separation and purification of sodium sulfide
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US20120309171A1 (en) * 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
MX358123B (en) 2011-10-24 2018-08-06 Aditya Birla Nuvo Ltd An improved process for the production of carbon black.
CN107068753B (en) * 2011-12-19 2020-09-04 英特尔公司 Pulsed laser annealing process for transistors by partial melting of elevated source-drain
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9410042B2 (en) 2012-03-30 2016-08-09 Aditya Birla Science And Technology Company Ltd. Process for obtaining carbon black powder with reduced sulfur content
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
EP2696369B1 (en) * 2012-08-10 2021-01-13 IMEC vzw Methods for manufacturing a field-effect semiconductor device
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
CN103811349A (en) * 2012-11-06 2014-05-21 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR101983633B1 (en) 2012-11-30 2019-05-29 삼성전자 주식회사 Semiconductor device and fabricated method thereof
CN103854981A (en) 2012-11-30 2014-06-11 中国科学院微电子研究所 Fin structure manufacturing method
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
EP2941532A4 (en) 2013-01-04 2017-04-19 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
US8802512B2 (en) 2013-01-11 2014-08-12 International Business Machines Corporation Overlap capacitance nanowire
US8889540B2 (en) * 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8940640B2 (en) 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
US9117842B2 (en) 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
JP2014222723A (en) * 2013-05-14 2014-11-27 独立行政法人産業技術総合研究所 Field-effect semiconductor device and method of manufacturing the same
US9034741B2 (en) 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
KR20150012837A (en) * 2013-07-26 2015-02-04 에스케이하이닉스 주식회사 3 Dimension Semiconductor Device Having a lateral channel And Method of Manufacturing The same
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) * 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
EP3748684B1 (en) * 2013-09-27 2022-06-08 INTEL Corporation Semiconductor device having group iii-v material active region and graded gate dielectric
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
EP3832710B1 (en) * 2013-09-27 2024-01-10 INTEL Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
CN104681535B (en) * 2013-11-29 2017-09-29 台湾积体电路制造股份有限公司 The contact piece structure of semiconductor devices
WO2015094244A1 (en) * 2013-12-18 2015-06-25 Intel Corporation Techniques for improving gate control over transistor channel by increasing effective gate length
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
WO2015099692A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Tensile source drain iii-v transistors for mobility improved n-mos
CN106104771A (en) 2013-12-27 2016-11-09 英特尔公司 The most advanced and sophisticated of diffusion extends transistor
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
WO2015127363A1 (en) 2014-02-21 2015-08-27 Samsung Electronics, Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
KR102167519B1 (en) * 2014-03-21 2020-10-19 인텔 코포레이션 Techniques for integration of ge-rich p-mos source/drain contacts
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9324867B2 (en) 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
WO2015191082A1 (en) * 2014-06-13 2015-12-17 Intel Corporation Surface encapsulation for wafer bonding
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
CN106463533B (en) 2014-06-20 2021-09-28 英特尔公司 Monolithic integration of high voltage transistors and low voltage non-planar transistors
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406782B2 (en) * 2014-06-27 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
KR102155327B1 (en) 2014-07-07 2020-09-11 삼성전자주식회사 Field effect transistor and methods for manufacturing the same
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102216511B1 (en) 2014-07-22 2021-02-18 삼성전자주식회사 Semiconductor device
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
KR102408283B1 (en) * 2014-07-25 2022-06-14 인텔 코포레이션 Semiconductor devices and computing devices with tungsten alloys
KR102219295B1 (en) * 2014-07-25 2021-02-23 삼성전자 주식회사 Semiconductor device and method for manufacturing the same
US9202919B1 (en) * 2014-07-31 2015-12-01 Stmicroelectronics, Inc. FinFETs and techniques for controlling source and drain junction profiles in finFETs
KR102264542B1 (en) * 2014-08-04 2021-06-14 삼성전자주식회사 Methods of manufacturing semiconductor devices
JP6376574B2 (en) * 2014-08-05 2018-08-22 インテル・コーポレーション Non-planar transistors, systems, and methods of manufacturing non-planar transistors including microelectronic device isolation produced by the formation of catalytic oxides
US9679990B2 (en) * 2014-08-08 2017-06-13 Globalfoundries Inc. Semiconductor structure(s) with extended source/drain channel interfaces and methods of fabrication
CN105470293B (en) * 2014-08-28 2020-06-02 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
KR102204259B1 (en) 2014-09-09 2021-01-18 인텔 코포레이션 Multi-gate high electron mobility transistors and methods of fabrication
KR102259080B1 (en) 2014-09-23 2021-06-03 삼성전자주식회사 Semiconductor device and method of manufacturing the same
KR102230198B1 (en) 2014-09-23 2021-03-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
CN105448737A (en) 2014-09-30 2016-03-30 联华电子股份有限公司 Etching process for forming silicon grooves, and fin-type field effect transistor
KR102255174B1 (en) 2014-10-10 2021-05-24 삼성전자주식회사 Semiconductor device having active region and method of forming the same
US9978854B2 (en) 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
TWI696290B (en) * 2014-11-26 2020-06-11 南韓商三星電子股份有限公司 Semiconductor device, electronic device and electronic device terminal structure
WO2016099570A1 (en) * 2014-12-19 2016-06-23 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
US11205707B2 (en) 2014-12-22 2021-12-21 Intel Corporation Optimizing gate profile for performance and gate fill
US9508602B2 (en) * 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9397214B1 (en) * 2015-02-16 2016-07-19 United Microelectronics Corp. Semiconductor device
US9397161B1 (en) 2015-02-26 2016-07-19 International Business Machines Corporation Reduced current leakage semiconductor device
KR20160111220A (en) * 2015-03-16 2016-09-26 엘지전자 주식회사 Electric product and method for updating firmware of the same and Network system
CN106158747B (en) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
KR102432268B1 (en) 2015-04-14 2022-08-12 삼성전자주식회사 A semiconductor device and method of manufacturing the semiconductor device
DE102015106397B4 (en) * 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and systems for dopant activation using microwave irradiation
CN104821336B (en) * 2015-04-20 2017-12-12 上海华力微电子有限公司 For improving the method and system of device surface uniformity using conformal packed layer
KR102400375B1 (en) 2015-04-30 2022-05-20 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US10504721B2 (en) 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102395071B1 (en) 2015-05-14 2022-05-10 삼성전자주식회사 Semiconductor devices including field effect transistors
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9449885B1 (en) 2015-06-19 2016-09-20 International Business Machines Corporation High germanium content FinFET devices having the same contact material for nFET and pFET devices
KR102386525B1 (en) * 2015-06-19 2022-04-14 인텔 코포레이션 Carbon-based interface to epitaxially grown source/drain transistor regions
WO2016204786A1 (en) 2015-06-19 2016-12-22 Intel Corporation Resistance reduction in transistors having epitaxially grown source/drain regions
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9484417B1 (en) * 2015-07-22 2016-11-01 Globalfoundries Inc. Methods of forming doped transition regions of transistor structures
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
CN106548940A (en) * 2015-09-16 2017-03-29 联华电子股份有限公司 Semiconductor element and preparation method thereof
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9484412B1 (en) 2015-09-23 2016-11-01 International Business Machines Corporation Strained silicon—germanium integrated circuit with inversion capacitance enhancement and method to fabricate same
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
CN106711214B (en) * 2015-11-12 2023-08-22 蓝枪半导体有限责任公司 Grid full-cladding nanowire field effect transistor device
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10586866B2 (en) 2015-12-09 2020-03-10 Intel Corporation Stressors for compressively strained GaN p-channel
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
DE102016119024B4 (en) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Method of fabricating a FinFET device with flat top epitaxial elements
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
KR102532202B1 (en) 2016-01-22 2023-05-12 삼성전자 주식회사 Semiconductor devices
US9634142B1 (en) 2016-03-22 2017-04-25 Globalfoundries Inc. Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
CN107369644B (en) 2016-05-12 2021-11-02 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
JP6606020B2 (en) * 2016-06-15 2019-11-13 株式会社東芝 Semiconductor device, inverter circuit, drive device, vehicle, and elevator
US10903364B2 (en) * 2016-07-02 2021-01-26 Intel Corporation Semiconductor device with released source and drain
US10128187B2 (en) * 2016-07-11 2018-11-13 Globalfoundries Inc. Integrated circuit structure having gate contact and method of forming same
CN107785313B (en) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102259187B1 (en) * 2016-09-15 2021-06-01 어플라이드 머티어리얼스, 인코포레이티드 Methods for contact integration and selective silicide formation
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
WO2018052478A2 (en) * 2016-09-19 2018-03-22 Applied Materials, Inc. Method of doped germanium formation
JP6724685B2 (en) * 2016-09-23 2020-07-15 住友電気工業株式会社 Semiconductor device
US10326019B2 (en) * 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
US11081570B2 (en) 2016-09-28 2021-08-03 Intel Corporation Transistors with lattice matched gate structure
US11004954B2 (en) 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
CN109075204B (en) * 2016-10-12 2021-11-05 京东方科技集团股份有限公司 Thin film transistor, array substrate, display panel and display device having the same, and method of manufacturing the same
EP3312883B1 (en) * 2016-10-19 2021-12-29 IMEC vzw Semiconductor devices with increased charge carrier concentration
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
EP3552228A4 (en) 2016-12-12 2020-08-05 Applied Materials, Inc. Methods for silicide formation
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10062692B1 (en) 2017-02-27 2018-08-28 Globalfoundries Inc. Field effect transistors with reduced parasitic resistances and method
US11605556B2 (en) 2017-03-30 2023-03-14 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
WO2018182749A1 (en) 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
CN108962754B (en) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing the same
US10043893B1 (en) 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102391512B1 (en) 2017-08-17 2022-04-27 삼성전자주식회사 Semiconductor device
CN109427582B (en) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102379707B1 (en) * 2017-09-13 2022-03-28 삼성전자주식회사 semiconductor device
US10319855B2 (en) * 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
CN109599360A (en) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN109671673B (en) * 2017-10-13 2021-02-02 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10804270B2 (en) 2017-10-18 2020-10-13 International Business Machines Corporation Contact formation through low-tempearature epitaxial deposition in semiconductor devices
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
KR102421763B1 (en) * 2017-11-08 2022-07-18 삼성전자주식회사 Semiconductor device and Method of fabricating the same
JP6896305B2 (en) * 2017-11-09 2021-06-30 国立研究開発法人産業技術総合研究所 Semiconductor devices and their manufacturing methods
CN109817713B (en) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
CN109817525B (en) * 2017-11-22 2022-03-22 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10164048B1 (en) * 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10777663B2 (en) * 2017-11-30 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
CN108155101A (en) * 2017-12-22 2018-06-12 中国科学院微电子研究所 A kind of stacking nano wire and its manufacturing method
US11522059B2 (en) * 2018-02-20 2022-12-06 Intel Corporation Metallic sealants in transistor arrangements
KR102056312B1 (en) 2018-03-21 2019-12-16 한국과학기술원 Ge Semiconductor Device Having High-k Insulating Film and the Fabrication Method Thereof
KR102543178B1 (en) * 2018-03-23 2023-06-14 삼성전자주식회사 Semiconductgor deive comprising fin field effect transistor and method of manufacturing the same
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
JP7282485B2 (en) 2018-05-14 2023-05-29 キオクシア株式会社 Semiconductor device and its manufacturing method
US11355504B2 (en) * 2018-05-31 2022-06-07 Intel Corporation Anti-ferroelectric capacitor memory cell
US10483396B1 (en) * 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
KR102574323B1 (en) * 2018-07-23 2023-09-05 삼성전자주식회사 Semiconductor devices
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
TWI827644B (en) * 2018-08-28 2024-01-01 日商索尼半導體解決方案公司 Semiconductor device and manufacturing method thereof
CN110875237B (en) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10746542B2 (en) 2018-09-25 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Line edge roughness analysis using atomic force microscopy
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
EP3716314A1 (en) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Contact on germanium
CN110634866B (en) * 2019-09-05 2021-09-14 中国科学院微电子研究所 CMOS transistor, preparation method of CMOS transistor and electronic equipment
KR20210032845A (en) 2019-09-17 2021-03-25 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
US11316045B2 (en) * 2019-11-22 2022-04-26 Globalfoundries U.S. Inc. Vertical field effect transistor (FET) with source and drain structures
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11695055B2 (en) * 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
CN112234094B (en) * 2020-09-29 2022-07-29 矽力杰半导体技术(杭州)有限公司 Metal oxide semiconductor device and method for manufacturing the same
CN112466932A (en) * 2020-11-30 2021-03-09 泉芯集成电路制造(济南)有限公司 Transistor epitaxial structure and preparation method thereof
US20220246756A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220416043A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Reduced contact resistivity with pmos germanium and silicon doped with boron gate all around transistors
CN113471213B (en) * 2021-07-02 2022-11-08 上海集成电路材料研究院有限公司 Multi-gate MOS device based on embedded cavity SOI substrate and preparation method thereof
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
CN114334830B (en) * 2021-12-31 2023-09-29 无锡物联网创新中心有限公司 Schottky junction source-drain CMOS finFET and manufacturing method thereof
CN115148799B (en) * 2022-08-30 2022-11-15 苏州华太电子技术股份有限公司 RF LDMOS device and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060199622A1 (en) * 2005-03-04 2006-09-07 Riyaz Bhanji Digital wireless narrow band radio
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080179752A1 (en) * 2007-01-26 2008-07-31 Takashi Yamauchi Method of making semiconductor device and semiconductor device
CN101622690A (en) * 2007-03-28 2010-01-06 英特尔公司 Semiconductor device having self-aligned epitaxial source and drain extensions

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (en) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and manufacture thereof
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
JP3061406B2 (en) 1990-09-28 2000-07-10 株式会社東芝 Semiconductor device
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5296386A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
US5296387A (en) 1991-03-06 1994-03-22 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistor structures
JPH05183160A (en) * 1991-12-26 1993-07-23 Toshiba Corp Semiconductor device and fabrication thereof
US5281552A (en) 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5633177A (en) * 1993-11-08 1997-05-27 Advanced Micro Devices, Inc. Method for producing a semiconductor gate conductor having an impurity migration barrier
JPH07169958A (en) * 1993-12-16 1995-07-04 Nec Corp Semiconductor device and its manufacture
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH10261792A (en) 1997-03-18 1998-09-29 Hitachi Ltd Semiconductor device and its manufacture
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US7222228B1 (en) 2000-06-14 2007-05-22 Netwolves Corporation System and method for secure management or remote systems
KR101050377B1 (en) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7786021B2 (en) 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100506460B1 (en) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 A transistor of a semiconductor device and A method for forming the same
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP2005183160A (en) 2003-12-19 2005-07-07 Jst Mfg Co Ltd Female contact
US7129139B2 (en) 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7226842B2 (en) 2004-02-17 2007-06-05 Intel Corporation Fabricating strained channel epitaxial source/drain transistors
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP4375619B2 (en) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR100591157B1 (en) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 Method of manufacturing semiconductor device
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
JP4568286B2 (en) * 2004-10-04 2010-10-27 パナソニック株式会社 Vertical field effect transistor and manufacturing method thereof
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060156080A1 (en) 2004-12-10 2006-07-13 Texas Instruments Incorporated Method for the thermal testing of a thermal path to an integrated circuit
JP4369359B2 (en) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 Semiconductor device
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
DE102005004411B4 (en) 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating an in-situ formed halo region in a transistor element
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
KR100733419B1 (en) * 2005-04-30 2007-06-29 주식회사 하이닉스반도체 Internal voltage generator
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
FR2886761B1 (en) * 2005-06-06 2008-05-02 Commissariat Energie Atomique A CHANNEL ELECTRODE-CONTINUOUS CHANNEL-BASED CHANNEL TRANSISTOR AND METHOD FOR MANUFACTURING SUCH TRANSISTOR
JP4984665B2 (en) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
GB0518013D0 (en) 2005-09-03 2005-10-12 Ibm Method,apparatus and computer program product for sharing resources
US7288828B2 (en) 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
DE102005051994B4 (en) * 2005-10-31 2011-12-01 Globalfoundries Inc. Deformation technique in silicon-based transistors using embedded semiconductor layers with atoms of large covalent radius
WO2007053381A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP5091403B2 (en) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP4536001B2 (en) 2005-12-20 2010-09-01 日本板硝子環境アメニティ株式会社 Translucent wall
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
KR20080096791A (en) * 2006-01-25 2008-11-03 엔엑스피 비 브이 Tunneling transistor with barrier
US7982252B2 (en) * 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
JP2007258485A (en) * 2006-03-23 2007-10-04 Toshiba Corp Semiconductor device and its manufacturing method
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4960007B2 (en) * 2006-04-26 2012-06-27 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
JP4345774B2 (en) * 2006-04-26 2009-10-14 ソニー株式会社 Manufacturing method of semiconductor device
JP5130648B2 (en) 2006-04-27 2013-01-30 ソニー株式会社 Semiconductor device manufacturing method and semiconductor device
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7491643B2 (en) 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7678631B2 (en) 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
GB0612093D0 (en) 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
JP5076388B2 (en) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7605407B2 (en) 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7716001B2 (en) 2006-11-15 2010-05-11 Qualcomm Incorporated Delay line calibration
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5141029B2 (en) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP2008218725A (en) 2007-03-05 2008-09-18 Renesas Technology Corp Semiconductor device and manufacturing method thereof
JP2008235568A (en) 2007-03-20 2008-10-02 Toshiba Corp Semiconductor device and its manufacturing method
US20080272408A1 (en) * 2007-05-01 2008-11-06 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20100272859A1 (en) 2007-08-28 2010-10-28 Pepsico, Inc. Delivery and controlled release of encapsulated water-insoluble flavorants
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5018473B2 (en) 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP5317483B2 (en) 2008-01-29 2013-10-16 株式会社東芝 Semiconductor device
JPWO2009101944A1 (en) * 2008-02-14 2011-06-09 シャープ株式会社 SEMICONDUCTOR ELEMENT, METHOD FOR MANUFACTURING MICROSTRUCTURE-LOCATION SUBSTRATE AND DISPLAY ELEMENT
JP2009200090A (en) 2008-02-19 2009-09-03 Panasonic Corp Semiconductor device and manufacturing method thereof
US8405127B2 (en) 2008-02-20 2013-03-26 International Business Machines Corporation Method and apparatus for fabricating a heterojunction bipolar transistor
US20090242989A1 (en) 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7663192B2 (en) 2008-06-30 2010-02-16 Intel Corporation CMOS device and method of manufacturing same
DE102008035816B4 (en) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Increase performance in PMOS and NMOS transistors by using an embedded deformed semiconductor material
US20100109044A1 (en) 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (en) * 2008-11-20 2015-10-16 삼성전자주식회사 Semiconductor device and method of forming the same
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
JP2010171337A (en) 2009-01-26 2010-08-05 Toshiba Corp Field effect transistor
KR101552938B1 (en) 2009-02-02 2015-09-14 삼성전자주식회사 Method of fabricating semiconductor device having stress creating layer
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
TWI452008B (en) * 2010-03-03 2014-09-11 Huang Chung Cheng Method for fabricating nano-structure and application thereof to three-dimensional structure
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8354694B2 (en) 2010-08-13 2013-01-15 International Business Machines Corporation CMOS transistors with stressed high mobility channels
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
DE102010064280B4 (en) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method of reducing the defect rates in PFET transistors comprising a Si / GE semiconductor material by providing a gradual Ge concentration, and corresponding PFET transistors
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US20140231914A1 (en) * 2013-02-19 2014-08-21 Applied Materials, Inc. Fin field effect transistor fabricated with hollow replacement channel
US9571748B1 (en) 2015-10-27 2017-02-14 International Business Machines Corporation Camera flash light direction management

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060199622A1 (en) * 2005-03-04 2006-09-07 Riyaz Bhanji Digital wireless narrow band radio
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20080179752A1 (en) * 2007-01-26 2008-07-31 Takashi Yamauchi Method of making semiconductor device and semiconductor device
CN101622690A (en) * 2007-03-28 2010-01-06 英特尔公司 Semiconductor device having self-aligned epitaxial source and drain extensions

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106531804A (en) * 2015-09-10 2017-03-22 台湾积体电路制造股份有限公司 FINFET device and method for fabricating the same
US11430878B2 (en) 2015-09-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating semiconductor device
US11948999B2 (en) 2015-09-10 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
JP2020074389A (en) 2020-05-14
PL3361512T3 (en) 2021-04-19
JP2014508396A (en) 2014-04-03
US20190259835A1 (en) 2019-08-22
KR20130111592A (en) 2013-10-10
CN106684148B (en) 2020-12-01
KR20130111595A (en) 2013-10-10
EP3726588A1 (en) 2020-10-21
WO2012087581A3 (en) 2012-09-07
CN105826390A (en) 2016-08-03
US20200144362A1 (en) 2020-05-07
EP2656393A1 (en) 2013-10-30
KR20170116200A (en) 2017-10-18
EP2656391A2 (en) 2013-10-30
JP5714722B2 (en) 2015-05-07
KR20180005251A (en) 2018-01-15
EP2656389A1 (en) 2013-10-30
CN103270597A (en) 2013-08-28
SG191004A1 (en) 2013-07-31
KR20150058546A (en) 2015-05-28
TWI756520B (en) 2022-03-01
EP2656389B1 (en) 2019-07-10
TWI643342B (en) 2018-12-01
TW201242022A (en) 2012-10-16
KR20170000404A (en) 2017-01-02
US11251281B2 (en) 2022-02-15
KR102123036B1 (en) 2020-06-15
TW201946283A (en) 2019-12-01
EP2656392A4 (en) 2014-09-10
US20170221724A1 (en) 2017-08-03
EP2656392A2 (en) 2013-10-30
US20200127091A1 (en) 2020-04-23
US20200287011A1 (en) 2020-09-10
CN106684148A (en) 2017-05-17
WO2012088097A3 (en) 2012-10-26
EP3588579A1 (en) 2020-01-01
US9627384B2 (en) 2017-04-18
US20210005712A1 (en) 2021-01-07
US10090383B2 (en) 2018-10-02
US9722023B2 (en) 2017-08-01
US9349810B2 (en) 2016-05-24
EP3582265A1 (en) 2019-12-18
US20220271125A1 (en) 2022-08-25
CN105932063B (en) 2019-12-17
US10553680B2 (en) 2020-02-04
US10879353B2 (en) 2020-12-29
KR101489611B1 (en) 2015-02-04
CN105720091A (en) 2016-06-29
KR101784226B1 (en) 2017-10-11
US20180342582A1 (en) 2018-11-29
KR20130088179A (en) 2013-08-07
KR20200070434A (en) 2020-06-17
CN103270598A (en) 2013-08-28
CN105826390B (en) 2021-05-25
EP2656391B1 (en) 2018-08-22
US10304927B2 (en) 2019-05-28
CN103329274B (en) 2016-03-23
US11508813B2 (en) 2022-11-22
SG191003A1 (en) 2013-07-31
JP5732142B2 (en) 2015-06-10
JP6329294B2 (en) 2018-05-23
CN105720091B (en) 2019-06-28
TW201701481A (en) 2017-01-01
WO2012087403A1 (en) 2012-06-28
US9117791B2 (en) 2015-08-25
EP2656391A4 (en) 2014-09-10
WO2012087404A1 (en) 2012-06-28
JP5714721B2 (en) 2015-05-07
KR101691115B1 (en) 2016-12-30
KR102168550B1 (en) 2020-10-21
CN103270599B (en) 2016-08-03
JP2018113484A (en) 2018-07-19
WO2012088097A2 (en) 2012-06-28
CN103270599A (en) 2013-08-28
JP2014507792A (en) 2014-03-27
KR101949894B1 (en) 2019-02-20
CN105932063A (en) 2016-09-07
JP2014501452A (en) 2014-01-20
US20120153387A1 (en) 2012-06-21
TWI544630B (en) 2016-08-01
US20130264639A1 (en) 2013-10-10
KR20200018740A (en) 2020-02-19
CN103270597B (en) 2016-06-22
SG191005A1 (en) 2013-07-31
KR20190018755A (en) 2019-02-25
TWI690084B (en) 2020-04-01
KR102079356B1 (en) 2020-02-19
US10811496B2 (en) 2020-10-20
KR20150058552A (en) 2015-05-28
EP3312886A1 (en) 2018-04-25
JP2017135399A (en) 2017-08-03
US20150060945A1 (en) 2015-03-05
US20170373147A1 (en) 2017-12-28
WO2012087581A2 (en) 2012-06-28
EP2656393A4 (en) 2014-09-10
CN103270598B (en) 2016-06-15
KR20130088188A (en) 2013-08-07
US9437691B2 (en) 2016-09-06
US20160372547A1 (en) 2016-12-22
EP3361512A1 (en) 2018-08-15
US20150333180A1 (en) 2015-11-19
KR101812389B1 (en) 2017-12-26
US20160322359A1 (en) 2016-11-03
EP2656389A4 (en) 2014-09-10
US11387320B2 (en) 2022-07-12
SG190998A1 (en) 2013-07-31
KR101978085B1 (en) 2019-05-13
EP3361512B1 (en) 2020-09-02
EP2656393B1 (en) 2020-01-01
TW201824567A (en) 2018-07-01
JP2014504453A (en) 2014-02-20
US8901537B2 (en) 2014-12-02
KR101510029B1 (en) 2015-04-08
US20130240989A1 (en) 2013-09-19

Similar Documents

Publication Publication Date Title
CN103329274B (en) Through the selectivity germanium P contact metallization of groove
US11476344B2 (en) Contact resistance reduction employing germanium overlayer pre-contact metalization
KR101891458B1 (en) Semiconductor device having iii-v semiconductor material layer
TWI556439B (en) Column iv transistors for pmos integration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1186573

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1186573

Country of ref document: HK