JP2010272859A - 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ) - Google Patents

電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ) Download PDF

Info

Publication number
JP2010272859A
JP2010272859A JP2010108180A JP2010108180A JP2010272859A JP 2010272859 A JP2010272859 A JP 2010272859A JP 2010108180 A JP2010108180 A JP 2010108180A JP 2010108180 A JP2010108180 A JP 2010108180A JP 2010272859 A JP2010272859 A JP 2010272859A
Authority
JP
Japan
Prior art keywords
layer
type dopant
layers
device layers
nanowire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010108180A
Other languages
English (en)
Other versions
JP5607420B2 (ja
Inventor
Josephine B Chang
ジョセフィーヌ・ビー・チャン
Michael A Guillorn
マイケル・エー・ギルローン
Jeffrey W Sleight
ジェフリー・ダブリュー・スライト
Paul Chang
ポール・チャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2010272859A publication Critical patent/JP2010272859A/ja
Application granted granted Critical
Publication of JP5607420B2 publication Critical patent/JP5607420B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/762Nanowire or quantum wire, i.e. axially elongated structure having two dimensions of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】単一ゲート・インバータのナノワイヤ・メッシュ及びその製造方法を提供する。
【解決手段】電界効果トランジスタ(FET)インバータは、スタック内で垂直方向に配置された複数のデバイス層を含み、各デバイス層は、ソース領域、ドレイン領域、及びソース領域とドレイン領域を接続する複数のナノワイヤ・チャネル110を有し、ここで1つ又は複数のデバイス層のソース及びドレイン領域はn型ドーパント、又はp型ドーパントでドープされる。FETインバータはさらに、複数のナノワイヤ・チャネルを取り囲む共通のゲート150と、n型ドーパントでドープされた1つ又は複数のデバイス層のソース領域への第1のコンタクト156と、p型ドーパントでドープされた1つ又は複数のデバイス層のソース領域への第2のコンタクト158と、デバイス層の各々のドレイン領域への共通の第3のコンタクト152とを含む。
【選択図】図16

Description

本発明はナノワイヤ・ベースのデバイスに関し、より具体的には電界効果トランジスタ(FET)論理インバータに関する。
基本的な形態において、電界効果トランジスタ(FET)はソース領域、ドレイン領域、及びソース領域とドレイン領域の間のチャネルを含む。ゲートはソース領域とドレイン領域の間のチャネルを通る電子の流れを制御する。
FETは、様々なタイプの相補型金属酸化膜半導体(CMOS)回路の基本構成要素として用いられる。例えば、論理ゲート・インバータは多くの集積回路設計の共通のコンポーネントであり、n型チャネル電界効果トランジスタ(NFET)及びp型チャネル電界効果トランジスタ(PFET)デバイスの1つ又は複数の相補的対を用いて形成することができる。典型的なNFET/PFETインバータは、NFETのソース領域がPFETのドレインに接続され、デバイスのゲートが互いに接続されるように構成される。
この標準的なインバータのレイアウトは2つのFETのサイズの占有領域(フットプリント)を有する。殆どの回路設計におけるインバータの普及のゆえに、インバータのレイアウト占有領域を縮小することで、殆どの回路設計を実装するのに必要なレイアウト面積を著しく縮小することができる。
従って、縮小可能なFETインバータ及びその製造技術が望まれる。
本発明はナノワイヤ・ベースのデバイスを提供する。本発明の一態様において電界効果トランジスタ(FET)インバータを提供する。このFETインバータはスタック(積層)内で垂直方向に配置した複数のデバイス層を含み、各デバイス層はソース領域、ドレイン領域、及びソース領域とドレイン領域を接続する複数のナノワイヤ・チャネルを有し、ここで1つ又は複数のデバイス層のソース及びドレイン領域はn型ドーパントでドープされ、1つ又は複数の他のデバイス層のソース及びドレイン領域はp型ドーパントでドープされ、FETインバータはさらに、ナノワイヤ・チャネルを取り囲む、デバイス層の各々に共通のゲートと、n型ドーパントでドープされた1つ又は複数のデバイス層のソース領域への第1のコンタクトと、p型ドーパントでドープされた1つ又は複数のデバイス層のソース領域への第2のコンタクトと、各デバイス層のドレイン領域への共通の第3のコンタクトとを含む。
本発明の別の態様において、FETインバータを製造する方法を提供する。この方法は以下のステップを含む。スタック内で垂直方向に配置した複数のデバイス層を形成し、ここで各デバイス層はソース領域、ドレイン領域、及びソース領域とドレイン領域を接続する複数のナノワイヤ・チャネルを有する。1つ又は複数のデバイス層のソース及びドレイン領域にn型ドーパントを導入する。1つ又は複数のデバイス層のソース及びドレイン領域にp型ドーパントを導入する。ナノワイヤ・チャネルを取り囲む、デバイス層の各々に共通のゲートを形成する。n型ドーパントでドープされた1つ又は複数のデバイス層のソース領域への第1のコンタクトを形成する。p型ドーパントでドープされた1つ又は複数のデバイス層のソース領域への第2のコンタクトを形成する。各々のデバイス層のドレイン領域への共通の第3のコンタクトを形成する。
本発明、並びに本発明の更に別の特徴及び利点のより完全な理解は、以下の詳細な説明及び図面を参照することにより得られるであろう。
本発明の一実施形態による、電界効果トランジスタ(FET)インバータの製造の出発構造体を示す断面図である。 本発明の一実施形態による、複数のナノワイヤ・ハードマスクを示す断面図である。 本発明の一実施形態による、インバータのアクティブ領域の上に形成されたダミー・ゲートを示す断面図である。 本発明の一実施形態による、ダミー・ゲートの周りで切り整えられたナノワイヤ・ハードマスクを示す断面図である。 本発明の一実施形態による、ダミー・ゲートの周りに堆積させたフィラー層を示す断面図である。 本発明の一実施形態による、ダミー・ゲートが除去されてフィラー層内にトレンチが形成された状態を示す断面図である。 本発明の一実施形態による、フィン・スタックを示す断面図である。 本発明の一実施形態による、ナノワイヤ・ハードマスクの露出窒化物部分が除去された状態を示す断面図である。 本発明の一実施形態による、トレンチ内に形成されたスペーサを示す断面図である。 本発明の一実施形態による、フィン・スタックから犠牲層が除去された状態を示す断面図である。 本発明の一実施形態による、FETインバータ内に形成された別個のn型ドープ層及びp型ドープ層を示す断面図である。 本発明の一実施形態による、トレンチ内に形成された置換ゲートを示す断面図である。 本発明の一実施形態による、フィラー層が除去された状態を示す断面図である。 本発明の一実施形態による、ドレイン領域コンタクトを示す断面図である。 本発明の一実施形態による、ソース領域絶縁を示す断面図である。 本発明の一実施形態による、ソース領域コンタクトを示す断面図である。
図1乃至図16は、ゲート・オールアラウンド(GAA)ナノワイヤ・ベースの電界効果トランジスタ(FET)インバータを製造するための例示的な方法を示す図である。以下で詳細に説明するように、本発明の技術はダマシン・ゲート・プロセスを用いて、ゲートに自己整合するソース/ドレイン領域を構築するものである。
図1は、FETインバータ製造プロセスの出発構造体100を示す断面図である。構造体100を形成するために、浅いトレンチ分離(STI)を用いてシリコン・オン・インシュレータ(SOI)ウェハ内のアクティブ領域を画定する。即ち、ウェハ102は埋め込み酸化物(BOX)層106上のSOI層104を有するように準備される。SOIウェハはまた一般に、この図には示さない基板のような他の層を含む。BOX層106は、それに限定されないが、二酸化シリコン(SiO)のような誘電体材料を含む、任意の適切な絶縁体材料を含むことができる。図1は単一のアクティブ領域の形成を示すが、複数のアクティブ領域を単一のウェハ内に形成できることを理解されたい。
次に、シリコン(Si)層と犠牲層の交互配列を、SOI層104を配列内の第1のSi層として、ウェハ上に積み重ねて形成する。具体的には、SOI層104から出発して上方に進み、犠牲層107をSOI層104の上にエピタキシャル成長させる。
犠牲層107は、シリコン・ゲルマニウム(SiGe)のような、Siに対して選択的にエッチングすることができる結晶材料を含む。犠牲層107は高濃度のドーパントを含み、このドーパントはSi層に導入される(例えば、プロセス中で後に実行されるアニールによる)ときn型又はp型Siを生ずる。例えば、リン(P)又はヒ素(As)は典型的なn型ドーパントであり、ホウ素(B)は典型的なp型ドーパントである。約1×1019原子数毎立法センチメートル(atoms/cm3)から約1×1022atoms/cm3までのドーパント濃度を用いることができる。ドーピングはイン・サイチュ(即ち、犠牲層107の成長中に組み込まれる)で、又はエックス・サイチュ(即ち、犠牲層107の成長後にイオン注入のような技術を用いて)で実行することができるが、同じ層内に隣接するNFETとPFETを形成するように隣接するn型ドープ領域とp型ドープ領域が必要な場合にはエックス・サイチュのドーピングが好ましい。
隋意の非ドープ結晶Si層108を犠牲層107の上にエピタキシャル成長させることができる。さらに、隋意に、1つ又は複数の付加的な犠牲層及び/又は結晶Si層をSi層108の上に交互型にエピタキシャル成長させることができ、この場合、付加的な犠牲層の特性は犠牲層107と同じになり、付加的な結晶Si層の特性は結晶Si層108と同じになる。例示の目的及び描画の容易さのために、1つの付加的な犠牲層109及び1つの付加的なSi層110をSi層108の上に示す。しかし、これらの層は随意的なものであり、本明細書においてはこれらの層が存在しない場合の実施形態を想定する。さらに、これらの層は図示したより多く又はより少なく存在してもよい。例示的な一実施形態によれば、犠牲層107及び109は互いに同じくドーピングされる。
次に、電気的絶縁層111をスタックの上に堆積させる。図1に示す例示的な構成において、絶縁層111はSi層110の上に堆積させる。絶縁層111は、化学気相堆積(CVD)、プラズマ強化CVD(PECVD)又はSi層110の物理的又は化学的変化を引き起さない任意の適切な誘電体堆積技術を用いて堆積させることができる。例示的な実施形態によれば、絶縁層111は、ダイアモンドのような結晶電気絶縁材料、又はSiOのような非晶質電気絶縁材料を含む。
次に、結晶Si層112を絶縁層111の上に形成する。絶縁層111が結晶電気絶縁材料を含むとき、Si層112は絶縁層111の上にエピタキシャル成長させることができる。絶縁層111が非晶質電気絶縁材料を含むとき、Si層112は、例えば結晶シリコン層112をハンドル・ウェハから移動させるウェハ・ボンディング技術により、絶縁層111の上に導入することができる。
1つ又は複数の付加的犠牲層及び/又は結晶Si層は、随意に、Si層112の上に交互型にエピタキシャル成長させることができる。例示の目的及び描画の容易さのために、1つの犠牲層113及び1つのSi層114をSi層112の上に示す。しかし、これらの層は随意的なものであり、本明細書においてはこれらの層が存在しない場合の実施形態を想定する。さらに、これらの層は図示したより多く又はより少なく存在してもよい。
絶縁層111の上の犠牲層は、存在する場合には、絶縁層111の下の犠牲層(例えば、犠牲層107及び109)と同じ特性を有する。しかし、絶縁層111の上の犠牲層内のドーピング(存在する場合)の極性は絶縁層111の下の犠牲層内のドーピングとは逆にする。例えば、絶縁層111の下の犠牲層内のドーピングがn型である場合、絶縁層111の上の犠牲層内のドーピングはp型とし、逆も同様である。絶縁層111の下の犠牲層とは異なり、絶縁層111の上の犠牲層は随意に非ドープのままにすることができる。結晶Si層112をウェハ・ボンディングによりハンドル・ウェハから移動させる場合、絶縁層111の上の交互の犠牲層及び/又は結晶Si層は、存在する場合、結晶Si層112の成長の前にハンドル・ウェハ上に成長させ、結晶Si層112を移動させるのと同時に出発基板に移動させることができる。
次に第1のハードマスク116をスタック上に堆積させる。図1に示す例示的な構成において、ハードマスク116はSi層114の上に堆積させる。ハードマスク116は絶縁層111と同じ組成物(SiO)を有することができ、同じ方法で堆積させることができる。例示的な実施形態によれば、ハードマスク116は約15ナノメートル(nm)から約20nmまで、例えば約20nmの厚さを有する。
上述のように、各犠牲層はエピタキシャル成長プロセスで堆積させることができる。従って、各犠牲層は単結晶材料を含む。例示的な実施形態によれば、各犠牲層は約5nmから約20nmまでの厚さを有する。しかし、寄生容量を最小にするために、各犠牲層の厚さは可能な限り小さくすると同時にゲート誘電体/ゲートが、プロセス中に後に犠牲層が除去されて形成される間隙に適合(フィット)するように十分な余地を残す必要がある。同様に上述の各Si層はエピタキシャル成長プロセスによる堆積させることができる。従って、各Si層もまた単結晶材料を含む。例示的な実施形態によれば、各Si層は約5nmから約20nmまでの厚さを有する。
Si層及び/又は犠牲層を形成するのに用いるエピタキシャル成長プロセスは、摂氏約800度(℃)未満、例えば約650℃未満の温度で実施することができる。このプロセスは各層の成長の間で真空を破らずに実行することができ、又は代替的に、層の間で真空を破り、追加処理、例えば特定の層のエックス・サイチュ・ドーピングを可能にすることができる。層の間で真空を破るか破らないかに関わらず、各連続する層形成の間にパージ・ステップを実施することが好ましい。Si層及び犠牲層の各々を形成するのに用いる成長圧力は、約100torr未満、例えば50torr未満である。これらの例示的なエピタキシャル成長パラメータを用いると、Si層及び犠牲層の各々の厚さの変動は約5%未満となるはずであることに注目されたい。Si層の部分は製造プロセスにおいて後にナノワイヤ・チャネルを形成するのに用いられることになり、各犠牲層の厚さは、ナノワイヤ・チャネルの間のz方向における間隔を定めることになる。
STIはSi/犠牲層スタックをウェハのアクティブ領域に対して平坦化し且つ絶縁するように用いる。STIは、当業者には周知の通常のリソグラフィ及びエッチング・プロセスを必要とするので、本明細書において更には説明しない。STIは一般にナノメートル構造サイズの範囲の処理技術で用いられる。窒化物ライナ118は、CVD,PECVD又は原子層堆積(ALD)のような堆積プロセスを用いてスタックの1つ又は複数の側壁に隣接して形成される。ここで、ウェハのアクティブ領域内に形成されたスタックは、プロセスにおいて、後にインバータのナノワイヤ・チャネルを形成するのに用いられることになる。従って、スタックの種々の層の構成はナノワイヤのz方向の位置を定める。
次に、第2のハードマスク120をスタックの上に堆積させる。例示的な実施形態によれば、ハードマスク120は窒化物層を含み、低圧化学気相堆積(LPCVD)を用いて約15nmから約20nmまで、例えば約20nmの厚さに堆積させる。以下で詳細に説明するように、ハードマスク116及びハードマスク120は複数の個別のナノワイヤ・ハードマスクにパターン化される(ナノワイヤのx方向における所望に位置に従って)ことになる。
図2は、複数の個別のナノワイヤ・ハードマスク122にパターン化された第1及び第2のハードマスクを示す断面図である。上で強調したように、ハードマスクのパターン化はナノワイヤの所望の位置に整合する。例示的な実施形態によれば、レジスト膜(図示せず)をハードマスクの上に堆積させ、ナノワイヤ・ハードマスクの各々の占有領域及び位置によりパターン化される。一実施例において、反応性イオン・エッチング(RIE)を用いてナノワイヤ・ハードマスクを形成し、それゆえにレジスト膜は水素シルセスキオキサン(HSQ)のようなレジスト材料を含み、電子ビーム(eビーム)リソグラフィを用いてパターン化され炭素ベースのレジストに転写される。
次にハードマスクの開口段階を、第1のハードマスクが酸化物を含み、一方第2のハードマスクは窒化物を含むという事実に基づいて、一連の選択的RIEステップを用いて実行する。例えば、レジスト膜(図示せず)をマスクとして用いる窒化物選択的RIEを初めに用いて、ハードマスク120のレジスト膜の直下部分を除く全てを除去して、ナノワイヤ・ハードマスクの窒化物部分122aを画定する。ハードマスク116は酸化物を含み、窒化物選択的RIEのエッチング停止層として機能する。窒化物選択的RIEはまた同時に窒化物ライナ118をエッチングすることができ、ここでSi層がエッチング停止層として機能する。
次にマスクの窒化物部分を用い、酸化物選択的RIEによりハードマスク116の窒化物マスクの直下部分を除く全てを除去して、ナノワイヤ・ハードマスクの酸化物部分122bを画定する。Si層114が酸化物選択的RIEのエッチング停止層として機能する。この例において、ナノワイヤ・ハードマスクの窒化物部分122a及び酸化物部分122bの各々は、約15nmから約20nmまで、例えば約20nmの厚さを有する。
窒化物部分122a及び酸化物部分122bは2重ナノワイヤ・ハードマスク構造体を形成する。2重ナノワイヤ・ハードマスク構造体を用いると、より正確で均一なナノワイヤをSi層内に形成することが可能になる。即ち、2重ハードマスク構造体を用いると、窒化物部分122aはダミー・ゲート画定の間(以下に説明する図3を参照)酸化物部分122bの完全性(integrity)を保護し、酸化物部分122bはスペーサの(窒化物選択的)エッチングの間ナノワイヤを保護する(以下の説明を参照)。ナノワイヤ・ハードマスクの良好な完全性を維持することは、ナノワイヤの寸法の変動を最小にするために重要である。デバイスのサイズが小さくなるに連れて、好ましくない寸法変動の影響がより顕著になる。
この実施例において、ナノワイヤ・ハードマスク122は、約200nm未満、例えば約10nmから約200nmまで、例えば約40nmから約50nmまでのピッチ、即ち空間周波数を有するように構成される。レイアウト密度を最大にし、寄生容量を最小にするためには、ピッチはパターン化及び処理限界内で可能な限り小さくする必要がある。直接的リソグラフィにより画定できるものよりも小さいピッチを達成するために、側壁画像転写のようなピッチ二重化技術又は二重パターン化/二重エッチングを用いることができる。各ナノワイヤ・ハードマスク122の幅123は約40nm未満、例えば約5nmから約40nmまで、例えば約5nmから約10nmまでである。各ナノワイヤ・ハードマスク122のピッチ/幅は各ナノワイヤのピッチ/幅を定めることになる。
図3は、アクティブ領域の上に形成されたダミー・ゲート126を示す断面図である。図3に示すように、酸化物停止層、即ち酸化物層124がSi層114の上に形成される。例示的な実施形態によれば、熱酸化を用いて酸化物層124を成長させ、約4nmまで、例えば約2nmまでの厚さにする。Si層114の一部分はこの熱酸化プロセス中に消費される。従って、Si層の厚さは、例えば約2nmだけ、例えば約1nmだけ減少する。
ダマシン・ゲート・プロセスを開始するために、ダミー・ゲート構造体126を形成する。以下の説明から明らかになるように、ダミー・ゲート構造体126はナノワイヤのy方向の位置、及び最終デバイス構造体のゲートの位置を定める。例示的な実施形態によれば、ダミー・ゲート構造体は多結晶Si(ポリシリコン)を含む。
ダミー・ゲート構造体126は以下のプロセスによって形成することができる。初めに、ポリシリコン層を酸化物層124/ナノワイヤ・ハードマスク122の上に、LPCVDを用いて堆積させ、約100nmから約150nmまで、例えば約140nmの厚さにする。ポリシリコン層の厚さは、ダミー・ゲートの高さを定めることになるので、堆積後、化学機械研磨(CMP)を用いて所望の厚さ/高さにすることができる。レジスト膜(図示せず)をポリシリコン層の上に堆積させ、ダミー・ゲート(インバータのナノワイヤ・チャネルになるものの上)の占有領域及び位置によりマスクされ、パターン化される。次に、ポリシリコン選択的RIEを用いて、ポリシリコン層の、ナノワイヤ・ハードマスクの上に位置する(即ち、ナノワイヤ・ハードマスクのy方向上に中心を有する)一部分を除く全てを除去し、これがダミー・ゲート126になる。例示的な実施形態によれば、ダミー・ゲート126は、約100nmから約150nmまでの、例えば約140nmの高さ128を有し、約30nmから約50nmまでの、例えば約45nmの長さ130を有する。
矢印132で示すように、随意にトップ−ダウン注入を用いて、絶縁層111の上の1つ又は複数の結晶Si層にドーピングすることができる。このトップ−ダウン注入のドーピングの極性は、絶縁層111の下の犠牲層内のドーピングとは逆にする必要がある。例えば、絶縁層111の下の犠牲層(即ち、犠牲層107及び、存在すれば随意の犠牲層のいずれか1つ)内のドーピングがn型である場合、絶縁層111の上の1つ又は複数の結晶Si層内のドーピングはp型とし、逆も同様である。このトップ−ダウン注入ステップは、絶縁層111の上に犠牲層がない場合、或いは絶縁層111の上に存在する犠牲層が以前のステップで既にドーピングされていない場合にのみ必要である。トップ−ダウン注入の深さは、絶縁層111の上の層に影響を及ぼし、しかし絶縁層111の下の層には影響しないように選択される。この注入の条件は当業者には周知のものであり、用いるドーパント種のタイプに応じて変えることができる。例示的な実施形態によれば、この注入を用いてスタック内の最上部Si層、即ちSi層114にドーピングする。
図4は、ダミー・ゲートの周りで切り整えられたナノワイヤ・ハードマスクを示す断面図である。下部ダミー・ゲート126から外に延びたナノワイヤ・ハードマスク122の部分は、ダミー・ゲート材料に対して選択的な異方性エッチング(即ち、ダミー・ゲートをエッチングしない)を用いて除去する。矢印134で示すように、上で図3の説明に関して説明したトップ−ダウン注入は、代りにプロセスのこの時点で、即ち、下部ダミー・ゲート126から外に延びたナノワイヤ・ハードマスク122の部分の除去前ではなく除去後に、実施することができる。
図5は、ダミー・ゲート126の周りに堆積させた(犠牲)フィラー層136を示す断面図である。フィラー層136は、SiOのような誘電体材料を含む任意の適切なフィラー材料を含むことができる。例示的な実施形態によれば、フィラー層136はダミー・ゲート126の周りに高密度プラズマ(HDP)を用いて堆積させることができる。次に、ダミー・ゲートをエッチング停止層として用い、CMPを用いてフィラー材料を平坦化する。従って、フィラー層136はダミー・ゲートの高さに等しい厚さ、例えば、約30nmから約150nmまでの、約100nmから約150nmまでの、例えば約140nmの厚さを有することになる。
図6は、ダミー・ゲートが除去された状態を示す断面図である。ダミー・ゲート126は化学エッチング・プロセス、例えば、化学ダウン・ストリーム又は水酸化カリウム(KOH)エッチング、又はRIEを用いて除去することができる。図6に示すように、ダミー・ゲート126の除去により、フィラー層136の中にトレンチ138が形成される。トレンチ138はダミー・ゲート126のネガ・パターンであるので、トレンチ138もまたナノワイヤ・ハードマスク122上の中央に(即ち、y方向に)位置する。例示的な実施形態によれば、トレンチ138はデバイスの(ナノワイヤ)チャネル領域をデバイスのソース及びドレイン領域から区別する。
エッチングはまたフィラー層136にも作用してその部分を除去する可能性がある。例えば、ダミー・ゲート126を除去するエッチング・プロセスの後、フィラー層136の厚さ139は、約30nm乃至約125nmまで、例えば約80nmまで減少する可能性がある。
ダミー・ゲートの使用は、本発明の技術の重要な特徴である。即ち、ダミー・ゲートは、フィラー層の前にナノワイヤ・ハードマスクを配置して、ダミー・ゲートが除去されるとき現れるナノワイヤ・ハードマスクが既にトレンチ内に存在するようにすることを可能にする。ナノワイヤ・ハードマスクは、アクティブ領域内により正確且つ均一にナノワイヤを形成するために重要である。
図7はSi/犠牲層内にエッチング形成されたフィン・スタック140を示す断面図である。フィンはSi層内に形成され、後述のように、ひとたび犠牲層から解放されるとインバータのナノワイヤ・チャネルとなる。例示的な実施形態によれば、Si選択的RIEを用いて、トレンチ138内のSi/犠牲層の、ナノワイヤ・ハードマスク122によりマスクされない部分を除去する。BOX層106はフィン・エッチングのエッチング停止層として機能する。このようにパターン化されたフィン・スタック140は、鋭い明確な縁部を有することになる。上述のように、これは、ナノワイヤをパターン化するのに2重(窒化物/酸化物)ハードマスクを用いた結果である。
本発明の教示の利点は、フィンがトレンチ138内だけでエッチングされ、フィラー層136の下のデバイスのソース/ドレイン領域はそのまま残ることである。さらに、このようにして形成されたソース/ドレイン領域は、トレンチ138に対して、従ってトレンチ138内に形成されることになるデバイス・ゲートに対して自己整合することになる(下記を参照)。ナノワイヤ・ハードマスク122のピッチ及び幅に基づいて、形成されたフィン(インバータのナノワイヤ・チャネルになることになる、Si層内に形成されたものを含む)は、約200nm未満、例えば約10nmから約200nmまで、例えば約40nmから約50nmまでのピッチ、即ち空間周波数を有し、約40nm未満、例えば約5nmから約40nmまで、例えば約5nmから約10nmまでの幅を有する。
図8は、ナノワイヤ・ハードマスクの露出された窒化物部分122a(即ちトレンチ138内の部分)が除去された状態を示す断面図である。ナノワイヤ・ハードマスクの酸化物部分に対して窒化物部分を選択的に除去する任意のエッチング・プロセスを用いることができる。しかし理想的には、窒化物部分の厚さは、大部分が前のフィン・エッチング中に消費されるように選択するべきであり、この時点ではハードマスク構造体上にあまり残らないようにするべきでる。ハードマスクの酸化物部分122bは、理想的にはスペーサ・エッチング中(以下で説明する図9を参照)に完全に消費されるように設計される(以下で説明する図9を参照)。スペーサ・エッチング後に残るいずれの酸化物ハードマスクも、ゲート・スタック堆積の前の洗浄中に除去されるように十分に薄くなければならない。ゲート・スタック前洗浄は、有機汚染物質、金属汚染物質及びSi表面上のあらゆる自然酸化物を除去する標準的なプロセスである。自然酸化物は、酸化物を除去するためのウェット又はドライ化学エッチング・プロセスを用いて除去することができる。一例は100:1の希釈フッ化水素酸(HF)によるエッチング・プロセスである。
図9は、トレンチ138内に形成されたスペーサ142を示す断面図である。このステップは随意的なものである。ソース/ドレイン領域とゲート(トレンチ138内に形成されるもので、以下で説明する図12を参照)になる領域間にスペーサを配置することは、完成デバイスにおける寄生容量を最小にする助けとなるが、隆起(raised)ソース/ドレイン(RSD)エピタキシャル成長又はシリサイド化の間、即ち典型的なFETフローにおけるような、ゲートからソース/ドレインへの短絡を防止する助けには必ずしもならない。スペーサ142はソース/ドレイン領域からゲートをある距離だけずらす(オフセットする)働きをする。
例示的な実施形態によれば、スペーサ142は初めに窒化物層をトレンチ138内に堆積させることにより形成する。次に、レジスト膜(図示せず)を窒化物層の上に堆積させ、スペーサの位置及び占有領域によりマスクしパターン化する。次に窒化物の選択的RIEを用いて窒化物層内のスペーサ142を画定する。フィン・スタック140の側壁を除去して、スペーサ142がトレンチ138の側壁に沿ってのみ存在し、フィン・スタック140上には存在しないようにするのに、時間を掛けたオーバーエッチングが必要となる。従って、スペーサ142の最小の引下げ(pulldown)はフィン・スタック及び残留(酸化物部分122b)ナノワイヤ・ハードマスクの高さになる。例えば、オーバーエッチングの量は全窒化物層の除去に必要なエッチング時間の約50%と約80%の間である。例示的な実施形態によれば、スペーサ142は約5nmから約25nmまでの長さ144を有する。スペーサ142の最大の高さはトレンチ138の厚さ139からスペーサ引下げの高さ146を差し引いた差となる。スペーサ142の最小の高さは、フィン・スタック140の高さ148である。ハードマスクの酸化物部分122bは、窒化物層を除去するのに必要な長いオーバーエッチングの間露出するので、このステップ中に、窒化物層を除去するのに用いる窒化物エッチング剤の不完全な選択性により浸食される可能性が高い。理想的には、ハードマスクの酸化物部分122bは、このステップ中に完全に浸食されるのに十分な厚さに設計される。
図10は、ナノワイヤ・フィン・スタック内のSi層の間から犠牲層が除去された状態を示す断面図である。ここで解放された、SOI層104の部分104a、108a、110a、112a及び114a、並びにSi層108、110、112及び114は、それぞれ、デバイスのナノワイヤ・チャネルである。これらの複層のナノワイヤ・チャネルはまた、本明細書ではナノワイヤ・“メッシュ”と呼ぶ。
犠牲層は、フィン・スタックから以下のように除去することができる。Si層に比べて犠牲層のより低い酸化電位を利用する化学エッチング剤を用いることができる。そのようなエッチング剤の例には、それらに限定されないが、HF:過酸化水素(H):酢酸(CHCOOH)の1:2:3混合物、又は硫酸(HSO)とHの混合物がある。代替的に、犠牲層は、酸素(O)プラズマ・エッチングのようなドライ・エッチング・プロセスを用いて、又はエッチングに用いられる典型的なプラズマ化学作用を用いて選択的に除去することができる。電気的絶縁層は、電気的絶縁層が酸化物である場合、HF:H:CHCOOHを用いて除去することができる。ストレートDHFエッチングを用いることもできる。電気的絶縁層が結晶性誘電体である場合、例えばSiに選択的なエッチング剤を用いる別のエッチングが必要となる。
図11は、FETインバータ内に形成されたn型ドープ層及びp型ドープ層を示す断面図である。製造プロセスのこの時点で、FETインバータの別個の層が識別できる。これらの層はまた、本明細書ではデバイス層と言うが、何故なら各層はソース領域及びドレイン領域(例えば、フィラー層136の下のSOI層の部分並びにSi層108、110、112及び114)並びにソース領域とドレイン領域を接続する複数のナノワイヤ・チャネル(即ち、SOI層104の部分104a、108a、110a、112a及び114a、並びにそれぞれSi層108、110、112及び114)を含むからである。これらのデバイス層の例示的な構成は、n型ドープ・デバイス層に「NFET層」とラベル付けし、p型ドープ・デバイス層に「PFET層」とラベル付けして図11に示す。以下で詳細に説明するように、デバイス層は共通ゲートを共有する。2つの所与のデバイス層が、間隙により、又は他の層によって分離される場合にも、これら2つのデバイス層は、デバイス層スタックの説明の目的で、隣接するデバイス層と見なす。例えば、図11に示す3つのNFETデバイス層は、それらがソース及びドレイン領域内の犠牲層によって分離され得る場合にも、互いに隣接する層と見なす。
例示的な実施形態によれば、電気的絶縁層111の下にn型ドーパントでドープされた1つ又は複数の犠牲層があり、電気的絶縁層111の上にp型ドーパントでドープされた1つ又は複数の犠牲層がある。次にアニール処理を実行し、NFETデバイス層のソース/ドレイン領域全域で電気的絶縁層111の下の犠牲層(現在はソース及びドレイン領域にのみ存在)からn型ドーパントを拡散/活性化し、PFETデバイス層のソース/ドレイン領域全域で電気的絶縁層111の上の犠牲層(現在はソース及びドレイン領域にのみ存在)からp型ドーパントを拡散/活性化する。このアニール処理の温度は約1000℃から約1100℃まで変化させることができ、アニール処理の継続時間は、数ミリ秒(ms)例えば5msから、数秒例えば5秒まで変化させることができる。ナノワイヤ・チャネルは非ドープのままであり、このことは、ナノワイヤFETのような細いチャネルの完全に空乏化したデバイスの利点である。ゲートを配置する前に(下記参照)、フィラー層136を、例えばCMPを用いてスペーサ142に至るまで削り下ろし、ウェット化学洗浄を行って表面汚染物質及び自然酸化物を除去し、ゲート誘電体をナノワイヤ・チャネルの上に形成する。
例示的な実施形態によれば、差動化学酸化を用いて、ソース/ドレイン領域内の犠牲材料のスペーサで覆われない領域を優先的に酸化する。犠牲材料のこれらの領域を優先的に酸化して露出されたSiナノワイヤ・チャネル領域にすることができる。次に、誘電体、例えばSiO、又は酸化ハフニウム(HfO)のような高kゲート誘電体をナノワイヤ・チャネルの上に形成する。これはゲート誘電体(ゲートをナノワイヤ・チャネルから分離することになる)である。次に、図12の断面図に示すように、ナノワイヤ・チャネルを取り囲むトレンチ138内に、トレンチ138をゲート材料で充填することにより、置換ゲート150を形成する。トレンチ138内にゲート材料を充填した後、CMPを用いて、フィラー層136をエッチング停止層として機能させて、ゲートを平坦化する。適切なゲート材料には、それらに限定されないが、1つ又は複数のポリシリコン、堆積金属、又は金属ポリシリコンのような複数材料の複合スタックが含まれる。
図13は、フィラー層136が除去された状態を示す断面図である。例示的な実施形態によれば、フィラー層136はSiOを含み、酸化物の選択的RIEのような酸化物選択的エッチング・プロセスを用いて除去する。酸化物層124もまた、このエッチング・プロセスで除去される。フィラー層136及び酸化物層124を除去すると、下記のように、種々のデバイス層のソース/ドレイン領域内のコンタクト形成が可能になる。
図14は、デバイス層のドレイン領域内に形成されたコンタクト152を示す断面図である。図14に示す配置において、デバイス層のゲートの左側の部分は、任意にソース領域として示され、デバイス層のゲートの右側の部分は、ドレイン領域として示されている。
例示的な実施形態によれば、コンタクト152は、初めにハードマスク(図示せず)をブランケット堆積させ、ハードマスクをコンタクト152の位置及び占有領域によりパターン化することにより形成する。次に、リセス(陥凹)エッチング(又はエッチング・ステップの組合せ)を、パターン化されたハードマスクを通して行い、デバイス層のドレイン領域全域に及ぶトレンチを形成する。次いでハードマスクを除去する。
シリサイド層をトレンチ内に、当業者には周知の標準的方法を用いて形成する。例えば、ニッケル(Ni)のような金属を含む層を、約5nmから約30nmまでの厚さ、例えば15nmの厚さに堆積させる。次にウェハを、約350℃から約500℃までの温度、例えば450℃において、数ms例えば5msから、数秒例えば5秒までの間、アニール処理を行い、その結果、露出されたSiがNiと反応してニッケルシリサイドを形成する。次に、王水(硝酸及び硫酸を含む)のような、シリサイドに対して選択的な金属エッチング剤を用いて、未反応Niを除去する。残留するシリサイドの厚さは、堆積させた金属層の厚さ並びにアニール処理の時間及び温度によって決定される。シリサイド形成中の体積膨張のために、電気的絶縁層111の上及び下でのシリサイド形成は融合することになり、電気的絶縁層111の上及び下のSi層内の上部ドレイン領域と下部ドレイン領域の間に電気的短絡を形成する。従って、コンタクト152を構成するシリサイド層は、デバイス層の各々のドレイン領域に共通となり、NFET及びPFETデバイス層をドレイン領域側で短絡するように機能する。
図15は、ソース領域の分離を示す断面図である。具体的には、デバイス層のソース領域内のコンタクト形成に対する前駆体として(以下で説明する図16を参照)、NFET及びPFETデバイス層はソース側において互いに分離させる必要がある。例示的な実施形態によれば、この分離は、初めに、ソース領域内の電気的絶縁層111の上の全Si及び犠牲層の、例えば半分の部分(即ち、Si層114、犠牲層113及びSi層112)を除去することにより実現する。そうするために、ハードマスク(図示せず)をブランケット堆積させ、除去するSi層の部分の位置及び占有領域によりパターン化する。パターン化されたハードマスクを通してエッチングを実行し、次いでハードマスクを除去する。
次に、スペーサ154を用いてパターン化領域及び非パターン化領域を分離する。スペーサ154は窒化物材料を含むことができる。スペーサ形成技術は、例えば、前記の図9の説明に関連して説明した。
図16は、NFET及びPFETデバイス層のソース領域内に、それぞれ形成されたコンタクト156及び158を示す断面図である。例示的な実施形態によれば、コンタクト156及び158は、前述の図14の説明に関連して説明したシリサイド・プロセスを繰り返すことにより形成する。しかし、この場合には、電気的絶縁層111の下のSi層内の下部ソース領域は、電気的絶縁層111の上のSi層内の上部ソース領域とは、それらを絶縁したままに保持するスペーサ154のために短絡しない。
コンタクト156及び158を構成するシリサイドを形成する。図16に示すように、NFETソース・コンタクトはインバータの接地(「GND」ラベル付き)コンタクトとして働きし、PFETソース・コンタクトはインバータの電源(「VDD」ラベル付き)コンタクトとして働きし、ゲート150はインバータの入力(「VIN」ラベル付き)コンタクトとして働き、NFET/PFETドレイン・コンタクト152はインバータの出力(「VOUT」ラベル付き)コンタクトとして働く。有利なことに、本発明のFETインバータは単一GAAゲートを有するように構成され、これは瞬時にスタックされるナノワイヤ・チャネル設計と組み合わせるとき、レイアウト面積を最大にするのに役立つ。
本発明の例証的な実施形態を本明細書で説明したが、本発明はそれらの正確な実施形態に限定されないこと、及び当業者であれば本発明の範囲から逸脱せずに様々な他の変更及び修正を行うことができることを理解されたい。
100:FETインバータ製造プロセスの出発構造体
102:ウェハ
104:SOI層
104a、108a、110a、112a、114a:SOI層104の部分
106:埋め込み酸化物(BOX)層
107、109、113:犠牲層
108、110、112、114:Si層
111:絶縁層
116,120:ハードマスク
118:窒化物ライナ
122:ナノワイヤ・ハードマスク
122a:ナノワイヤ・ハードマスクの窒化物部分
122b:ナノワイヤ・ハードマスクの酸化物部分
123:ナノワイヤ・ハードマスクの幅
124:酸化物層
126:ダミー・ゲート構造体
128:ダミー・ゲートの高さ
130:ダミー・ゲートの長さ
132:矢印
136:フィラー層
138:トレンチ
139:フィラー層の厚さ
140:フィン・スタック
142、154:スペーサ
144:スペーサの長さ
146:スペーサ引下げの長さ
148:フィン・スタックの高さ
150:置換ゲート
152、156、158:コンタクト

Claims (20)

  1. スタック内で垂直方向に配置され、各々がソース領域、ドレイン領域、及び前記ソース領域と前記ドレイン領域を接続する複数のナノワイヤ・チャネルを有する、複数のデバイス層であって、1つ又は複数の前記デバイス層の前記ソース及びドレイン領域はn型ドーパントでドープされ、1つ又は複数の他の前記デバイス層の前記ソース及びドレイン領域はp型ドーパントでドープされる、前記複数のデバイス層と、
    前記ナノワイヤ・チャネルを取り囲む、前記デバイス層の各々に共通のゲートと、
    n型ドーパントでドープされた前記1つ又は複数のデバイス層の前記ソース領域への第1のコンタクトと、
    p型ドーパントでドープされた前記1つ又は複数の他のデバイス層の前記ソース領域への第2のコンタクトと、
    前記デバイス層の各々の前記ドレイン領域への共通の第3のコンタクトと
    を備える電界効果トランジスタ(FET)インバータ。
  2. 前記デバイス層の任意の所与の1つにおける前記ナノワイヤ・チャネルは、10nmから200nmまでのピッチを有する、請求項1に記載のFETインバータ。
  3. 前記スタック内の隣接するデバイス層の前記ナノワイヤ・チャネルは、5nmから20nmまでの間隙により互いに分離される、請求項1に記載のFETインバータ。
  4. 前記n型ドーパントはリン及びヒ素のうちの1つ又は複数を含み、
    前記p型ドーパントはホウ素を含む、請求項1に記載のFETインバータ。
  5. 前記ゲートは前記ナノワイヤ・チャネルから誘電体によって分離される、請求項1に記載のFETインバータ。
  6. 前記デバイス層の前記ソース及びドレイン領域と前記ゲートとの間のスペーサをさらに備える、請求項1に記載のFETインバータ。
  7. 前記ゲートはポリシリコン及び金属のうちの1つ又は複数を含む、請求項1に記載のFETインバータ。
  8. 前記第1のコンタクトはインバータの接地コンタクトとして働き、前記第2のコンタクトは前記インバータの電源コンタクトとして働き、前記ゲートは前記インバータの入力コンタクトとして働き、前記第3のコンタクトは前記インバータの出力コンタクトとして働く、請求項1に記載のFETインバータ。
  9. 前記第3のコンタクトは前記デバイス層の各々の前記ドレイン領域を短絡する、請求項1に記載のFETインバータ。
  10. 前記n型ドーパントでドープされた前記1つ又は複数のデバイス層の前記ソース及びドレイン領域と、前記p型ドーパントでドープされた前記1つ又は複数のデバイス層の前記ソース及びドレイン領域とを分離する電気的絶縁層をさらに備える、請求項1に記載のFETインバータ。
  11. 前記n型ドーパントでドープされた前記1つ又は複数のデバイス層は前記電気的絶縁層の下にあり、前記p型ドーパントでドープされた前記1つ又は複数のデバイス層は前記電気的絶縁層の上にある、請求項10に記載のFETインバータ。
  12. 前記ナノワイヤ・チャネルはドープされていない、請求項1に記載のFETインバータ。
  13. FETインバータを製造する方法であって、
    スタック内で垂直に配置され、各々がソース領域、ドレイン領域、及び前記ソース領域と前記ドレイン領域を接続する複数のナノワイヤ・チャネルを有する、複数のデバイス層を形成するステップと、
    1つ又は複数の前記デバイス層の前記ソース及びドレイン領域にn型ドーパントを導入するステップと、
    1つ又は複数の他の前記デバイス層の前記ソース及びドレイン領域にp型ドーパントを導入するステップと、
    前記ナノワイヤ・チャネルを取り囲む、前記デバイス層の各々に共通のゲートを形成するステップと、
    前記n型ドーパントでドープされた前記1つ又は複数のデバイス層の前記ソース領域への第1のコンタクトを形成するステップと、
    前記p型ドーパントでドープされた前記1つ又は複数の他のデバイス層の前記ソース領域への第2のコンタクトを形成するステップと、
    前記デバイス層の各々の前記ドレイン領域への共通の第3のコンタクトを形成するステップと
    を含む方法。
  14. 前記デバイス層を前記形成するステップは、
    シリコン・オン・インシュレータ(SOI)ウェハを準備するステップと、
    前記ウェハの上にシリコン層及び犠牲層の交互配列を形成するステップと、
    前記シリコン層及び犠牲層をエッチングしてナノワイヤ・フィンのスタックを形成するステップと、
    前記ナノワイヤ・フィンのスタックから前記犠牲層を除去するステップと
    をさらに含む、請求項13に記載の方法。
  15. 前記シリコン層及び犠牲層の交互配列は、前記ウェハ上にエピタキシャルに成長させる、請求項14に記載の方法。
  16. 前記シリコン層及び犠牲層の上にナノワイヤ・ハードマスクを形成するステップをさらに含む、請求項14に記載の方法。
  17. 前記ナノワイヤ・ハードマスクは、酸化物部分と該酸化物部分の上の窒化物部分とを有する2重ハードマスク構造体を含む、請求項16に記載の方法。
  18. 1つ又は複数の前記犠牲層はn型ドーパントでドープされ、
    1つ又は複数の前記デバイス層の前記ソース及びドレイン領域にn型ドーパントを前記導入するステップは、前記n型ドーパントでドープされた前記1つ又は複数の犠牲層から前記n型ドーパントを1つ又は複数の前記シリコン層の全域に拡散させるステップをさらに含む、
    請求項14に記載の方法。
  19. 1つ又は複数の前記犠牲層はp型ドーパントでドープされ、
    1つ又は複数の他の前記デバイス層の前記ソース及びドレイン領域にp型ドーパントを前記導入するステップは、前記p型ドーパントでドープされた前記1つ又は複数の犠牲層から前記p型ドーパントを1つ又は複数の前記シリコン層の全域に拡散させるステップをさらに含む、
    請求項14に記載の方法。
  20. 前記ゲートを形成するステップの前に、前記ナノワイヤ・チャネルの上に誘電体を形成するステップをさらに含む、請求項13に記載の方法。
JP2010108180A 2009-05-21 2010-05-10 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ) Expired - Fee Related JP5607420B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/470128 2009-05-21
US12/470,128 US8084308B2 (en) 2009-05-21 2009-05-21 Single gate inverter nanowire mesh

Publications (2)

Publication Number Publication Date
JP2010272859A true JP2010272859A (ja) 2010-12-02
JP5607420B2 JP5607420B2 (ja) 2014-10-15

Family

ID=43103984

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010108180A Expired - Fee Related JP5607420B2 (ja) 2009-05-21 2010-05-10 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ)

Country Status (4)

Country Link
US (2) US8084308B2 (ja)
JP (1) JP5607420B2 (ja)
KR (1) KR101081066B1 (ja)
CN (1) CN101894842B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US9224810B2 (en) 2011-12-23 2015-12-29 Intel Corporation CMOS nanowire structure
KR101812389B1 (ko) * 2010-12-21 2017-12-26 인텔 코포레이션 Pmos 집적을 위한 컬럼 iv 트랜지스터
US9978746B2 (en) 2015-04-14 2018-05-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
KR20190026018A (ko) * 2016-07-19 2019-03-12 도쿄엘렉트론가부시키가이샤 3 차원 반도체 디바이스 및 그 제조 방법
US10297670B2 (en) 2010-12-21 2019-05-21 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2019525484A (ja) * 2016-08-08 2019-09-05 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
KR20200085914A (ko) * 2017-12-04 2020-07-15 도쿄엘렉트론가부시키가이샤 상보형 전계 효과 트랜지스터(cfet)에 복수의 채널 재료를 포함시키는 방법
JP2021036590A (ja) * 2016-04-25 2021-03-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
WO2023068170A1 (ja) * 2021-10-21 2023-04-27 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8614492B2 (en) * 2009-10-26 2013-12-24 International Business Machines Corporation Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit
CN101777564B (zh) * 2009-12-24 2011-06-15 中国科学院上海微系统与信息技术研究所 一种具有垂直栅结构的soi cmos器件
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9245989B2 (en) * 2011-12-19 2016-01-26 Intel Corporation High voltage field effect transistors
US9087863B2 (en) * 2011-12-23 2015-07-21 Intel Corporation Nanowire structures having non-discrete source and drain regions
CN104126221B (zh) * 2011-12-23 2017-02-15 英特尔公司 具有调制的纳米线数目的半导体器件
KR101654443B1 (ko) * 2011-12-23 2016-09-05 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
CN104054181B (zh) 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
WO2013101237A1 (en) * 2011-12-31 2013-07-04 Intel Corporation Hard mask etch stop for tall fins
CN103258738B (zh) * 2012-02-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 超晶格纳米线场效应晶体管及其形成方法
CN103295878B (zh) * 2012-02-27 2016-05-25 中芯国际集成电路制造(上海)有限公司 一种多层纳米线结构的制造方法
CN102623347B (zh) * 2012-03-31 2014-10-22 上海华力微电子有限公司 基于体硅的三维阵列式SiNWFET制备方法
CN102610529A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 基于体硅的三维阵列式后栅型SiNWFET制备方法
CN102623321B (zh) * 2012-03-31 2015-01-28 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
CN102683283B (zh) * 2012-05-03 2014-10-15 上海华力微电子有限公司 一种双层隔离混合晶向应变硅纳米线cmos制备方法
CN102683294B (zh) * 2012-05-03 2014-06-04 上海华力微电子有限公司 制备SOI上双层隔离混合晶向后栅型反型模式SiNWFET的方法
CN103426764B (zh) * 2012-05-24 2015-12-09 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
KR101958530B1 (ko) * 2012-07-27 2019-03-14 인텔 코포레이션 나노와이어 트랜지스터 디바이스 및 형성 기법
US8658518B1 (en) 2012-08-17 2014-02-25 International Business Machines Corporation Techniques for metal gate work function engineering to enable multiple threshold voltage nanowire FET devices
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US8785909B2 (en) 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US20140091279A1 (en) 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
CN103730366B (zh) * 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
US8890119B2 (en) 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
CN103985751B (zh) * 2013-02-08 2016-12-28 中国科学院微电子研究所 半导体设置及其制造方法
KR102031709B1 (ko) * 2013-03-15 2019-10-14 인텔 코포레이션 하드마스크 층들을 이용한 나노 와이어 트랜지스터 제조
US8969149B2 (en) * 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US8962408B2 (en) 2013-06-04 2015-02-24 International Business Machines Corporation Replacement gate self-aligned carbon nanostructure transistor
KR102073967B1 (ko) * 2013-07-30 2020-03-02 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9035277B2 (en) 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9257545B2 (en) * 2013-09-12 2016-02-09 Globalfoundries Inc. Stacked nanowire device with variable number of nanowire channels
CN106030810B (zh) * 2013-09-27 2019-07-16 英特尔公司 经由用于硅上异质集成的模板工程的改进的包覆层外延
CN104517847B (zh) 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
EP3053185A4 (en) * 2013-10-03 2017-05-17 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
US10361270B2 (en) * 2013-11-20 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire MOSFET with different silicides on source and drain
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
CN103700660A (zh) * 2013-12-11 2014-04-02 中国科学院上海微系统与信息技术研究所 一种全环栅cmos场效应晶体管和制备方法
US10586868B2 (en) * 2013-12-19 2020-03-10 Intel Corporation Non-planar semiconductor device having hybrid geometry-based active region
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9601576B2 (en) * 2014-04-18 2017-03-21 International Business Machines Corporation Nanowire FET with tensile channel stressor
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
CN104037159B (zh) * 2014-06-19 2017-01-25 北京大学 一种半导体结构及其形成方法
US9735152B2 (en) * 2014-06-26 2017-08-15 Globalfoundries Inc. Non-planar structure with extended exposed raised structures and same-level gate and spacers
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9478541B2 (en) * 2014-09-08 2016-10-25 Qualcomm Incorporated Half node scaling for vertical structures
US9461149B2 (en) * 2014-09-12 2016-10-04 Globalfoundries Inc. Nanowire structure with selected stack removed for reduced gate resistance and method of fabricating same
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9496338B2 (en) * 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
US9431388B1 (en) 2015-04-29 2016-08-30 Globalfoundries Inc. Series-connected nanowire structures
US9437502B1 (en) 2015-06-12 2016-09-06 International Business Machines Corporation Method to form stacked germanium nanowires and stacked III-V nanowires
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
EP3127862B1 (en) * 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
US9954083B2 (en) * 2015-08-20 2018-04-24 International Business Machines Corporation Semiconductor structures having increased channel strain using fin release in gate regions
US9614068B2 (en) 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9608099B1 (en) 2015-09-22 2017-03-28 International Business Machines Corporation Nanowire semiconductor device
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9614040B1 (en) 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US9748404B1 (en) 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
US9685539B1 (en) 2016-03-14 2017-06-20 International Business Machines Corporation Nanowire isolation scheme to reduce parasitic capacitance
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
US10236362B2 (en) 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
US10134905B2 (en) 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US9704863B1 (en) 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
CN106252352B (zh) * 2016-09-30 2019-03-29 中国科学院微电子研究所 半导体设置及其制造方法及包括该设置的电子设备
US10340340B2 (en) 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US10177226B2 (en) 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US9825143B1 (en) * 2017-01-09 2017-11-21 International Business Machines Corporation Single spacer tunnel on stack nanowire
KR102363250B1 (ko) * 2017-03-23 2022-02-16 삼성전자주식회사 반도체 소자
US10319813B2 (en) * 2017-03-27 2019-06-11 International Business Machines Corporation Nanosheet CMOS transistors
KR102400558B1 (ko) * 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
CN108987274B (zh) * 2017-06-05 2021-07-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10090193B1 (en) * 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10192819B1 (en) 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
CN107871780A (zh) * 2017-11-20 2018-04-03 中国科学院上海微系统与信息技术研究所 场效应晶体管结构及其制备方法
CN109994547B (zh) * 2017-12-29 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102449389B1 (ko) * 2018-03-19 2022-09-29 도쿄엘렉트론가부시키가이샤 3차원 소자 및 이를 형성하는 방법
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10615256B2 (en) * 2018-06-27 2020-04-07 International Business Machines Corporation Nanosheet transistor gate structure having reduced parasitic capacitance
US10714392B2 (en) 2018-07-18 2020-07-14 International Business Machines Corporation Optimizing junctions of gate all around structures with channel pull back
US11043493B2 (en) 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US10892331B2 (en) 2019-06-05 2021-01-12 International Business Machines Corporation Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility
US11315936B2 (en) * 2019-08-29 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
FR3105579A1 (fr) * 2019-12-23 2021-06-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Dispositif comprenant des transistors à grille enrobante et procédé de fabrication d’un tel dispositif
WO2021138551A1 (en) * 2019-12-31 2021-07-08 Tokyo Electron Limited Cfet sram bit cell with three stacked device decks
KR102576497B1 (ko) * 2020-05-29 2023-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 가변 수의 채널 층을 가진 반도체 디바이스 및 그 제조 방법
CN114256231A (zh) 2020-09-24 2022-03-29 联华电子股份有限公司 半导体装置以及其制作方法
KR20220051884A (ko) 2020-10-19 2022-04-27 삼성전자주식회사 반도체 소자
US20230178624A1 (en) * 2021-12-07 2023-06-08 International Business Machines Corporation Unipolar-fet implementation in stacked-fet cmos

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06291269A (ja) * 1993-04-06 1994-10-18 Sony Corp 電界効果トランジスタ
JP2002184993A (ja) * 2000-12-11 2002-06-28 Sony Corp 半導体装置
JP2004193526A (ja) * 2002-12-13 2004-07-08 Canon Inc 熱電変換素子及びその製造方法
JP2005340810A (ja) * 2004-05-25 2005-12-08 Samsung Electronics Co Ltd マルチ−ブリッジチャンネル型mosトランジスタの製造方法
JP2005354065A (ja) * 2004-06-08 2005-12-22 Samsung Electronics Co Ltd ゲートオールアラウンド型の半導体素子及びその製造方法
JP2005354023A (ja) * 2004-05-14 2005-12-22 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP2006080519A (ja) * 2004-09-07 2006-03-23 Samsung Electronics Co Ltd ワイヤチャンネルを有する電界効果トランジスタ及びその製造方法
KR20060033232A (ko) * 2004-10-14 2006-04-19 한국과학기술원 이층 구조로 된 핀 전계 효과 트랜지스터 및 씨모스인버터의 형성 방법 및 그 구조
JP2006270107A (ja) * 2005-03-24 2006-10-05 Samsung Electronics Co Ltd ラウンド状のナノワイヤートランジスタチャンネルを備える半導体素子及びその製造方法
JP2006352125A (ja) * 2005-06-13 2006-12-28 Samsung Electronics Co Ltd 多重チャンネルを有するmos電界効果トランジスタの製造方法及びそれによって製造された多重チャンネルを有するmos電界効果トランジスタ
US20070029586A1 (en) * 2005-08-08 2007-02-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
JP2007311762A (ja) * 2006-05-18 2007-11-29 Samsung Electronics Co Ltd ワイヤータイプ半導体素子及びその製造方法
US20080135935A1 (en) * 2006-12-07 2008-06-12 Young Kyun Cho Dual structure finfet and method of manufacturing the same
JP2008147366A (ja) * 2006-12-08 2008-06-26 Toshiba Corp 半導体装置及びその製造方法
JP2009094229A (ja) * 2007-10-05 2009-04-30 Toshiba Corp 電界効果トランジスタおよびその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2313242C (en) * 1997-12-19 2008-10-14 British Telecommunications Public Limited Company Data communications
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US8004876B2 (en) 2002-08-30 2011-08-23 Hewlett-Packard Development Company, L.P. Configurable molecular switch array
US7067867B2 (en) 2002-09-30 2006-06-27 Nanosys, Inc. Large-area nonenabled macroelectronic substrates and uses therefor
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7176041B2 (en) * 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7462890B1 (en) 2004-09-16 2008-12-09 Atomate Corporation Nanotube transistor integrated circuit layout
US7518195B2 (en) * 2004-10-21 2009-04-14 Commissariat A L'energie Atomique Field-effect microelectronic device, capable of forming one or several transistor channels
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
KR100699839B1 (ko) * 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7608877B2 (en) 2005-12-06 2009-10-27 Canon Kabushiki Kaisha Circuit device having capacitor and field effect transistor, and display apparatus therewith
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP4381428B2 (ja) 2007-04-10 2009-12-09 シャープ株式会社 微細構造体の配列方法及び微細構造体を配列した基板、並びに集積回路装置及び表示素子

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06291269A (ja) * 1993-04-06 1994-10-18 Sony Corp 電界効果トランジスタ
JP2002184993A (ja) * 2000-12-11 2002-06-28 Sony Corp 半導体装置
JP2004193526A (ja) * 2002-12-13 2004-07-08 Canon Inc 熱電変換素子及びその製造方法
JP2005354023A (ja) * 2004-05-14 2005-12-22 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP2005340810A (ja) * 2004-05-25 2005-12-08 Samsung Electronics Co Ltd マルチ−ブリッジチャンネル型mosトランジスタの製造方法
JP2005354065A (ja) * 2004-06-08 2005-12-22 Samsung Electronics Co Ltd ゲートオールアラウンド型の半導体素子及びその製造方法
JP2006080519A (ja) * 2004-09-07 2006-03-23 Samsung Electronics Co Ltd ワイヤチャンネルを有する電界効果トランジスタ及びその製造方法
KR20060033232A (ko) * 2004-10-14 2006-04-19 한국과학기술원 이층 구조로 된 핀 전계 효과 트랜지스터 및 씨모스인버터의 형성 방법 및 그 구조
JP2006270107A (ja) * 2005-03-24 2006-10-05 Samsung Electronics Co Ltd ラウンド状のナノワイヤートランジスタチャンネルを備える半導体素子及びその製造方法
JP2006352125A (ja) * 2005-06-13 2006-12-28 Samsung Electronics Co Ltd 多重チャンネルを有するmos電界効果トランジスタの製造方法及びそれによって製造された多重チャンネルを有するmos電界効果トランジスタ
US20070029586A1 (en) * 2005-08-08 2007-02-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
JP2007311762A (ja) * 2006-05-18 2007-11-29 Samsung Electronics Co Ltd ワイヤータイプ半導体素子及びその製造方法
US20080135935A1 (en) * 2006-12-07 2008-06-12 Young Kyun Cho Dual structure finfet and method of manufacturing the same
JP2008147366A (ja) * 2006-12-08 2008-06-26 Toshiba Corp 半導体装置及びその製造方法
JP2009094229A (ja) * 2007-10-05 2009-04-30 Toshiba Corp 電界効果トランジスタおよびその製造方法

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553680B2 (en) 2010-12-21 2020-02-04 Intel Corporation Selective germanium P-contact metalization through trench
US11251281B2 (en) 2010-12-21 2022-02-15 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
KR101978085B1 (ko) * 2010-12-21 2019-05-13 인텔 코포레이션 Pmos 집적을 위한 컬럼 iv 트랜지스터
US10879353B2 (en) 2010-12-21 2020-12-29 Intel Corporation Selective germanium P-contact metalization through trench
US10811496B2 (en) 2010-12-21 2020-10-20 Intel Corporation Transistor devices having source/drain structure configured with high germanium content portion
KR101812389B1 (ko) * 2010-12-21 2017-12-26 인텔 코포레이션 Pmos 집적을 위한 컬럼 iv 트랜지스터
KR20180005251A (ko) * 2010-12-21 2018-01-15 인텔 코포레이션 Pmos 집적을 위한 컬럼 iv 트랜지스터
US11508813B2 (en) 2010-12-21 2022-11-22 Daedalus Prime Llc Column IV transistors for PMOS integration
US11387320B2 (en) 2010-12-21 2022-07-12 Intel Corporation Transistors with high concentration of germanium
US10297670B2 (en) 2010-12-21 2019-05-21 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US10304927B2 (en) 2010-12-21 2019-05-28 Intel Corporation Selective germanium p-contact metalization through trench
US10090383B2 (en) 2010-12-21 2018-10-02 Intel Corporation Column IV transistors for PMOS integration
US11476344B2 (en) 2011-09-30 2022-10-18 Daedalus Prime Llc Contact resistance reduction employing germanium overlayer pre-contact metalization
US9224810B2 (en) 2011-12-23 2015-12-29 Intel Corporation CMOS nanowire structure
US9343559B2 (en) 2011-12-23 2016-05-17 Intel Corporation Nanowire transistor devices and forming techniques
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US10074573B2 (en) 2011-12-23 2018-09-11 Intel Corporation CMOS nanowire structure
US9812524B2 (en) 2011-12-23 2017-11-07 Intel Corporation Nanowire transistor devices and forming techniques
US9583491B2 (en) 2011-12-23 2017-02-28 Intel Corporation CMOS nanowire structure
US9978746B2 (en) 2015-04-14 2018-05-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
JP2021036590A (ja) * 2016-04-25 2021-03-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
KR102228497B1 (ko) 2016-07-19 2021-03-15 도쿄엘렉트론가부시키가이샤 3 차원 반도체 디바이스 및 그 제조 방법
KR20190026018A (ko) * 2016-07-19 2019-03-12 도쿄엘렉트론가부시키가이샤 3 차원 반도체 디바이스 및 그 제조 방법
JP2019525484A (ja) * 2016-08-08 2019-09-05 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
JP7049316B2 (ja) 2016-08-08 2022-04-06 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
JP7117057B2 (ja) 2017-12-04 2022-08-12 東京エレクトロン株式会社 相補型電界効果トランジスタ(cfet)デバイスにおいて複数のチャネル材料を組み込む方法
KR102435153B1 (ko) 2017-12-04 2022-08-22 도쿄엘렉트론가부시키가이샤 상보형 전계 효과 트랜지스터(cfet)에 복수의 채널 재료를 포함시키는 방법
JP2021513749A (ja) * 2017-12-04 2021-05-27 東京エレクトロン株式会社 相補型電界効果トランジスタ(cfet)デバイスにおいて複数のチャネル材料を組み込む方法
KR20200085914A (ko) * 2017-12-04 2020-07-15 도쿄엘렉트론가부시키가이샤 상보형 전계 효과 트랜지스터(cfet)에 복수의 채널 재료를 포함시키는 방법
WO2023068170A1 (ja) * 2021-10-21 2023-04-27 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置

Also Published As

Publication number Publication date
US20120138888A1 (en) 2012-06-07
JP5607420B2 (ja) 2014-10-15
KR101081066B1 (ko) 2011-11-07
US8084308B2 (en) 2011-12-27
KR20100126188A (ko) 2010-12-01
US8466451B2 (en) 2013-06-18
CN101894842A (zh) 2010-11-24
CN101894842B (zh) 2012-09-12
US20100295021A1 (en) 2010-11-25

Similar Documents

Publication Publication Date Title
JP5607420B2 (ja) 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ)
US11251303B2 (en) Method for fabricating a strained structure and structure formed
JP5744854B2 (ja) 複数の閾値電圧を有するナノワイヤ・メッシュfet及びその製造方法
US8395220B2 (en) Nanomesh SRAM cell
JP5671481B2 (ja) ナノワイヤ・メッシュ・デバイス及びその製造方法
US8722472B2 (en) Hybrid CMOS nanowire mesh device and FINFET device
US9053981B2 (en) Hybrid CMOS nanowire mesh device and PDSOI device
US8563376B2 (en) Hybrid CMOS nanowire mesh device and bulk CMOS device
TWI688044B (zh) 半導體裝置、鰭式場效電晶體裝置及其製造方法
JP5728444B2 (ja) 半導体装置およびその製造方法
KR20130108025A (ko) 반도체 소자의 접촉 구조
CN104347413B (zh) 一种制作FinFET半导体器件的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130115

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140402

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140812

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20140812

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140828

R150 Certificate of patent or registration of utility model

Ref document number: 5607420

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees