JP5671481B2 - ナノワイヤ・メッシュ・デバイス及びその製造方法 - Google Patents

ナノワイヤ・メッシュ・デバイス及びその製造方法 Download PDF

Info

Publication number
JP5671481B2
JP5671481B2 JP2011549448A JP2011549448A JP5671481B2 JP 5671481 B2 JP5671481 B2 JP 5671481B2 JP 2011549448 A JP2011549448 A JP 2011549448A JP 2011549448 A JP2011549448 A JP 2011549448A JP 5671481 B2 JP5671481 B2 JP 5671481B2
Authority
JP
Japan
Prior art keywords
semiconductor
layer
region
gate
sacrificial material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011549448A
Other languages
English (en)
Other versions
JP2012518269A (ja
Inventor
チャン、ジョセフィーヌ
ベデル、ステファン
チャン、ポール
ギローン、マイケル
スライト、ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2012518269A publication Critical patent/JP2012518269A/ja
Application granted granted Critical
Publication of JP5671481B2 publication Critical patent/JP5671481B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/72On an electrically conducting, semi-conducting, or semi-insulating substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/762Nanowire or quantum wire, i.e. axially elongated structure having two dimensions of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Description

本発明は、半導体構造体及びその製造方法に関する。より具体的には、本発明は、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤを含む半導体構造体、及び、こうした半導体構造体を製造する方法に関する。
ゲート・オールアラウンド型ナノワイヤ・チャネルの電界効果トランジスタ(例えば、ナノワイヤFET)は、その優れた静電特性のために、現在のプレーナ型CMOS技術に優る密度スケーリングを可能にすると考えられる。ナノワイヤFETは、その基本的形態において、ソースと、ドレインと、ソースとドレインとの間の1つ又は複数のナノワイヤ・チャネルとを含む。1つ又は複数のナノワイヤ・チャネルを取り囲むゲート電極は、ソース及びドレイン間のナノワイヤ・チャネルを通る電子の流れを調整する。
しかしながら、ナノワイヤFETのアーキテクチャには、著しい製造上の問題がある。「成長した」ナノワイヤから構築された「ボトムアップ」型ナノワイヤ・デバイスは、欠陥がより少ないチャネル表面を提供することができるが、バルク材料をパターン形成し、エッチングすることにより構築された「トップダウン」型ナノワイヤ・デバイスは、ナノワイヤの決定論的サイズ及び配置という利点を提供する。現在のところ、決定論的配置は高密度回路の必要条件であるので、トップダウン型手法は、高いレイアウト密度でナノワイヤFETを作製するのに適した唯一の方法である。しかしながら、決定論的配置においてさえ、非常に緊密なゲート・ピッチ及び高密度の通電面におけるナノワイヤの製造は困難である。縮小されたゲート・ピッチは、ゲートがソース・コンタクト領域及びドレイン・コンタクト領域に自己整合されることを必要とし、高密度の通電面は、ナノワイヤが互いに近接して配置されるか又は積層されることを必要とする。ナノワイヤFETのこれまでの実証の全ては主として、これらの問題の回避を可能にする緩和されたレイアウト密度におけるものである。
ゲート・ピッチの縮小により、ナノワイヤを接触させることが困難になる。トップダウン型のパターン形成されたナノワイヤを接触させるための1つの一般的な方法は、複数のナノワイヤに接続される、大きなシリコン・ソース/ドレイン・ランディングパッドを使用することである。ランディングパッドは、処理中に機械的安定性をもたらし、デバイスの接触スキームを簡単化し、外部抵抗を減らすことができる。しかしながら、大幅に縮小したゲート・ピッチ(最小のゲート・ピッチを用いる論理レイアウトの場合)を達成し、かつ、外部抵抗及び寄生容量の変動を最小にするためには、ランディングパッドをゲートと正確に位置合わせする必要がある。ランディングパッドをゲートと適切に、かつ、一貫して位置合わせすることは、要求されるゲート・ピッチでは、自己整合スキームを利用しない限りほぼ不可能である。その結果、ランディングパッドを使用しない代替的なスキームが提案された。単にランディングパッドを排除することにより、ナノワイヤのソース/ドレイン領域がもたらされる。この場合、ソース/ドレイン領域の各々のナノワイヤを個別に接触させる必要がある。高レイアウト密度技術の場合、ナノワイヤは、コンタクト・ビアより小さいピッチであると考えられるので、コンタクト・バーによりナノワイヤのソース/ドレイン領域を接触させる必要があり、コンタクト・バーは、パターン形成をより複雑にし、典型的には、コンタクト・バーと第1の金属層との間に追加のマスク層を挿入することを必要とする。
エピタキシャル併合されたソース/ドレイン領域は、複数のナノワイヤを接触させるために提案された別の解決法である。しかしながら、エピタキシャル・プロセスには、表面の化学的性質、結晶方位及び成長条件に対する感受性が極端に高いことに起因する欠点がある。例えば、エピタキシャル成長プロセスにおいては、ゲート上の寄生成長を防止し、デバイス構造体の残りの部分を積極的なエピタキシャル前洗浄から保護し、エピタキシャル成長のファセット(面)及び方向を制御して、寄生容量及び抵抗の両方を最小にし、異なるようにドープされたソース及びドレインの表面上で類似の成長を達成しなければならない。
高密度の通電面を達成することは、高いレイアウト密度のナノワイヤFETの作製の他の課題である。ナノワイヤの直径が低減されて、より良好な静電特性を可能にするにつれて、各々のナノワイヤの通電面(又は、バルク反転の場合には通電区域)も低減され、これは、同じ密度の通電面又は区域を達成するために、より多くのナノワイヤを互いにより近接して配置させる必要があることを意味する。例えば、同じレイアウトの占有領域(フットプリント)を有するプレーナ型デバイスと同じ有効幅をもたらすために、4nmの直径を有するナノワイヤを12nmのピッチで配置する必要がある。レイアウト密度を幅方向に増大させる1つの方法は、それらの1つの層だけを用いるのではなく、ナノワイヤを垂直方向に積層させることである。これは、ナノワイヤFETのようなゲート・オールアラウンド型デバイスに特有の解決法である。
非特許文献1は、互いから水平方向に離間配置された2つのシリコン・ナノワイヤを含むナノワイヤFET構造体を開示する。具体的には、Cho他は、最新リソグラフィを使用しない、水平方向に離間配置されたツイン・ナノワイヤ含有FinFETを開示する。Cho他において提供された水平方向に離間配置されたツイン・ナノワイヤ含有構造体の電流密度が制限され、さらに縮小が続くにつれて、Cho他において提供される構造体を用いて、電流密度のさらなる減少が観察されるであろう。
Cho他著、「Observation of SingleElectron Tunneling and Ballistic in Twin Silicon Nanowire MOSFETS(TSNWFETS) Fabricatedby Top-Down CMOS Process」、2006年IEEE
従って、デバイスの電流密度を増大させながら、デバイスの接触スキーム及びスケーラビリティを改善する、ナノワイヤFET構造体及びその製造方法が望ましい。
本発明は、改善された接触スキーム及びスケーラビリティを有する、例えばナノワイヤFET構造体のような半導体構造体を提供する。さらに、これらの改善点と併せて、本発明は、電流密度が増大される半導体構造体を提供する。また、本発明の構造体は、接合部プロファイルにおける改善された垂直方向の均一性も有する。従って、本発明の構造体の高さ(すなわち、積層された半導体ナノワイヤの数)は、トップダウン型の注入によりソース及びドレイン接合部を定める能力により制限されるものではない。本発明のプロセス中、薄い自己制限的界面酸化物が、典型的にはドープされていない半導体ナノワイヤ上に形成されるので、本発明の構造体は、従来技術のFinFETと比較して減少したゲート・ソース/ドレイン間容量を有することが、さらに観察される。
本発明の1つの態様において、基板の表面上に配置された、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤ(例えば、ナノワイヤ・メッシュ)を含む半導体構造体が提供され、各々の半導体ナノワイヤは、2つの端部セグメントを有し、端部セグメントの一方はソース領域に接続され、端部セグメントの他方はドレイン領域に接続される。本発明の構造体はまた、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤの少なくとも一部分の上方に配置された、ゲート誘電体及びゲート導体を含むゲート領域も含む。本発明の構造体内の各ソース領域及び各ドレイン領域は、ゲート領域と自己整合される。
本発明の別の態様において、前述の半導体構造体を製造する方法が提供される。本発明の方法は、まず、半導体材料及び犠牲材料の交互層を含むパターン形成された材料スタックの上部に複数のパターン形成されたハードマスクを準備するステップを含む。このステップに用いられるパターン形成された材料スタックの最下層が、半導体基板の上部半導体層である。次に、複数のパターン形成されたハードマスクの各々の中央部の上に、少なくとも1つのダミー・ゲートを形成する。ダミー・ゲートの形成後、少なくとも1つのダミー・ゲートに当接するように犠牲材料層を形成する。次に、少なくとも1つのダミー・ゲートを除去して、ナノワイヤ・チャネル領域とソース及びドレイン領域を区別する、複数のパターン形成されたハードマスクの中央部の上に中心があるトレンチを、犠牲材料層内に形成する。ダミー・ゲートの除去後、複数のパターン形成されたハードマスクをエッチング・マスクとして用いてトレンチ内のパターン形成された材料スタックをエッチングして、トレンチ内に複数のフィンを形成する。次に、トレンチ内のパターン形成された複数のハードマスク及び犠牲材料の層の各々を除去して、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤを形成する。次に、トレンチを少なくともゲート領域で充填する。
本発明に用いることができる基板の埋込み絶縁層の上部に半導体材料及び犠牲材料の交互層を含む少なくとも1つのパターン形成された材料スタックを含む、初期構造体を示す(3D図による)図形的表示である。 少なくとも1つのパターン形成された材料スタックの上部に複数のパターン形成されたハードマスクを形成した後の、図1の初期構造体を示す(3D図による)図形的表示である。 複数のパターン形成されたハードマスクの各々の中央部の上にダミー・ゲートを形成した後の、図2の構造体を示す(3D図による)図形的表示である。 ダミー・ゲートに隣接した犠牲材料層の形成、及び、ダミー・ゲートを取り取り囲む犠牲材料層を形成する平坦化後の、図3の構造体を示す(3D図による)図形的表示である。 ダミー・ゲートを除去して、ダミー・ゲートをこれまで取り囲んでいた犠牲材料層間にトレンチを形成した後の、図4の構造体を示す(3D図による)図形的表示である。 半導体材料及び犠牲材料の交互層を含む少なくとも1つのパターン形成された材料スタック内に複数のフィンをエッチングした後の、図5の構造体を示す(3D図による)図形的表示である。 トレンチ内の各フィンの上部にあるパターン形成されたハードマスクの各々から上部層を除去した後の、図6の構造体を示す(3D図による)図形的表示である。 トレンチ内にスペーサを形成した後の、図7の構造体を示す(3D図による)図形的表示である。 フィンから犠牲材料の層を除去して、トレンチ内に垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤを形成した後の、図8の構造体を示す(3D図による)図形的表示である。 トレンチ内及び少なくとも垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤ上にゲート領域を形成した後の、図9の構造体を示す(3D図による)図形的表示である。 図10に示される線A−Aを通る構造体の断面図である。 図10に示される線B−Bを通る構造体の断面図である。
垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤ(例えば、ナノワイヤ・メッシュ)を含む半導体構造体、及びこうした半導体構造体を製造する方法を提供する本発明が、ここで以下の説明及び本出願に添付する図面を参照することによってより詳細に説明される。本出願の図面は、例示を目的として与えられるものであり、従って、図面は縮尺通りに描かれていないことが留意される。
以下の説明においては、本発明の完全な理解を与えるために、特定の構造体、構成要素、材料、寸法、処理ステップ及び技術といった多数の特定の詳細が記載される。しかしながら、当業者であれば、これらの特定の詳細なしで本発明を実施できることを理解するであろう。他の例では、本発明を不明瞭にするのを避けるために、周知の構造体又は処理ステップは詳細に説明していない。
層、領域又は基板のような要素が、別の要素「上に(on)」又は別の要素「の上に(over)」にあるものとして言及されるとき、その要素が他の要素の直接上にあってもよく、又は介在する要素が存在してもよいことが理解されるであろう。対照的に、要素が別の要素の「直接上に(directly on)」又は別の要素の「直接上に(directly over)」あるものとして言及されるとき、介在する要素は存在しない。要素が別の要素に「接続する(connected)」又は「結合する(coupled)」ものとして言及されるとき、その要素は、他の要素に直接的に接続又は結合してもよく、又は介在する要素が存在してもよいことも理解されるであろう。対照的に、要素が別の要素に「直接接続する(directly connected)」又は「直接結合する(directlycoupled)」ものとして言及されるとき、介在する要素は存在しない。
ここで、本出願の基本的な処理の流れを示す図形的表示である、本出願の図1−図10を参照する。以下の説明及び図面においては、例示目的のために、1つのダミー・ゲートが示される。単一のダミー・ゲートが示されるが、本発明の方法は、基板の上部に複数のダミー・ゲートを形成する際に用いることができ、これにより複数のナノワイヤFETが最終的に形成される。
本発明のプロセスは、まず、図1に示される初期構造体10を準備することで始まる。具体的には、図1は、埋込み絶縁層12A及び上部半導体層12Bを含む半導体・オン・インシュレータ(SOI)基板12の処理部分を含む初期構造体10を示し、明確にするために、埋込み絶縁層12Aの下に位置するSOI基板12の下部半導体層は示されていない。さらに示されるように、上部半導体層12Bは、例えばドープされたSiGe合金のような複数の犠牲材料層によって垂直方向に離間配置された、垂直方向に積層された複数の半導体層を含むパターン形成された材料スタック14の最下層を表す。図1において、パターン形成された材料スタック14の半導体材料の付加的な層は15として表され、犠牲材料層は15’として表される。上述のように、パターン形成されたスタックの最下層は、SOI基板12の上部半導体層12Bで構成される。
初期構造体10はまた、底部から上部に、パターン形成された材料スタック14の上部に配置された第1のハードマスク16及び第2のハードマスク18を含むパッド・スタックも含む。また、第1のハードマスク16のブランケット層及び上部半導体層12Bを含むパターン形成された材料スタック14内に形成された分離トレンチ内に配置された窒化物ライナ19も示される。トレンチ底部は、埋込み絶縁層12Aの上面の上部で停止することが留意される。
図1に示される初期構造体10は、まず、下部半導体層(具体的には図示せず)と、埋込み絶縁層12Aと、上部半導体層12Bとを含む半導体オン・インシュレータ(SOI)基板12を準備することにより形成される。SOI基板12の上部半導体層12Bは、例えばSi、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP及び他のIII/V族及びII/VI族化合物半導体を含む、いずれかの半導体材料を含むことができる。典型的には、SOI基板12の上部半導体層12Bは、Si、SiGe、SiGeC及びSiCの1つを含むSi含有半導体材料である。より典型的には、SOI基板12の上部半導体層12Bは、シリコンから構成される。下部半導体層もまた、上述の半導体材料のいずれかを含むことができ、シリコンが非常に好ましい。
SOI基板12の上部半導体層12Bは、厚さが典型的には100nm未満の薄層であり、市販のSOI基板は、厚さが典型的には30nmから90nmまでの範囲に及ぶ上部半導体層を有する。この構造体については、所望の開始厚の範囲は、典型的には、20nmを下回り、5nmから10nmまでの間であり得る。このターゲット厚は、より厚いSOI基板の酸化的薄層化、又は化学機械研磨による平坦化(CMP)及び研削により達成することができる。
SOI基板12の埋込み絶縁層12Aは、結晶又は非結晶酸化物、窒化物、酸窒化物、又はこうした絶縁体の多層スタックを含む、それらの任意の組み合わせから構成することができる。典型的には、埋込み絶縁層12Aは、二酸化シリコンで構成される。埋込み絶縁層12Aの厚さは、典型的には、50nmから200nmまでであり、100nmから150nmまでの厚さがより典型的である。埋込み絶縁層12Aは、上部半導体層と下部半導体層との間の連続的な層としてもよく、又は複数の層で構成されてもよい。
SOI基板12は、当業者には周知の通常の処理を用いて形成される。例えば、SOI基板は、接合プロセス又はSIMOX(separation by ion implantation ofoxygen、酸素のイオン注入による分離)として知られるプロセスによって作製することができる。必要に応じて、上述の薄層化法の1つを使用し、上部半導体層12Bの最終的な厚さが上述の厚さ範囲内になるように、上部半導体層12Bを薄層化することができる。
上部Si含有層12Bを含むSOI基板12を準備した後、SOI基板12の上部半導体層12Bの上に、犠牲材料15’及び半導体材料15の交互層を形成する。形成される犠牲材料15’の各層は、例えばSiGeを含む結晶材料を含むことができる。この層を随意的にドープして、例えば、n+又はp+ドープされたSiGeを形成することができる。P及びAsは、用いることができるn型ドーパントの例であり、Bは、用いることができるp型ドーパントの例である。犠牲材料層15’におけるドーパント濃度は、典型的には、1019原子/cmから1022原子/cmまでであり、これらの層におけるドーパント濃度は、典型的には、結晶化度を維持しながら、可能な限り高くなるよう狙いを定めている。ドーピングは、インサイチュで(in-situ)(すなわち、犠牲材料の個々の層を堆積する際に)、又はエックスサイチュで(ex-situ)(すなわち、犠牲材料の個々の層を堆積した後に)実行することができ、nFET及びpFETが最終的に望まれる場合、それぞれn型ドープ領域及びp型ドープ領域が必要とされるため、CMOSのためにエックスサイチュ・ドーピングが必要とされる。形成される犠牲材料15’の各層は、エピタキシャル成長プロセスを用いて堆積される。従って、犠牲材料15’は単結晶であり、層12B及び15のものに近い結晶寸法を有するべきである。典型的には、犠牲材料の各層の厚さは、5nmから20nmまでであり、寄生容量を最小にするために、厚さを可能な限り小さくすると同時に、ゲート誘電体の2つの層及びゲート導体の1つの層が、プロセスの際に後に犠牲層が除去されて形成される間隙に適合(フィット)するように十分な余地を残すべきである。
形成される各半導体材料の各層15は、SOI基板12の上部半導体層12Bと同じ半導体材料、又はこれとは異なる半導体材料を含むことができる。典型的には、形成される半導体材料の各層15は、Si含有半導体から構成され、Siが非常に好ましい。形成されるSi含有材料の各層15は、エピタキシャル成長プロセスを用いて堆積される。従って、半導体材料の各層も単結晶である。形成される各半導体材料15の各層の厚さは、典型的には、5nmから20nmまでであり、均一なFET特性のために、層12Bのものに類似した厚さが好ましい。
半導体の各層及び犠牲材料の各層を形成するのに用いられるエピタキシャル成長プロセスは、800℃未満で実行され、650℃未満の温度がより好ましい。この成長プロセスは、各層の成長の間に真空を破らずに実行することができ、或いは、各層の成長の間に真空を破り、CMOSに対する犠牲層のエックスサイチュ・ドーピングのような余分な処理を可能にすることもできる。犠牲材料及び半導体材料の交互層の成長中に真空が破られないことが好ましい。層15及び15’の成長中に真空が破られるか又は破られないかに関わらず、典型的には、各々の連続する層形成の間にパージ・ステップが実行される。
層15及び15’の各々を形成するのに用いられる成長圧力は、100トール未満にすべきであり、50トール未満の成長圧力がより好ましい。
上述の処理ステップのために、層15の各々は、約5%未満又はこれと等しい高さの変動を有することが留意される。層12Bの高さの変動は、SOI基板及び用いられる薄層化法によって決まるが、2nm又はそれ未満に維持できなければならない。層12B及び15の各々についての高さの変動は、同じくこの同じ高さの変動を有する半導体ナノワイヤをもたらすことが、さらに留意される。犠牲材料の各層の厚さは、(後に形成される)垂直方向に離間配置される各々の半導体ナノワイヤが分離される距離を決定することが、さらに留意される。
SOI基板12の上部半導体層12Bの上部に形成される、犠牲材料15’及び半導体材料15の層の数は変化し得る。上部半導体12B、犠牲材料層15’及び半導体材料層15の層の組み合わせが、材料スタックを形成し、これを用いて、Z方向における半導体ナノワイヤの位置を定めることが留意される。
犠牲材料及び半導体材料の交互層を形成した後、半導体材料の最上層の上部に、第1のハードマスク16が形成される。第1のハードマスク16は、機械的特性により、並びに(後に形成される)スペーサ材料30、(同じく後に形成される)第2のハードマスク18、及び用いられるフィン・エッチング・プロセスに対するエッチング選択性のような、プロセス考慮事項により選択すべきである。1つの実施形態において、第1のハードマスク16は、二酸化シリコンである。第1のハードマスク16は、これらに限定されるものではないが、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)及び化学溶液堆積を含む通常の堆積プロセスによって形成される。第1のハードマスク16の厚さは、これを製造するために用いられる処理技術に応じて変化し得る。しかしながら、典型的には、第1のハードマスク16は、5nmから40nmまでの厚さを有し、10nmから20nmまでの厚さがより典型的である。
第1のハードマスク16を形成した後、第1のハードマスク16、犠牲材料15’及び半導体材料15の交互層、並びにSOI基板12の上部半導体層12Bの非アクティブ領域を除去することにより、トレンチ分離領域(具体的には図示せず)が形成され、埋込み絶縁層12Aの表面上で停止する。トレンチ分離領域は、まず、リソグラフィ及びエッチングによって形成される。リソグラフィ・ステップは、第1のハードマスク16の上部にフォトレジスト(図示せず)を適用することと、フォトレジストを放射線の所望のパターン、例えばトレンチ・パターンに露光することと、通常のレジスト現像液を用いて露光されたレジストを現像することとを含む。次に、乾式エッチング及び化学エッチングの一方を含むエッチング・プロセスを用いて、トレンチ・パターンを少なくとも第1のハードマスク16に転写する。乾式エッチングが用いられる場合、反応性イオン・エッチング(RIE)、イオン・ビーム・エッチング、プラズマ・エッチング及びレーザー・アブレーションの1つが用いられる。化学エッチングが用いられる場合、露光され、現像されたフォトレジストに対してパッド酸化物を選択的にエッチングする化学エッチング剤が用いられる。場合によっては、トレンチ・パターンを第1のハードマスク16に転写した後、アッシングのような通常の剥離プロセスを用いて、露光され、現像されたフォトレジストを剥離する。他の場合では、犠牲材料15’及び半導体材料15の交互層、並びに上部半導体層12B内にトレンチ・パターンを転写する際に、露光され、現像されたフォトレジストが、構造体上に残る。パターン形成された第1のハードマスク16から層15’及び15、並びに12Bへのトレンチ・パターンの転写は、上述のエッチング・プロセスの1つを含む。
第1のハードマスク16、層15’及び15、並びに12B内にトレンチ・パターンを定めた後、例えばCVD、PECVD及びALDのような通常の堆積プロセスを用いて、少なくともトレンチ側壁上に窒化物ライナ19を形成する。窒化物ライナ19は、典型的には、1nmから20nmまでの厚さを有し、1nmから5nmまでの厚さが、さらにより典型的である。
次に、上記で形成された残りのトレンチ領域内に、トレンチ誘電体材料(図示せず)が形成され、その後、構造体に、CMP及び研削の一方を含む通常の平坦化プロセスが施される。トレンチ誘電体材料(図示せず)は、例えば二酸化シリコンを含む通常のトレンチ誘電体材料で構成される。トレンチ誘電体は、周知の堆積プロセスを用いて形成することができる。例えば、高密度プラズマ酸化物堆積プロセス、又はテトラエチルオルソシリケート(TEOS)を用いた堆積を利用することができる。
トレンチ分離領域の形成中、ハードマスク16、並びに層15、15’及び12Bがパターン形成されることが、留意される。本明細書においては、パターン形成された層15、15’及び12Bを、まとめてパターン形成された材料スタック14と呼ぶことがさらに留意される。
次に、パターン形成された第1のハードマスク16、窒化物ライナ19及びトレンチ誘電体材料(図示せず)を含む構造体の上部に、第2のハードマスク18が形成される。第2のハードマスク18は、第1のハードマスク16、パターン形成された材料スタック14及び(後に形成される)スペーサ材料30と比較した機械的特性及びエッチング抵抗により選択すべきである。本発明の1つの実施形態においては、窒化シリコンを第2のハードマスク18として用いることができる。第2のハードマスク18は、第1のハードマスク16に関して上述したものを含む、通常の堆積プロセスを用いて形成される。第2のハードマスク18の厚さは、これを形成するために用いられるプロセスに応じて変化し得る。典型的には、第2のハードマスク18は、5nmから30nmまでの厚さを有し、15nmから20nmまでの厚さが、さらにより典型的である。
ここで、図1に示される初期構造体10をパターン形成し、エッチングして、パターン形成された材料スタック14の半導体材料の最上層の上部に位置する複数のパターン形成されたハードマスク20を含ませるようにした後に形成される構造体を示す図2を参照する。下部の第1のハードマスク層16及び上部の第2のハードマスク層18を含む、各々のパターン形成されたハードマスク20が、X方向に半導体ナノワイヤの位置を定める。パターン形成ステップは、トレンチ・パターンの代わりに、マスク・パターンが適用されたフォトレジスト内に形成され、次いで第2のハードマスク18及びハードマスク16の保護されていない部分に転写される点を除けば、上述のものと同様のリソグラフィ・ステップを含む。
次に、エッチングを実行して、パターンを、パターン形成されたフォトレジストから下にあるパッド層(すなわち、それぞれ層18及び16)に転写する。まず、同じ又は異なるエッチング・ステップを用いて、パターンを第2のハードマスク18に転写し、次いで下にある第1のハードマスク16に転写することができる。パターンを第2のハードマスク18に転写した後又はパターンを第2のハードマスク18から下にある第1のハードマスク16に転写した後、パターン形成されたフォトレジストを除去することができる。通常のアッシング・プロセスを用いて、構造体からパターン形成されたレジストを剥離することができる。エッチングは、乾式エッチング(すなわち、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザー・アブレーション)、湿式化学エッチング、或いはこれらのいずれかの組み合わせを用いて行なうことができる。幾つかの実施形態においては、パターンを第2のハードマスク18及び第1のハードマスク16に転写するのに用いられるエッチングには、乾式エッチング(すなわち、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザー・アブレーション)が含まれる。図2に示されるように、エッチング・プロセスは、パターン形成された材料スタック14の半導体材料の最上層の上部で停止する。
フィン・マスクと呼ぶことができる、各々のパターン形成されたハードマスク20は、200nm未満の、典型的には10nmから200nmまでの間の、例えば各々の隣接するパターン形成されたハードマスク20間の距離のようなピッチを有するように構成され、40nmから50nmまでの間の各々の隣接するパターン形成されたハードマスク20間の距離が、さらにより典型的であることが留意される。レイアウト密度を最大にし、寄生容量を最小にするために、ピッチは、パターン形成及び処理の限界内で可能な限り小さくすべきである。直接リソグラフィによって定めることができるものより小さいピッチを達成するために、側壁像転写(sidewall image transfer)又は二重パターン形成/二重エッチングのようなピッチ二重化技術を用いることができる。各々のパターン形成されたハードマスク20の幅は、典型的には、40nm未満、より典型的には、5nmから40nmまでであり、5nmから10nmの間の幅が、さらにより典型的である。各々のパターン形成されたハードマスク20のピッチ及び幅は、各フィンのピッチ及び幅を決定し、後に各々の半導体ナノワイヤのピッチ及び幅を決定することが、さらに留意される。
本発明のプロセスのこの時点で、パターン形成された材料スタック14の露出面上に、パターン形成された材料スタック14の露出した上面上に薄い(約5nm又はそれより薄いオーダーの)酸化物層22を形成する熱酸化プロセスを施すことができる。薄い酸化物層22は、本発明の後の処理ステップの際にエッチング停止層として働く。薄い酸化物層22を含む、結果として得られる構造体が、例えば図3に示される。
次に、ダミー・ゲート24を形成し、同じく図3に示される構造体を形成する。図示されるように、ダミー・ゲート24は、パターン形成されたハードマスク20の各々を横切って中央部に形成される。下にあるパターン形成されたハードマスク20と直交するダミー・ゲート24の位置は、Y方向におけるナノワイヤ・チャネルの位置、並びに、ゲートの位置を定める。本発明に用いられるダミー・ゲート24は、ポリシリコン又は関連した他の犠牲材料を含む。ダミー・ゲート24は、まず、例えば化学気相堆積、プラズマ強化化学気相堆積又は化学溶液堆積を含む通常の堆積プロセスを用いて、図2に示される構造体の上部にブランケット層をもたらすことによって形成される。次に、リソグラフィ及びエッチングによって、ダミー・ゲート材料のブランケット層をパターン形成して、図3に示されるようなダミー・ゲート24を形成する。
本発明のプロセスのこの時点で、随意的にトップダウン型注入(図示せず)を用いて、n型ドーパント又はp型ドーパントのいずれかで、パターン形成された材料スタック14の半導体材料の上層をドープすることができる。この注入のための条件は、当業者には周知であり、用いられるドーパント種の型に応じて変化し得る。
図4は、図3に示される構造体を犠牲材料層26で充填し、平坦化した後に形成される構造体を示す。ダミー・ゲート24を取り囲む犠牲材料層26は、例えばSiO又は窒化シリコンのようないずれかの適切な充填材料を含むことができる。充填ステップは、例えば高密度プラズマ堆積プロセスなどの通常の堆積プロセスを含む。平坦化ステップは、化学機械研磨(CMP)及び/又は研削を含む。明確にするために、残りの図面では、層22が図示されないことが留意される。
次に、図5に示されるように、(化学ダウン・ストリーム又はKOHエッチングのような)化学エッチング・プロセス又は反応性イオン・エッチングを用いて、構造体からダミー・ゲート24を除去する。エッチング・プロセスは、パターン形成されたハードマスク20及び薄い化学酸化物層22の上部で停止する。ダミー・ゲート24の除去により、犠牲材料層26の隣接する部分の間にトレンチ25が形成される。トレンチ25は、チャネル領域を、ソース及びドレイン(以下、ソース/ドレイン)領域が後に形成される領域と区別する。本発明の方法のこの時点では、ソース/ドレイン領域が後に形成される領域は、犠牲材料層26によって保護されていることに留意されたい。
構造体からダミー・ゲート24を除去した後、犠牲材料層26及びパターン形成されたハードマスク20によって形成されたパターンを、パターン形成された材料スタック14に異方性に転写するエッチング・ステップを用いて、トレンチ25内のパターン形成された材料スタック14の露出部分を除去する。このようなエッチングの例は、反応性イオン・エッチング・プロセスである。結果として得られる構造体が、例えば図6に示される。パターン形成されたハードマスク20によって保護される、パターン形成された材料スタック14の残りの部分が、本発明の構造体の複数のフィン28を形成することが留意される。
次に、第1のハードマスク16及び/又は半導体材料に比べて第2のハードマスク18を選択的に除去するエッチング・プロセスを用いて、トレンチ25におけるあらゆる残りの第2のハードマスク18(例えば、各々のパターン形成されたハードマスク20の上部)を除去することができる。しかしながら、理想的には、第2のハードマスク18の厚さは、前のフィン・エッチング中に大部分が消費されるように選択されるはずであり、従って、この時点では、構造体上にあまり残っていないはずである。結果として得られる構造体が、図7に示される。本発明の方法のこの時点では、パターン形成されたハードマスク20の第1のハードマスク16が、各フィン28の上部に残ることが留意される。
トレンチ25内からパターン形成されたハードマスク20の第2のハードマスク18を除去した後、堆積及びエッチングによって、図8に示されるスペーサ30を随意的に形成することができる。スペーサ30は、例えば、窒化物、酸窒化物及び/又は酸化物を含むいずれかの絶縁材料を含むことができる。本発明の1つの実施形態において、スペーサ30は、窒化物及び/又は酸窒化物から構成される。スペーサ30を形成するのに用いられるエッチング・プロセスは、典型的には、スペーサ材料が各フィン28の露出された側壁上に残らないように、大規模なオーバーエッチング(over etch)をもたらす条件を用いて実行される。すなわち、スペーサ30は、トレンチ25の側壁を完全に覆い、かつ、各フィン28上には全く存在しない。オーバーエッチングの量は、フィン28の高さによって決まり、100%から300%又はさらに多い程度まで平坦な領域からスペーサ材料を除去するために要する時間よりずっと多くなると考えられる。このスペーサは、ゲートとソース/ドレイン・ランディングパッドとの間の寄生容量を減少させるが、本発明のナノワイヤFETの切り替え能力にとって不可欠なものではない。
スペーサ30を形成した後、各フィン28から犠牲材料15’の各層を選択的に除去して、例えば層12B及び15などの半導体材料層を解放する。例えば層12B及び15などの解放された半導体材料層は、ここでは、半導体ナノワイヤ32と呼ばれる。トレンチ25内の1つ1つの半導体ナノワイヤ32が、本発明の構造体の、例えば半導体ナノワイヤ・チャネルなどのチャネルを表す。今や解放された半導体ナノワイヤ32を含む結果として得られる構造体が、例えば図9に示される。図9に示される構造体は、トレンチ25内に配置された、例えばナノワイヤ・メッシュなどの、垂直方向に積層され、離間配置された複数の半導体ナノワイヤ32を含むことが留意される。
幾つかの実施形態においては、各フィン28からの犠牲材料層15’の除去は、半導体材料15及び12Bの層に比べてより低い犠牲材料層15’の酸化電位を利用するエッチング剤を用いて、化学的に達成される。このようなエッチング剤の例は、これらに限定されるものではないが、HF:H:CHCOOHの1:2:3混合物、又はHSOとHの混合物がある。
本発明のさらに他の実施形態において、各フィン28からの犠牲材料層15’の選択的除去は、Oプラズマ・エッチングのような乾式エッチング・プロセス、又はエッチングのために典型的に用いられるプラズマ化学作用を用いて達成することができる。
除去する前に犠牲材料15’がフィン28内にあったソース/ドレイン領域の側壁上には、スペーサが形成されないことに留意されたい。これらの時点におけるゲートとソース/ドレイン・ランディングパッドとの間の寄生容量を減少させるために、露出された犠牲材料15’上に、スペーサを選択的に形成することができる。例えば、ドープされたSiGe犠牲材料15’及びドープされていないSiナノワイヤ32の場合、650℃での高圧酸化(10気圧)のような低温酸化プロセスを用いて、露出されたSiGeを選択的に酸化させることができる。この特定のプロセスは、Siの制御と比べて、25パーセント・ドープされたSiGeについて70倍の酸化速度の増加を示し、ナノワイヤ・チャネルを酸化することなく、ソース/ドレイン・ランディングパッド上に酸化物スペーサを形成することを可能にする。
本発明のこの時点で、固体ソース拡散アニールを実行して、犠牲材料15’の残りの層からドーパントで、例えばソース/ドレイン領域内部などのトレンチ25の外部にある、例えば12B及び15などの半導体材料の残りの層の各々をドープすることができる。アニールを用いて、犠牲材料15’の残りの層から、トレンチ25の外部に存在する、例えば層12B及び15などの半導体材料の残りの層内にドーパントを外方拡散させた後、ドーパントを活性化させることもできる。代替的に、レーザー・アニール又はフラッシュ・アニールのような高温拡散アニールを用いて、一旦層12B及び15内に拡散したドーパントを活性化させることができる。
用いられる際、固体ソース拡散アニールは、800℃又はそれより高い温度で実行され、850℃から1150℃までの温度が、さらにより典型的である。このアニールは、ヘリウム、アルゴン、ネオン、キセノン及びクリプトンのうちの1つを含む不活性雰囲気中で優先的に行われる。トレンチ25の外部に形成される半導体拡散領域が、図10に示される。具体的には、拡散領域の一部をソース領域36Aとして用いることができ、他の拡散領域はドレイン領域36Bであり、あるFETについてはソース領域として、別のFETについてはドレイン領域として働くように、領域を2つのデバイス間で共有することもできる。図10に示されるように、例えばナノワイヤ・チャネルのような、垂直方向に積層され垂直方向に離間配置された各々の半導体ナノワイヤ32の一方の端部セグメントが、ソース領域36Aに接続され、垂直方向に積層され垂直方向に離間配置された各々の半導体ナノワイヤ32の別の端部セグメントが、ドレイン領域36Bに接続される。従って、各々の半導体ワイヤ及び対応するソース及びドレイン領域は、一体構成のものであり、これらの間に材料界面は配置されない。
固体ソース拡散及び/又は活性化アニールの前ではなく、この時点で、上述の露出した材料15’の差動化学酸化を実行できることに留意されたい。
本発明のプロセスのこの時点で、通常の堆積プロセス又は熱成長プロセスを用いて、トレンチ25内にゲート誘電体(図には具体的には示されていない)を形成することができる。ゲート誘電体は、低kゲート誘電体、すなわち二酸化シリコンより小さい誘電率を有する絶縁材料;二酸化シリコン;又は高kゲート誘電体、すなわち二酸化シリコンより大きい誘電率を有する絶縁体とすることができる。ゲート誘電体は、典型的には、半導体ナノワイヤ32と後に形成されるゲート材料との間に配置される。
次に、トレンチ25をゲート材料で充填することによって、半導体ナノワイヤ32の各々の上に、置換ゲート、すなわちゲート導体34を形成する。ゲート材料がトレンチ25内に充填されると、例えば化学機械研磨のような平坦化を用い、エッチング停止部として犠牲材料層26を用いてゲートを平坦化する。適切なゲート材料には、これらに限定されるものではないが、ポリシリコン、シリコン・ゲルマニウム、元素金属、元素金属の合金、金属窒化物及び/又は金属シリサイドのような材料の1つ又は複数の層が含まれる。
上述のゲート誘電体及びゲート導体を含むゲート領域は、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤ32の少なくとも一部分の上に配置される。犠牲材料層26により依然として保護されているソース領域36A及びドレイン領域36Bは、ゲート領域と自己整合される。
ゲート領域を形成した後、構造体に平坦化プロセスが施され、各トレンチ内に予め形成されたスペーサ30の上面の上部で停止する。スペーサ30は、垂直方向に積層され垂直方向に離間配置された各々の半導体ナノワイヤ32の間に配置され、スペーサ30は、ゲート領域と本発明の構造体のソース領域36A及びドレイン領域36Bとの間に配置されることが留意される。これにより、寄生容量が減少される。
幾つかの実施形態(図示せず)においては、選択的エッチング・プロセスを用いて、構造体から犠牲材料層26を除去することができ、シリサイド形成、コンタクト・ビア形成及び金属配線のマルチレベルの金属化のような通常の微細加工プロセスを続行することができる。代替的に、ダミー・ゲート24のパターンを形成した後、犠牲材料層26を除去する前に、ソース/ドレイン・ランディングパッドのシリサイド化を行なうことができる。しかしながら、このことは、ドーパント活性化アニールのサーマル・バジェットに耐えることができるシリサイド化材料を必要とする。
図10に示される本発明の構造体において、構造体が垂直方向に積層され垂直方向に離間配置された半導体ナノワイヤ32を含むので、チャネル幅密度が増大されることが認められる。垂直方向に積層され、垂直方向に離間配置される構成により、図11に示される導電面50がもたらされる。図12に示されるように、ゲートとランディングパッド間の構造体が自己整合されるので、緊密なゲート・ピッチが可能になることがさらに認められる。
固体ソース・ドーピングを用いる上述の本発明のプロセスは、トップダウン型注入により製造されるFinFETと比べて、接合部プロファイルのより良好な垂直方向の均一性を可能にすることがさらに認められる。従って、本発明のデバイスの高さ(すなわち、積層された半導体ナノワイヤの数)は、トップダウン型注入によりソース及びドレイン接合部を定める能力によって制限されるものではない。本発明のプロセスの間、ドープされていない半導体ナノワイヤ上に、薄い自己制限的(self-limiting)界面酸化物が形成されるので、本発明の構造体は、従来技術のFinFET構造体と比べて、ゲート・ソース/ドレイン間の静電容量が減少されることも、さらに認められる。
本発明は、その好ましい実施形態に関して特に示され、説明されたが、当業者であれば、本発明の精神及び範囲から逸脱することなく、上記の変更、並びに形態及び詳細の他の変更をなし得ることを理解するであろう。従って、本発明は、説明され、例証される正確な形態及び詳細に限定されるものではなく、添付の特許請求の範囲の範囲内に含まれることが意図される。
10:初期構造体
12:SOI基板
12A:埋込み絶縁層
12B:上部半導体層
14:材料スタック
15:半導体材料
15’:犠牲材料
16:第1のハードマスク層
18:第2のハードマスク層
19:窒化物ライナ
20:パターン形成されたハードマスク
24:ダミー・ゲート
25:トレンチ
26:犠牲材料層
28:フィン
30:スペーサ
32:半導体ナノワイヤ
34:ゲート導体
36A:ソース領域
36B:ドレイン領域

Claims (22)

  1. 基板の表面上に配置された、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤであって、各々の半導体ナノワイヤは2つの端部セグメントを有し、前記基板の表面に犠牲材料により垂直方向に離間配置された複数の半導体材料からなる複数のソース領域及びドレイン領域に対して、前記端部セグメントの一方は前記ソース領域に接続され、前記端部セグメントの他方は前記ドレイン領域に接続される、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤと、
    前記垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤの少なくとも一部分の上にゲート誘電体及びゲート導体を含むゲート領域であって、前記各ソース領域及び前記各ドレイン領域はゲート領域と自己整合される、ゲート領域と、
    を含む半導体構造体。
  2. 前記半導体ナノワイヤの各々は、200nm未満のピッチ及び40nm未満の幅を有する、請求項1に記載の半導体構造体。
  3. 前記半導体ナノワイヤの各々は、Si含有半導体材料を含む、請求項1に記載の半導体構造体。
  4. 前記半導体ナノワイヤの各々は、5%未満又はこれと等しい高さの変動を有する、請求項1に記載の半導体構造体。
  5. 垂直方向に積層され垂直方向に離間配置された各々の半導体ナノワイヤの間、及び、前記ゲート領域と前記ソース及びドレイン領域との間に配置されたスペーサをさらに含む、請求項1に記載の半導体構造体。
  6. 垂直方向に離間配置された各々の半導体ナノワイヤは、200nm未満の距離だけ分離される、請求項1に記載の半導体構造体。
  7. 各々の半導体ナノワイヤ上に配置され、5nm未満の厚さを有する界面酸化物層をさらに含む、請求項1に記載の半導体構造体。
  8. 前記ソース領域及びドレイン領域の上部に配置され、前記ゲート領域を取り囲み、かつ、前記ゲート領域の上面と同一平面上の上面を有する犠牲材料層を更に含む、請求項1に記載の半導体構造体。
  9. 半導体オン・インシュレータ基板の埋込み絶縁層の表面上に配置された、垂直方向に積層され垂直方向に離間配置された複数のシリコン・ナノワイヤであって、各々のシリコン・ナノワイヤは2つの端部セグメントを有し、前記基板の表面に犠牲材料により垂直方向に離間配置された複数の半導体材料からなる複数のソース領域及びドレイン領域に対して、前記端部セグメントの一方は前記ソース領域に接続され、前記端部セグメントの他方は前記ドレイン領域に接続され、前記シリコン・ナノワイヤの各々は、200nm未満のピッチと、40nm未満の幅と、5%未満又はこれと等しい高さの変動とを有する、垂直方向に積層され垂直方向に離間配置された複数のシリコン・ナノワイヤと、
    前記垂直方向に積層され垂直方向に離間配置された複数のシリコン・ナノワイヤの少なくとも一部分の上にゲート誘電体及びゲート導体を含むゲート領域であって、各ソース領域及び各ドレイン領域はゲート領域と自己整合される、ゲート領域と、
    前記ソース領域及びドレイン領域の上部に配置され、前記ゲート領域を取り囲み、かつ、前記ゲート領域の上面と同一平面上の上面を有する、犠牲材料層と、
    を含む半導体構造体。
  10. 垂直方向に離間配置された各々のシリコン・ナノワイヤは、200nm未満の距離だけ分離される、請求項9に記載の半導体構造体。
  11. 各々のシリコン・ナノワイヤ上に配置され、5nm未満の厚さを有する界面酸化物層をさらに含む、請求項9に記載の半導体構造体。
  12. 垂直方向に積層され垂直方向に離間配置された各々のシリコン・ナノワイヤの間、及び、前記ゲート領域と各ソース領域及び各ドレイン領域との間に配置されたスペーサをさらに含む、請求項9に記載の半導体構造体。
  13. 半導体構造体を形成する方法であって、
    半導体材料及び犠牲材料の交互層を含むパターン形成された材料スタックの上部に複数のパターン形成されたハードマスクを準備することであって、前記パターン形成された材料スタックの最下層は半導体基板の上部半導体層である、準備することと、
    前記複数のパターン形成されたハードマスクの各々の中央部の上に少なくとも1つのダミー・ゲートを形成することと、
    前記少なくとも1つのダミー・ゲートに当接する犠牲材料層を形成することと、
    前記少なくとも1つのダミー・ゲートを除去して、前記犠牲材料層内に少なくとも1つのトレンチを形成することであって、各々のトレンチは、前記複数のパターン形成されたハードマスクの前記中央部の上に中心があり、フィン領域をソース及びドレイン領域と区別する、除去することと、
    前記複数のパターン形成されたハードマスクをエッチング・マスクとして用いて、前記パターン形成された材料スタックの前記少なくとも1つのトレンチ内に複数のフィンをエッチングすることと、
    前記少なくとも1つのトレンチ内の前記複数のパターン形成されたハードマスク及び犠牲材料の各層を除去して、前記少なくとも1つのトレンチ内に、垂直方向に積層され垂直方向に離間配置された複数の半導体ナノワイヤを形成することと、
    前記少なくとも1つのトレンチを少なくともゲート領域で充填することと、
    を含む方法。
  14. 前記半導体基板は半導体オン・インシュレータであり、前記パターン形成された材料スタックの前記最下層は埋込み絶縁層上に配置される、請求項13に記載の方法。
  15. 前記上部半導体層を除く犠牲材料及び半導体材料の前記交互層は、エピタキシャル成長プロセスによって形成され、前記エピタキシャル成長プロセスは、800℃未満の温度及び100トール未満の圧力で実行される、請求項13に記載の方法。
  16. 前記犠牲材料層の各層は、前記エピタキシャル成長プロセス中にin-situ(インサイチュ)でドープされた半導体材料である、請求項15に記載の方法。
  17. 前記複数のパターン形成されたハードマスクを除去することと、前記少なくとも1つのトレンチ内の犠牲材料の各層を除去することとの間に、前記少なくとも1つのトレンチ内にスペーサを形成することをさらに含み、前記スペーサは、堆積及びエッチングによって形成され、前記エッチングは、各フィンの側壁上にスペーサ材料が残らないように、大規模のオーバーエッチングを用いて実行される、請求項13に記載の方法。
  18. 前記犠牲材料の各層を除去することは、前記半導体材料の層と比較してより低い前記犠牲材料の酸化電位を利用するエッチング剤を用いて化学的に実行される、請求項13に記載の方法。
  19. 前記犠牲材料の各層を除去することは、プラズマ・エッチング・プロセスを用いて実行される、請求項13に記載の方法。
  20. 前記少なくとも1つのトレンチ内の前記複数のパターン形成されたハードマスク及び犠牲材料の各層を除去することと、前記少なくとも1つのトレンチを少なくともゲート領域で充填することとの間に固体ソース拡散アニールを実行することをさらに含み、前記固体ソース拡散アニールは、前記少なくとも1つのトレンチの外部にある前記半導体材料層内にソース領域及びドレイン領域を形成する、請求項13に記載の方法。
  21. 前記固体ソース拡散アニールは、不活性雰囲気中で、800℃又はこれより高い温度で実行される、請求項20に記載の方法。
  22. 各々の半導体ナノワイヤは、200nm未満のピッチ及び40nm未満の幅を有する、請求項13に記載の方法。
JP2011549448A 2009-02-17 2009-12-11 ナノワイヤ・メッシュ・デバイス及びその製造方法 Expired - Fee Related JP5671481B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/371,943 US7893492B2 (en) 2009-02-17 2009-02-17 Nanowire mesh device and method of fabricating same
US12/371,943 2009-02-17
PCT/EP2009/066922 WO2010094360A1 (en) 2009-02-17 2009-12-11 Nanowire mesh device and method of fabricating same

Publications (2)

Publication Number Publication Date
JP2012518269A JP2012518269A (ja) 2012-08-09
JP5671481B2 true JP5671481B2 (ja) 2015-02-18

Family

ID=41818883

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011549448A Expired - Fee Related JP5671481B2 (ja) 2009-02-17 2009-12-11 ナノワイヤ・メッシュ・デバイス及びその製造方法

Country Status (6)

Country Link
US (2) US7893492B2 (ja)
EP (1) EP2335285B1 (ja)
JP (1) JP5671481B2 (ja)
KR (1) KR101369134B1 (ja)
CN (1) CN102301480B (ja)
WO (1) WO2010094360A1 (ja)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100906154B1 (ko) * 2007-12-05 2009-07-03 한국전자통신연구원 반도체 나노선 센서 소자 및 이의 제조 방법
WO2009150999A1 (ja) * 2008-06-09 2009-12-17 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタ及びその作製方法、並びにこれを含む集積回路
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8422273B2 (en) * 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US8164134B2 (en) * 2009-06-09 2012-04-24 Samsung Electronics Co., Ltd. Semiconductor device
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
CN102593000B (zh) * 2011-01-13 2015-01-14 中国科学院微电子研究所 半导体器件及其制造方法
US8900935B2 (en) 2011-01-25 2014-12-02 International Business Machines Corporation Deposition on a nanowire using atomic layer deposition
KR20120100630A (ko) * 2011-03-04 2012-09-12 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
US8853790B2 (en) 2011-04-05 2014-10-07 International Business Machines Corporation Semiconductor nanowire structure reusing suspension pads
US8551833B2 (en) 2011-06-15 2013-10-08 International Businesss Machines Corporation Double gate planar field effect transistors
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
WO2013048417A1 (en) * 2011-09-29 2013-04-04 Intel Corporation Electropositive metal containing layers for semiconductor applications
US8803129B2 (en) 2011-10-11 2014-08-12 International Business Machines Corporation Patterning contacts in carbon nanotube devices
US8580624B2 (en) * 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
CN103117266B (zh) * 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 导电插塞及形成方法
US8722472B2 (en) 2011-12-16 2014-05-13 International Business Machines Corporation Hybrid CMOS nanowire mesh device and FINFET device
US8709888B2 (en) * 2011-12-16 2014-04-29 International Business Machines Corporation Hybrid CMOS nanowire mesh device and PDSOI device
US8563376B2 (en) * 2011-12-16 2013-10-22 International Business Machines Corporation Hybrid CMOS nanowire mesh device and bulk CMOS device
CN106887453B (zh) * 2011-12-19 2020-08-21 英特尔公司 Ⅲ族-n纳米线晶体管
CN107068753B (zh) 2011-12-19 2020-09-04 英特尔公司 通过部分熔化升高的源极-漏极的晶体管的脉冲激光退火工艺
CN103999226B (zh) 2011-12-19 2017-02-15 英特尔公司 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
CN104011869B (zh) * 2011-12-23 2018-04-03 英特尔公司 具有带有下面的扩散阻挡层的锗有源层的半导体器件
DE112011106004B4 (de) * 2011-12-23 2017-07-13 Intel Corporation Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur
KR101612658B1 (ko) * 2011-12-23 2016-04-14 인텔 코포레이션 변조된 나노와이어 카운트를 갖는 반도체 구조물 및 그 제조방법
CN104126228B (zh) 2011-12-23 2016-12-07 英特尔公司 非平面栅极全包围器件及其制造方法
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
KR101631778B1 (ko) 2011-12-23 2016-06-24 인텔 코포레이션 랩-어라운드 컨택트들을 가진 나노와이어 구조들
CN104126222B (zh) 2011-12-23 2017-06-13 英特尔公司 单轴应变纳米线结构
CN104137237B (zh) * 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104054181B (zh) * 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
US8648330B2 (en) 2012-01-05 2014-02-11 International Business Machines Corporation Nanowire field effect transistors
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US8698128B2 (en) 2012-02-27 2014-04-15 International Business Machines Corporation Gate-all around semiconductor nanowire FET's on bulk semicoductor wafers
US8674342B2 (en) 2012-02-27 2014-03-18 International Business Machines Corporation Pad-less gate-all around semiconductor nanowire FETs on bulk semiconductor wafers
CN103295878B (zh) * 2012-02-27 2016-05-25 中芯国际集成电路制造(上海)有限公司 一种多层纳米线结构的制造方法
CN103295903B (zh) * 2012-03-05 2016-06-15 中国科学院微电子研究所 围栅结构的鳍式半导体器件的制造方法
CN102646598A (zh) * 2012-03-31 2012-08-22 上海华力微电子有限公司 基于SOI的纵向堆叠式后栅型Si-NWFET制造方法
CN102646597B (zh) * 2012-03-31 2014-12-10 上海华力微电子有限公司 基于体硅的三维阵列式后栅型SiNWFET制备方法
CN102623385A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于SOI三维阵列式后栅型Si-NWFET制造方法
CN102610529A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 基于体硅的三维阵列式后栅型SiNWFET制备方法
CN102623384A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于SOI纵向堆叠式后栅型Si-NWFET制造方法
CN102623322B (zh) * 2012-03-31 2014-07-16 上海华力微电子有限公司 基于体硅的纵向堆叠式SiNWFET制备方法
CN102623338B (zh) * 2012-03-31 2014-11-26 上海华力微电子有限公司 基于soi的纵向堆叠式硅纳米线场效应晶体管制备方法
US8652932B2 (en) * 2012-04-17 2014-02-18 International Business Machines Corporation Semiconductor devices having fin structures, and methods of forming semiconductor devices having fin structures
CN102637605B (zh) * 2012-05-03 2015-01-07 上海华力微电子有限公司 基于SOI的后栅型积累模式Si-NWFET制备方法
CN102683294B (zh) * 2012-05-03 2014-06-04 上海华力微电子有限公司 制备SOI上双层隔离混合晶向后栅型反型模式SiNWFET的方法
CN102664169B (zh) * 2012-05-03 2014-10-22 上海华力微电子有限公司 基于SOI的后栅型积累模式Si-NWFET制备方法
CN102683293B (zh) * 2012-05-03 2014-07-16 上海华力微电子有限公司 双层SOI混合晶向后栅型反型模式SiNWFET的制备方法
CN102683413B (zh) * 2012-05-04 2015-07-29 上海华力微电子有限公司 混合晶向反型模式半导体纳米线mosfet
CN102683333B (zh) * 2012-05-04 2016-04-27 上海华力微电子有限公司 双层隔离混合晶向积累型纳米线mosfet
CN102683414B (zh) * 2012-05-04 2014-11-19 上海华力微电子有限公司 混合晶向反型模式半导体纳米线mosfet
KR101678405B1 (ko) * 2012-07-27 2016-11-22 인텔 코포레이션 나노와이어 트랜지스터 디바이스 및 형성 기법
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8679902B1 (en) * 2012-09-27 2014-03-25 International Business Machines Corporation Stacked nanowire field effect transistor
US8823059B2 (en) 2012-09-27 2014-09-02 Intel Corporation Non-planar semiconductor device having group III-V material active region with multi-dielectric gate stack
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8765563B2 (en) 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US8716751B2 (en) * 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US20140151756A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Fin field effect transistors including complimentarily stressed channels
US20140167162A1 (en) 2012-12-13 2014-06-19 International Business Machines Corporation Finfet with merge-free fins
US8969145B2 (en) * 2013-01-19 2015-03-03 International Business Machines Corporation Wire-last integration method and structure for III-V nanowire devices
US9136343B2 (en) * 2013-01-24 2015-09-15 Intel Corporation Deep gate-all-around semiconductor device having germanium or group III-V active layer
KR102033579B1 (ko) * 2013-01-25 2019-10-17 삼성전자주식회사 나노 와이어 채널 구조의 반도체 소자 및 그 제조 방법
US9190419B2 (en) 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US9006087B2 (en) 2013-02-07 2015-04-14 International Business Machines Corporation Diode structure and method for wire-last nanomesh technologies
US8927397B2 (en) 2013-02-07 2015-01-06 International Business Machines Corporation Diode structure and method for gate all around silicon nanowire technologies
US8778768B1 (en) 2013-03-12 2014-07-15 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with epitixially grown source and drain
US9054159B2 (en) * 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
CN110047752B (zh) * 2013-03-15 2023-03-17 索尼公司 利用硬掩模层的纳米线晶体管制造
US9000530B2 (en) * 2013-04-23 2015-04-07 International Business Machines Corporation 6T SRAM architecture for gate-all-around nanowire devices
US8912609B2 (en) * 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US8963251B2 (en) * 2013-06-12 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strain technique
US9236126B2 (en) * 2013-06-17 2016-01-12 Seoul National University R&Db Foundation Simplified nonvolatile memory cell string and NAND flash memory array using the same
US9035277B2 (en) 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
CN104347416B (zh) * 2013-08-05 2017-12-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9252016B2 (en) 2013-09-04 2016-02-02 Globalfoundries Inc. Stacked nanowire
US9257545B2 (en) 2013-09-12 2016-02-09 Globalfoundries Inc. Stacked nanowire device with variable number of nanowire channels
US9048262B2 (en) 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
EP3050109B1 (en) 2013-09-27 2020-11-25 Intel Corporation Semiconductor device having group iii-v material active region and graded gate dielectric
WO2015047354A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
US9508796B2 (en) * 2013-10-03 2016-11-29 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
EP2866264A1 (en) 2013-10-22 2015-04-29 IMEC vzw Method for manufacturing a field effect transistor of a non-planar type
CN103633123B (zh) * 2013-12-10 2016-07-27 中国科学院微电子研究所 一种纳米线衬底结构及其制备方法
US9472652B2 (en) 2013-12-20 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
CN104752200A (zh) * 2013-12-27 2015-07-01 中芯国际集成电路制造(上海)有限公司 晶体管及其制造方法
US9236397B2 (en) 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9437472B2 (en) * 2014-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor line feature and manufacturing method thereof
US9224811B2 (en) 2014-03-17 2015-12-29 Globalfoundries Inc Stacked semiconductor device
US9231080B2 (en) * 2014-03-24 2016-01-05 International Business Machines Corporation Replacement metal gate
US9209095B2 (en) * 2014-04-04 2015-12-08 International Business Machines Corporation III-V, Ge, or SiGe fin base lateral bipolar transistor structure and method
CN104979211B (zh) * 2014-04-10 2018-03-06 中芯国际集成电路制造(上海)有限公司 纳米线器件及其制造方法
US9601576B2 (en) * 2014-04-18 2017-03-21 International Business Machines Corporation Nanowire FET with tensile channel stressor
US9684753B2 (en) 2014-06-09 2017-06-20 International Business Machines Corporation Techniques for generating nanowire pad data from pre-existing design data
US9419107B2 (en) 2014-06-19 2016-08-16 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9219154B1 (en) 2014-07-15 2015-12-22 International Business Machines Corporation Method of fabricating electrostatically enhanced fins and stacked nanowire field effect transistors
US9917240B2 (en) 2014-07-24 2018-03-13 Samsung Electronics Co., Ltd. Thermoelectric element, method of manufacturing the same and semiconductor device including the same
US10396152B2 (en) 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9853166B2 (en) 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
CN104157579B (zh) * 2014-09-10 2017-10-03 中国科学院上海微系统与信息技术研究所 一种多沟道全包围栅极的半导体器件结构的制备方法
US9461149B2 (en) * 2014-09-12 2016-10-04 Globalfoundries Inc. Nanowire structure with selected stack removed for reduced gate resistance and method of fabricating same
US9318553B1 (en) 2014-10-16 2016-04-19 International Business Machines Corporation Nanowire device with improved epitaxy
US20160141360A1 (en) * 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9449820B2 (en) 2014-12-22 2016-09-20 International Business Machines Corporation Epitaxial growth techniques for reducing nanowire dimension and pitch
US9362354B1 (en) 2015-02-18 2016-06-07 International Business Machines Corporation Tuning gate lengths in semiconductor device structures
US9496338B2 (en) * 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
US10134840B2 (en) * 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
KR20220120657A (ko) * 2015-06-24 2022-08-30 인텔 코포레이션 대체 채널 FinFET들에서의 서브-핀 측벽 패시베이션
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9437501B1 (en) 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
US9608099B1 (en) 2015-09-22 2017-03-28 International Business Machines Corporation Nanowire semiconductor device
WO2017052587A1 (en) 2015-09-25 2017-03-30 Intel Corporation Passivation of transistor channel region interfaces
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
KR102406947B1 (ko) * 2015-10-08 2022-06-10 삼성전자주식회사 반도체 소자
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9590038B1 (en) 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
US9755034B2 (en) 2015-10-27 2017-09-05 Samsung Electronics Co., Ltd. Semiconductor device having nanowire
US10008564B2 (en) * 2015-11-03 2018-06-26 Tokyo Electron Limited Method of corner rounding and trimming of nanowires by microwave plasma
US9754840B2 (en) * 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9431301B1 (en) 2015-12-10 2016-08-30 International Business Machines Corporation Nanowire field effect transistor (FET) and method for fabricating the same
US9722022B2 (en) * 2015-12-28 2017-08-01 International Business Machines Corporation Sidewall image transfer nanosheet
US9425293B1 (en) 2015-12-30 2016-08-23 International Business Machines Corporation Stacked nanowires with multi-threshold voltage solution for pFETs
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US9484267B1 (en) 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
KR102461174B1 (ko) 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
KR102476143B1 (ko) 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
US9748404B1 (en) 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
KR102413610B1 (ko) 2016-03-02 2022-06-24 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
US9685539B1 (en) 2016-03-14 2017-06-20 International Business Machines Corporation Nanowire isolation scheme to reduce parasitic capacitance
CN109075126B (zh) * 2016-05-06 2023-01-31 株式会社索思未来 半导体集成电路装置
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
US9691851B1 (en) * 2016-06-10 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10236362B2 (en) 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
US10134905B2 (en) 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
CN107623033A (zh) * 2016-07-13 2018-01-23 中芯国际集成电路制造(上海)有限公司 多沟道全包围栅极器件及其制造方法
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US9704863B1 (en) 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
US9704995B1 (en) * 2016-09-20 2017-07-11 Advanced Micro Devices, Inc. Gate all around device architecture with local oxide
US10069015B2 (en) 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
US10177226B2 (en) 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
TWI746696B (zh) * 2016-11-14 2021-11-21 日商東京威力科創股份有限公司 奈米線及奈米平板處理用之本體矽電荷轉移之預防方法
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US9825143B1 (en) * 2017-01-09 2017-11-21 International Business Machines Corporation Single spacer tunnel on stack nanowire
US10199485B2 (en) * 2017-01-18 2019-02-05 United Microelectronics Corp. Semiconductor device including quantum wires
US10032867B1 (en) 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
CN108807386B (zh) * 2017-04-28 2023-04-07 三星电子株式会社 半导体器件
US9960272B1 (en) * 2017-05-16 2018-05-01 International Business Machines Corporation Bottom contact resistance reduction on VFET
US10096607B1 (en) * 2017-05-24 2018-10-09 International Business Machines Corporation Three-dimensional stacked junctionless channels for dense SRAM
KR102353931B1 (ko) 2017-09-13 2022-01-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN107871780A (zh) * 2017-11-20 2018-04-03 中国科学院上海微系统与信息技术研究所 场效应晶体管结构及其制备方法
WO2019148170A2 (en) * 2018-01-29 2019-08-01 Massachusetts Institute Of Technology Back-gate field-effect transistors and methods for making the same
CN110233176B (zh) * 2018-03-05 2022-07-22 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US11561195B2 (en) 2018-06-08 2023-01-24 Massachusetts Institute Of Technology Monolithic 3D integrated circuit for gas sensing and method of making and system using
US10490559B1 (en) 2018-06-27 2019-11-26 International Business Machines Corporation Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions
WO2020086181A2 (en) 2018-09-10 2020-04-30 Massachusetts Institute Of Technology Systems and methods for designing integrated circuits
CN112840448A (zh) 2018-09-24 2021-05-25 麻省理工学院 通过工程化原子层沉积对碳纳米管的可调掺杂
US11043493B2 (en) 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
EP3644350A1 (en) * 2018-10-26 2020-04-29 IMEC vzw Method for manufacturing 3d semiconductor device
CN109920738A (zh) * 2019-03-08 2019-06-21 中国科学院微电子研究所 半导体结构与其制作方法
CN110233108B (zh) * 2019-06-24 2022-07-22 中国科学院微电子研究所 一种围栅器件及其制造方法
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
EP3795721B1 (en) * 2019-09-19 2023-07-19 Murata Manufacturing Co., Ltd. Nanowire structure to form an array of isolated capacitors, and associated manufacturing methods
US11658245B2 (en) 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
CN113097301B (zh) * 2020-01-08 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US11158741B2 (en) * 2020-02-11 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure device and method
CN113782602A (zh) * 2020-06-10 2021-12-10 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US11948972B2 (en) * 2020-06-30 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. High-voltage nano-sheet transistor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
JP3793808B2 (ja) * 2002-05-02 2006-07-05 国立大学法人東京工業大学 電界効果トランジスタの製造方法
US6642119B1 (en) * 2002-08-08 2003-11-04 Advanced Micro Devices, Inc. Silicide MOSFET architecture and method of manufacture
US7078298B2 (en) * 2003-05-20 2006-07-18 Sharp Laboratories Of America, Inc. Silicon-on-nothing fabrication process
JP4796329B2 (ja) * 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
KR100652381B1 (ko) * 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
KR101155176B1 (ko) * 2005-07-12 2012-06-11 삼성전자주식회사 방향성이 조절된 단결정 와이어 및 이를 적용한트랜지스터의 제조방법
KR100718159B1 (ko) * 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
KR100763542B1 (ko) * 2006-10-30 2007-10-05 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US7923337B2 (en) * 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions

Also Published As

Publication number Publication date
US20100207208A1 (en) 2010-08-19
US20100297816A1 (en) 2010-11-25
CN102301480B (zh) 2015-07-22
EP2335285B1 (en) 2016-03-16
EP2335285A1 (en) 2011-06-22
KR20110121630A (ko) 2011-11-07
WO2010094360A1 (en) 2010-08-26
JP2012518269A (ja) 2012-08-09
KR101369134B1 (ko) 2014-03-04
CN102301480A (zh) 2011-12-28
US7892945B2 (en) 2011-02-22
US7893492B2 (en) 2011-02-22

Similar Documents

Publication Publication Date Title
JP5671481B2 (ja) ナノワイヤ・メッシュ・デバイス及びその製造方法
US8722472B2 (en) Hybrid CMOS nanowire mesh device and FINFET device
US10170609B2 (en) Internal spacer formation from selective oxidation for Fin-first wire-last replacement gate-all-around nanowire FET
JP5607420B2 (ja) 電界効果トランジスタ(fet)インバータとその製造方法(単一ゲート・インバータのナノワイヤ・メッシュ)
JP4006267B2 (ja) 2重ゲート/2重チャネルmosfetの製造方法
US9053981B2 (en) Hybrid CMOS nanowire mesh device and PDSOI device
KR101374461B1 (ko) 반도체 소자의 접촉 구조
US8563376B2 (en) Hybrid CMOS nanowire mesh device and bulk CMOS device
US9293459B1 (en) Method and structure for improving finFET with epitaxy source/drain
US10886391B2 (en) Single-electron transistor with wrap-around gate
TWI339406B (en) Locally thinned fins
US11121215B2 (en) iFinFET
JP5607400B2 (ja) 半導体構造体の製造方法
US20110316080A1 (en) Fin transistor structure and method of fabricating the same
US20160111532A1 (en) Source/drain contacts for non-planar transistors
US20120280205A1 (en) Contacts for Nanowire Field Effect Transistors
US10367061B1 (en) Replacement metal gate and inner spacer formation in three dimensional structures using sacrificial silicon germanium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140919

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141219

R150 Certificate of patent or registration of utility model

Ref document number: 5671481

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees