KR101374461B1 - 반도체 소자의 접촉 구조 - Google Patents

반도체 소자의 접촉 구조 Download PDF

Info

Publication number
KR101374461B1
KR101374461B1 KR1020120080042A KR20120080042A KR101374461B1 KR 101374461 B1 KR101374461 B1 KR 101374461B1 KR 1020120080042 A KR1020120080042 A KR 1020120080042A KR 20120080042 A KR20120080042 A KR 20120080042A KR 101374461 B1 KR101374461 B1 KR 101374461B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
substrate
cavity
contact structure
metal layer
Prior art date
Application number
KR1020120080042A
Other languages
English (en)
Other versions
KR20130108025A (ko
Inventor
쳉-시엔 우
치-신 코
클레멘트 싱젠 완
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130108025A publication Critical patent/KR20130108025A/ko
Application granted granted Critical
Publication of KR101374461B1 publication Critical patent/KR101374461B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 소자를 위한 접촉 구조는 주요 표면 및 공동을 포함하는 기판을 포함한다. 공동의 하단면은 주요 표면보다 낮다. 접촉 구조는 공동 내에 변형된 물질을 또한 포함하고, 변형된 물질의 격자 상수는 기판의 격자 상수와는 다르다. 접촉 구조는 변형된 물질 위에 제1 금속층과, 제1 금속층 위에 유전층과, 유전층 위에 제2 금속층을 또한 포함한다. 유전층은 1 nm에서 10 nm 범위의 두께를 가진다.

Description

반도체 소자의 접촉 구조{CONTACT STRUCTURE OF SEMICONDUCTOR DEVICE}
본 발명 개시는 집적 회로 제조에 대한 것이고, 보다 구체적으로는 접촉 구조를 갖는 반도체 소자에 대한 것이다.
반도체 산업이 더 높은 소자 밀도, 더 높은 성능, 및 더 낮은 비용을 추구해서 나노미터 기술 공정 노드(process node)로 진행함에 따라, 제조 및 설계 이슈 모두로부터의 도전은 핀 전계 효과 트랜지스터(fin field effect transistor; FinFET)와 같은 반도체 소자의 3차원 설계의 개발을 초래였다. 통상적인 FinFET는 예를 들면 기판의 실리콘층의 일부분을 에칭하여 제거함으로써(etching away) 형성되는 기판으로부터 연장되는 얇은 수직 "핀"(또는 핀 구조)을 사용해서 제조된다. FinFET의 채널은 이러한 수직 핀에서 형성된다. 게이트는 핀의 세 측면들 위에서(예, 둘러싸서) 제공된다. 채널의 양쪽 상에 게이트를 구비함으로써 양쪽으로부터 채널의 게이트 제어가 가능하게 된다. FinFET의 추가적인 이점은 짧은 채널 효과와 더 높은 전류 흐름을 감소시키는 것을 포함한다.
하지만, 상보형 금속 산화물 반도체(complementary metal-oxide-semiconductor; CMOS) 제조시에 이러한 특징 및 공정의 구현에는 어려움이 있다. 예를 들면, 변형된(stained) 물질상에 규화물의 형성은 변형된 물질의 일부분을 소모시킨다. 나머지 변형된 물질은 반도체 소자의 채널 영역 안으로 충분한 분량의 변형(strain)을 전달하지 않을 수 있어서, 반도체 소자의 불충분한 온-전류(on-current)를 초래할 수 있다.
본 발명은 반도체 소자를 위한 접촉 구조를 제공하며, 이 접촉 구조는 주요 표면과 공동(cavity)을 포함하는 기판 - 상기 공동은 상기 주요 표면보다 낮은 하단면을 가짐 -; 상기 공동 내의 변형된(strained) 물질 - 상기 변형된 물질의 격자 상수는 상기 기판의 격자 상수와는 다름 -; 상기 변형된 물질 위에 배치된 제1 금속층; 상기 제1 금속층 위에 배치된 유전층 - 상기 유전층은 1 nm에서 10 nm 범위의 두께를 가짐 -; 및 상기 유전층 위에 배치된 제2 금속층을 포함한다.
또한, 본 발명은 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field effect transistor; MOSFET)를 제공하며, 이 트랜지스터는 주요 표면과 공동(cavity)을 포함하는 기판 - 상기 공동은 상기 주요 표면보다 낮은 하단면을 가짐 -; 상기 기판의 주요 표면상에 배치된 게이트 스택; 상기 기판 내에 배치된 쉘로우 트렌치 분리(shallow trench isolations; STI) 영역; 및 상기 공동 내에 적어도 부분적으로 배치된 접촉 구조를 포함하고, 상기 접촉 구조는: 상기 공동 내의 변형된 물질 - 상기 변형된 물질의 격자 상수는 상기 기판의 격자 상수와는 다름 -; 상기 변형된 물질 위에 배치된 제1 금속층; 상기 제1 금속층 위에 배치된 유전층 - 상기 유전층은 1 nm에서 10 nm 범위의 두께를 가짐 -; 및 상기 유전층 위에 배치된 제2 금속층을 포함한다.
또한, 본 발명은 반도체 소자를 제조하는 방법을 제공하며, 이 방법은 주요 표면 및, 이 주요 표면 아래에 배치된 공동을 포함하는 기판을 제공하는 단계; 상기 공동 내의 변형된 물질을 에피택샬하게(epitaxially) 성장시키는 단계 - 상기 변형된 물질의 격자 상수는 상기 기판의 격자 상수와는 다름 -; 상기 변형된 물질 위에 제1 금속층을 형성하는 단계; 상기 제1 금속층 위에 유전층을 형성하는 단계 - 상기 유전층은 1 nm에서 10 nm 범위의 두께를 가짐 -; 상기 유전층 위에 더미 폴리실리콘을 형성하는 단계; 상기 더미 폴리실리콘을 둘러싸는 층간 유전층(interlayer dielectric layer; ILD)을 형성하는 단계; 상기 유전층 위에서 더미 폴리실리콘층을 제거하는 단계; 및 상기 유전층 위에 제2 금속층을 형성하는 단계를 포함한다.
본 발명 개시는 첨부된 도면들과 함게 읽을 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 실행에 따라, 다양한 특징은 실제 크기에 따라 그려지 않고 단지 예증적인 목적을 위해 사용된다는 것이 강조된다. 사실상, 다양한 특징부의 크기는 논의의 명확성을 위해 임의로 증가되거나 감소될 수 있다.
도 1은 본 발명 개시의 다양한 양상에 따라 반도체 소자의 접촉 구조를 제조하는 방법을 예증하는 흐름도이다.
도 2 내지 12는 본 발명 개시의 다양한 제조 스테이지에서 접촉 구조를 포함하는 반도체 소자의 개략적 단면도이다.
하기의 개시는 본 발명의 상이한 특징을 구현하기 위한 많은 상이한 실시예 또는 예시를 제공한다는 것으로 이해된다. 본 발명의 개시를 간략화하기 위해, 컴포넌트 및 배열의 특정 예시들이 이하에서 설명된다. 물론, 이런 것들은 단지 예시일 뿐이고, 제한하는 것으로 의도되지는 않는다. 예를 들면, 이하 설명에서, 제2 특징부 위 또는 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉해서 형성되는 실시예를 포함할 수 있고, 추가적인 특징부가 제1 및 제2 특징부 사이에서 형성될 수 있어 그 결과 제1 및 제2 특징부가 직접 접촉할 수 없는 실시예를 또한 포함할 수 있다. 또한, 본 발명의 개시는 다양한 예시들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간략화와 명료함의 목적을 위한 것이고, 논의된 다양한 실시예들 및/또는 구성 사이의 관계를 그 자체가 명시하지는 않는다.
도 1을 참조하면, 본 발명 개시의 다양한 양상에 따른 반도체 소자의 접촉 구조를 제조하는 방법(100)의 흐름도가 예증된다. 방법(100)은 주요 표면 및, 이러한 주요 표면 아래에 위치한 공동(cavity)을 포함하는 기판이 제공되는 단계(102)에서 시작한다. 방법(100)은 변형된 물질이 공동 내에서 에피택샬하게(epitaxially) 성장하는 단계(104)에서 계속되며, 변형된 물질의 격자 상수는 기판의 격자 상수와는 다르다. 방법(100)은 제1 금속층이 변형된 물질 위에서 형성되는 단계(106)로 진행한다. 방법(100)은 유전층이 제1 금속층 위에서 형성되는 단계(108)로 진행하며, 유전층은 1 nm에서 10 nm 범위의 두께를 갖는다. 방법(100)은 더미 폴리(dummy poly)가 유전층 위에 형성되는 단계(110)로 진행한다. 방법(100)은 층간 유전층(interlayer dielectric layer; ILD)이 더미 폴리를 둘러싸며 형성되는 단계(112)로 진행한다. 방법(100)은 유전층 위에 더미 폴리가 제거되는 단계(114)로 진행한다. 방법(100)은 제2 금속층이 유전층 위에 형성되는 단계(116)로 진행한다. 이하의 논의는 도 1의 방법(100)에 따라 제조될 수 있는 반도체 소자의 실시예들을 예증한다.
도 2 내지 도 12는 본 발명 개시의 다양한 실시예에 따라 다양한 제조 스테이지에 있는 접촉 구조(240)를 포함하는 반도체 소자(200)의 개략적 단면도이다. 본 발명 개시에서 채용된 바와 같이, 반도체 소자(200)라는 용어는 핀 전계 효과 트랜지스터(FinFET)를 지칭한다. FinFET는 임의의 핀-기반, 다중-게이트 트랜지스터를 지칭한다. 일부 대안적인 실시예에서, 반도체 소자(200)라는 용어는 평면 금속-산화물-반도체 전계 효과 트랜지스터(metal-oxide-semiconductor field effect transistor; MOSFET)를 지칭한다. 반도체 소자(200)는 마이크로프로세서, 메모리 셀, 및/또는 다른 집적회로(IC) 내에 포함될 수 있다. 일부 실시예에서, 도 1에 언급된 동작의 수행은 완성된 반도체 소자(200)를 생성하지 않는다. 완성된 반도체 소자(200)는 상보형 금속산화 반도체(CMOS) 기술 처리를 이용해서 제조될 수 있다. 따라서, 추가적인 공정은 도 1의 방법(100) 이전, 동안, 및/또는 이후에 제공될 수 있고, 일부 다른 공정은 본 명세서에서 단지 간단히 설명될 수 있다는 것으로 이해된다. 또한, 도 2 내지 12는 본 발명 개시의 개념의 더 나은 이해를 위해 단순화된다. 예를 들면, 비록 도면들이 반도체 소자(200)를 예증하지만, IC는 저항, 커패시터, 인덕터, 퓨즈 등을 포함하는 다수의 다른 장치를 포함할 수 있다는 것으로 이해된다.
도 2와, 도 1의 단계(102)를 참조하면, 주요 표면(20s)을 포함하는 기판(20)이 제공된다. 적어도 하나의 실시예에서, 기판(20)은 결정질 실리콘 기판(예, 웨이퍼)을 포함한다. 기판(20)은 설계 요구사항에 따르는 다양한 도핑된 영역(예, p형 기판 또는 n형 기판)을 포함할 수 있다. 일부 실시예에서, 도핑된 영역은 p형 또는 n형 도펀트를 사용해 도핑될 수 있다. 예를 들면, 도핑된 영역은 붕소 또는 BF2와 같은 p형 도펀트와, 인 또는 비소와 같은 n형 도펀트, 및/또는 이것들의 조합을 사용해서 도핑될 수 있다. 도핑된 영역은 n형 FinFET 또는 평면 MOSFET를 위해 구성될 수 있거나, 또는 대안적으로 p형 FinFET 또는 평면 MOSFET를 위해 구성될 수 있다.
대안적으로, 기판(20)은 다이아몬드 또는 게르마늄과 같은 일부 다른 적절한 원소 반도체, 갈륨 비화물, 실리콘 탄화물, 인듐 비화물, 또는 인듐 인화물과 같은 적절한 화합물 반도체, 또는 실리콘 게르마늄 탄화물, 갈륨 비소 인화물, 또는 갈륨 인듐 인화물과 같은 적절한 합금 반도체로 제조될 수 있다. 또한, 기판(20)은 에피택샬층(epi-층)을 포함할 수 있고, 성능 강화를 위해 변형될(strained) 수 있고/있거나, 절연체상 실리콘(silicon-on-insulator; SOI) 구조를 포함할 수 있다.
묘사된 실시예에서, 기판(20)은 핀 구조(202)를 또한 포함한다. 기판(20)상에 형성된 핀 구조(202)는 하나 이상의 핀을 포함한다. 이 실시예에서는, 간략성을 위해, 핀 구조(202)는 단일 핀을 포함한다. 핀은 임의의 적절한 물질을 포함하고, 예를 들면, 핀은 실리콘, 게르마늄, 또는 화합물 반도체를 포함할 수 있다. 핀 구조(202)는 핀상에 배치된 캡핑층(capping layer)을 또한 포함할 수 있으며, 이러한 층은 실리콘-캡핑층일 수 있다.
핀 구조(202)는 다양한 증착, 포토리소그래피, 및/또는 에칭 공정을 포함하는 임의의 적절한 공정을 이용해서 형성된다. 예시적인 포토리소그래피 공정은 기판(20) 위에(예, 실리콘층상에) 배치되는 포토레지스트층(레지스트)을 형성하는 단계, 레지스트를 패턴에 노출시키는 단계, 사후-노출 베이크 공정을 수행하는 단계와, 레지스트를 포함하는 마스킹 요소를 형성하도록 레지스트를 현상하는 단계를 포함할 수 있다. 그런 다음, 실리콘층이 반응 이온 에칭(reactive ion etching; RIE) 공정 및/또는 다른 적절한 공정을 이용해서 에칭될 수 있다. 하나의 예시에서, 핀 구조(202)의 실리콘 핀은 실리콘 기판(20)의 일부분을 패터닝하고 에칭함으로써 형성될 수 있다. 다른 예시에서, 핀 구조(202)의 실리콘 핀은 절연층 위에 증착된 실리콘층(예, SOI 기판의 실리콘-절연체-실리콘 스택의 상부 실리콘층)을 패터닝하고 에칭함으로써 형성될 수 있다.
묘사된 실시예에서, 분리 영역은 핀 구조(202)의 다양한 핀을 한정하고 전기적으로 분리시키도록 기판(20) 내에 형성된다. 하나의 예시에서, 분리 영역은 쉘로우 트렌치 분리(shallow trench isolation; STI) 영역(204)을 포함한다. 분리 영역은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 불소-도핑된 규산염 유리(fluoride-doped silicate glass; FSG), 저-K 유전물질, 및/또는 이것들의 조합을 포함할 수 있다. 이 실시예에서, 분리 영역과 STI 영역(204)은 임의의 적절한 공정에 의해 형성될 수 있다. 하나의 예시에서, STI 영역(204)의 형성은 (예를 들면, 화학적 증기 증착 공정을 이용해서) 핀들 사이의 트렌치를 유전물질로 채우는 것을 포함할 수 있다. 일부 실시예에서, 채워진 트렌치는 실리콘질화물 또는 실리콘산화물을 이용해 채워진 열 산화 라이너층(liner layer)과 같은 다중층 구조를 가질 수 있다.
계속 도 2를 참조하면, 더미 게이트 스택(210)이 STI(204) 영역들 사이에 있는 기판(20)의 주요 표면(20s)상에서{즉, 핀 구조(202)의 상단면) 형성된다. 묘사된 실시예에서, 더미 게이트 스택(210)은 게이트 유전층(212)과 더미 게이트 전극층(214)을 포함한다. 더미 게이트 스택(210)은 본 명세서에서 설명된 공정을 포함하는 임의의 적절한 공정을 이용해서 형성될 수 있다.
하나의 예시에서, 게이트 유전층(212)과 더미 게이트 전극층(214)은 기판(20) 위에 순차적으로 증착된다. 일부 실시예에서, 게이트 유전층(212)은 실리콘산화물, 실리콘질화물, 실리콘산화질화물, 또는 높은 유전 상수(고-k) 유전체를 포함할 수 있다. 고-k 유전체는 금속산화물을 포함한다. 고-k 유전체를 위해 이용되는 금속산화물의 예시는 Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu 또는 이것들의 혼합물의 산화물을 포함한다. 실시예에서, 게이트 유전층(212)은 약 10 내지 30 옹스트롬 범위 내의 두께를 갖는 고-k 유전층이다. 게이트 유전층(212)은 원자층 증착(atomic layer deposition; ALD), 화학적 증기 증착(chemical layer deposition; CVD), 물리적 증기 증착(physical layer deposition; PVD), 열산화, UV-오존 산화 또는 이것들의 조합과 같은 적절한 공정을 이용해서 형성될 수 있다. 게이트 유전층(212)은 게이트 유전층(212)과 핀 구조(202) 사이의 손상을 감소시키도록 계면층(미도시)을 또한 포함할 수 있다. 계면층은 실리콘산화물을 포함할 수 있다.
일부 실시예에서, 더미 게이트 전극층(214)은 단일 층 또는 다중층 구조를 포함할 수 있다. 이 실시예에서, 더미 게이트 전극층(214)은 폴리실리콘을 포함할 수 있다. 또한, 더미 게이트 전극층(214)은 균일 또는 비균일 도핑을 이용해서 도핑된 폴리실리콘일 수 있다. 실시예에서, 더미 게이트 전극층(214)은 약 30 nm에서 약 60 nm의 범위 내의 두께를 포함한다. 더미 게이트 전극(214)은 저압 화학적 증기 증착(low-pressure chemical vapor deposition; LPCVD) 공정 또는 플라즈마-강화된 화학적 증기 증착(plasma-enhanced chemical vapor deposition; PECVD) 공정을 이용해서 형성될 수 있다.
그런 다음, 포토레지스트층이 스핀-온(spin-on) 코팅과 같은 적절한 공정에 의해 더미 게이트 전극층(214) 위에 형성되고, 적절한 리소그래피 패터닝 방법에 의해 패터닝된 포토레지스트 특징부를 형성하도록 패터닝된다. 적어도 하나의 실시예에서, 패터닝된 포토레지스트 특징부의 폭은 약 15 nm에서 45 nm의 범위 내에 있다. 그런 다음, 패터닝된 포토레지스트 특징부가, 더미 게이트 스택(210)을 형성하도록 건식 에칭 공정을 이용해서 하부층들{즉, 더미 게이트 전극층(214)과 게이트 유전층(212)}에 이송될(transferred) 수 있다. 그런 후에, 포토레지스트층은 스트리핑될(stripped) 수 있다.
다른 예시에서, 하드 마스크층(216)은 더미 게이트 전극층(214)위에 형성되며, 패터닝된 포토레지스트층은 하드 마스크층(216)상에 형성되고, 포토레지스트층의 패턴은 하드 마스크층(216)으로 이송되고, 그런 다음에, 더미 게이트 스택(210)을 형성하도록, 더미 게이트 전극층(214)과 게이트 유전층(212)으로 이송된다. 하드 마스크층(216)은 실리콘산화물을 포함한다. 일부 대안적인 실시예에서, 하드 마스크층(216)은 실리콘 질화물, 실리콘 산화질화물, 및/또는 다른 적절한 유전물질을 선택적으로 포함할 수 있고, CVD 또는 PVD와 같은 방법을 이용해서 형성될 수 있다. 하드 마스크층(216)은 약 100 옹스트롬에서 800 옹스트롬 범위의 두께를 포함한다.
도 2를 계속 참조하면, 반도체 소자(200)는 더미 게이트 스택(210)과 기판(20) 위에 형성되고 더미 게이트 스택(210)의 측벽을 덮는 유전층을 또한 포함한다. 유전층은 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산화질화물을 포함할 수 있다. 유전층은 단일층 또는 다중층 구조를 포함할 수 있다. 유전층은 CVD, PVD, ALD, 또는 다른 적절한 기술에 의해 형성될 수 있다. 유전층은 약 5 내지 15 nm 범위의 두께를 포함한다. 그런 다음, 이방성 에칭이 게이트 스택(210)의 두 쪽 측면상에 한 쌍의 측벽 스페이서(218)를 형성하도록 유전층상에서 수행된다.
도 3 및, 도 1내의 단계(102)를 참조하면, 핀 구조(202)의 일부분{더미 게이트 스택(210)과 한 쌍의 측벽 스페이서(218)이 그 위에 형성되는 부분이 아님}은, 기판(20)의 주요 표면(20s)보다 낮은 하단면을 갖는 소스 및 드레인(source and drain; S/D) 공동(206)(206s와 206d를 포함함)을 형성하도록 리세스된다(recessed). 묘사된 실시예에서, 각각의 공동(206a 또는 206b)은 더미 게이트 스택(210)과, STI(204) 영역들 중 하나의 영역 사이에 있다.
묘사된 실시예에서, 더미 게이트 스택(210)과 측벽 스페이서(218)의 쌍을 하드 마스크로서 이용해서, 바이어스된(biased) 에칭 공정이 S/D 공동(206)을 형성하도록 보호되지 않거나 노출되는 기판(20)의 주요 표면(20s)을 리세스하도록 수행된다. 일 실시예에서, 에칭 공정은 약 1 밀리 토르에서 1000 밀리 토르의 압력, 약 50 와트에서 1000 와트의 전력, 약 20 볼트에서 500 볼트의 바이어스 전압하에, 약 40℃에서 60℃의 온도에서, 에칭 기체로서 HBr 및/또는 Cl2를 이용해서 수행될 수 있다. 또한, 제공된 실시예에서, 에칭 공정에서 이용되는 바이어스 전압은 S/D 공동(206)을 위한 요구되는 프로파일을 달성하도록 에칭 방향의 더 양호한 제어를 허용하기 위해 조정될 수 있다.
도 4 및, 도 1의 단계(104)에서 묘사된 바와 같이, 기판(20)의 주요 표면(20s) 아래에 소스 및 드레인(S/D) 공동(206)을 형성한 후에, 게이트 스택(210)과 STI(204) 영역들 사이에 분포된 공동(206)내에 변형된 물질(208)을 에피택샬하게 성장시킴으로써 도 4의 구조가 생성되며, 변형된 물질(208)의 격자 구조는 기판(20)의 격자 상수와는 다르다. 따라서, 반도체 소자(200)의 채널 영역은 이 소자의 캐리어 이동도를 향상시키도록 변형되거나(strained) 응력이 가해진다.
일부 실시예에서, 변형된 물질(208)은 SiGe, SiC, 또는 SiP와 같은 실리콘-함유 물질을 포함한다. 일부 실시예에서, 변형된 물질(208)은 전위(dislocation)를 포함한다. 묘사된 실시예에서, 사전-세정 공정은 HF 또는 다른 적절한 용액을 이용해서 S/D 리세스 공동(206)을 세정하도록 수행될 수 있다. 그런 다음, 실리콘 게르마늄(SiGe)과 같은 변형된 물질(208)이 기판(20) 내에 S/D 리세스 공동(206)을 채우도록 LPCVD 공정에 의해 선택적으로 성장된다. 일 실시예에서, 변형된 물질(208)의 상부 표면은 주요 표면(20s)(미도시)보다 아래에 있다. 다른 실시예에서, 변형된 물질(208)의 상부 표면은 주요 표면(20s) 위에서 위쪽으로 연장된다. 묘사된 실시예에서, LPCVD 공정은 약 400℃ 내지 800℃의 온도에서, 약 1 토르에서 15 토르의 압력 하에, 반응 기체로서 SiH2Cl2, HCl, GeH4, B2H6, 및 H2를 이용해서 수행되며, HCl의 질량 유속에 대한 SiH2Cl2 의 질량 유속의 비율은 약 0.45에서 0.55의 범위 내에 있다.
이 시점까지의 공정 단계들은 더미 게이트 스택(210)과 STI(204) 영역 사이에 분포된 공동(206) 내에 변형된 물질(208)을 제공하였다. 일부 응용에서, 변형된 물질(208) 위의 규화물 영역은 니켈, 티타늄, 코발트 및 이것들의 조합과 같은 금속 물질의 박막을 블랭킷 증착시킴으로써 형성될 수 있다. 그런 다음, 기판(20)이 가열되어, 실리콘으로 하여금 접촉되는 금속과 반응하게 한다. 반응 후에, 금속 규화물 층이 실리콘-함유 물질과 금속 사이에 형성된다. 반응하지 않은 금속은 금속 물질을 침범하지만, 규화물은 침범하지 않는 에천트의 이용을 통해 선택적으로 제거된다.
하지만, 규화물 영역의 형성은 변형된 물질(208)의 일부분을 소모할 수 있다. 따라서, 공동(206) 내의 나머지 변형된 물질(208)은 반도체 소자의 채널 영역 안으로 충분한 분량의 변형을 잔달할 수 없어서, 반도체 소자의 불충분한 온-전류(on-current)를 초래할 수 있다. 또한, 만약 접촉 영역이 나노미터 기술에서 더 작게 되면, 규화물 영역의 저항이 갑자기 증가하여, 소자 성능을 저하시킬 수 있다.
따라서, 도 5 내지 12를 참조해서 이하에서 논의되는 처리는 규화물 영역을 대체시키도록 전도 유전층을 포함하는 접촉 구조를 형성할 수 있다. 접촉 구조는 변형된 물질(208)을 소모하지 않을 것이어서, 이에 따라 반도체 소자의 채널 영역 안으로 충분한 분량의 변형을 전달할 것이다. 반도체 소자의 불충분한 온-전류와 연관된 문제점은 회피될 수 있어서, 소자 성능을 향상시킬 수 있다.
도 5 및, 반도체 소자(200)의 {도 12에 도시된 접촉 구조(240)와 같은} 접촉 구조를 제조하기 위해 도 1의 단계(106)에 묘사된 것과 같이, 도 5의 구조는 변형된 물질(208) 위의 제1 금속층(222), 더미 게이트 스택(210)과, STI 영역(204)을 형성함으로써 생성된다. 일부 실시예에서, 제1 금속층(222)은 TiN 또는 TaN을 포함할 수 있고, CVD, ALD, 또는 스퍼티링과 같은 방법을 이용해서 형성될 수 있다. 일부 실시예에서, 제1 금속층(222)은 1 nm에서 3 nm 범위의 두께 t1을 갖는다.
그런 다음, 도 5 및, 도 1의 단계(108)에서 묘사된 것과 같이, 전도 유전층(224)이 제1 금속층(222) 위에 형성된다. 적어도 하나의 실시예에서, 전도 유전층(224)은 TiO2를 포함한다. 일부 대안적인 실시예에서, 전도 유전층(224)은 Al2O3, NiO, 또는 HfO2 및/또는 다른 적절한 유전물질을 선택적으로 포함할 수 있고, CVD, ALD, 또는 스퍼티링과 같은 방법을 이용해서 형성될 수 있다. 일부 실시예에서, 전도 유전층(224)은 1 nm에서 10 nm 범위의 두께 t2를 갖는다.
도 6 및, 도 1의 단계(110)에서 묘사된 것과 같이, 전도 유전층(224)으로의 상호연결부를 형성하기 위해, 도 6의 구조는 전도 유전층(224) 위에 더미 폴리실리콘(226)을 형성함으로써 생성된다. 일부 실시예에서, 더미 폴리실리콘(226)은 단일 층 또는 다중층 구조를 포함할 수 있다. 일부 실시예에서, 더미 폴리-실리콘(226)은 균일 또는 비균일 도핑을 이용해서 도핑된 폴리실리콘일 수 있다. 이 실시예에서, 더미 게이트 폴리실리콘(226)은 약 30 nm에서 약 60 nm 범위 내의 두께를 포함한다. 더미 폴리실리콘(226)은 LPCVD 공정 또는 PECVD 프로세서를 이용해서 형성될 수 있다. 화학 기계적 폴리싱(chemical mechanical polishing; CMP)은 더미 게이트 스택(210)을 노출시키도록 더미 폴리실리콘(226)상에서 수행된다.
포토레지스트층은 스핀-온 코팅과 같은 적절한 공정에 의해 더미 폴리실리콘(226) 위에 형성되고, 적절한 리소그래피 패터닝 방법에 의해 패터닝된 포토레지스트 특징부를 형성하도록 패터닝된다. 일 실시예에서, 패터닝된 포토레지스트 특징부의 폭은 약 15 nm에서 45 nm의 범위 내에 있다. 그런 다음, 패터닝된 포토레지스트 특징부는 {도 7 및, 도 1의 단계(110)에 묘사된 것과 같이} 건식 에칭 공정을 이용해서 하부의 더미 폴리실리콘(226)으로 이송될수 있다. 그런 후에, 포토레지스트층은 스트리핑될(stripped) 수 있다.
도 8을 참조하면, 하드 마스크로서 더미 폴리실리콘(226)을 이용해서, 전도 유전층(224) 위에 더미 폴리시리콘(226)을 형성한 다음에, 측벽 스페이서(218) 쌍상의 층들{즉, 전도 유전층(224)과 제1 금속층(222)}은, 변형된 물질(208)의 상단면을 노출시킬때까지 건식 에칭 공정에 의해 제거된다. 묘사된 실시에에서, 전도 유전층(224)과 제1 금속층(222)을 동시에 제거시키는 단계는 더미 게이트 전극(214)상의 하드 마스크층(216)을 제거시킨다. 나머지 전도 유전층(224)과 제1 금속층(222)은 고-저항 규화물 영역을 대체시키도록 저-저항 중간층으로서 작용할 수 있고, 이에 따라 (도 12에 도시된) 변형된 물질(208)과 제2 금속층(228) 사이에 캐리어 이동을 돕는다.
도 9 및, 도 1의 단계(112)를 참조하면, 측벽 스페이서(218) 쌍상의 층들{즉, 전도 유전층(224)과 제1 금속층(222)}이 제거된 후에, 층간 유전(inter-layer dielectric; ILD)층(232)이 더미 게이트 스택(210), 측벽 스페이서(218) 쌍, 더미 폴리실리콘(226) 위에 형성될 수 있고, 기판(20) 위에서 연장된다. ILD층(232)은 유전물질을 포함할 수 있다. 유전물질은 실리콘 산화물, SOG(spin-on glass), FSG(fluorinated silica glass), 탄소 도핑된 실리콘 산화물(예, SiCOH), 블랙 다이아몬드®(캘리포니아 산타 클라라 소재의 애플라이드 머티리얼즈 제조), 다른 적절한 유전물질, 및/또는 이것드의 조합을 포함할 수 있다. 일부 실시예에서, ILD층(232)은 고밀도 플라즈마(high density plasma; HDP) 유전물질 및/또는 고종횡비 공정(high aspect ratio process; HARP) 유전물질을 포함할 수 있다. 이 실시예에서, ILD층(232)은 약 4000 Å에서 약 8000 Å 범위 내의 두께를 포함한다. ILD층(232)은 하나 이상의 유전물질 및/또는 하나 이상의 유전층을 포함할 수 있다.
후속적으로, ILD층(232)은, 더미 게이트 전극층(214)의 상단면이 노출될 때까지 CMP 공정에 의해 평탄화될 수 있다(도 10에 도시됨). CMP 공정은 더미 게이트 전극층(214), 측벽 스페이서(218) 쌍, 더미 폴리실리콘(226)과, ILD층(232)을 위해 실질적으로 평평한 표면을 제공하도록 높은 선택도(selectivity)를 가질 수 있다. 일 실시예에서, 더미 게이트 스택(210)은 측벽 그페이서(218) 쌍과 ILD층(232)을 포함하는 유전체로 둘러싸여질 수 있다. 다른 실시예에서, ILD층(232)은 더미 폴리실리콘(226)을 둘러싸고 있다.
도 11은 측벽 스페이서(218) 쌍 내에 개구(234)를 형성하도록 더미 게이트 전극층(214)이 더미 게이트 스택(210)으로부터 제거된 후의 반도체 소자(200)를 보여주는 한편, 전도 유전층(224) 위의 더미 폴리실리콘(226)의 제거는 ILD층(232) 내에 개구를 형성한다{도 1의 단계(114)}. 더미 게이트 전극층(214)과 더미 폴리실리콘(226)은 습식 에칭 및/또는 건식 에칭 공정을 이용해서 제거될 수 있다. 일 실시예에서, 더미 폴리실리콘 게이트 전극층(214)과 더미 폴리실리콘(226)을 위한 습식 에칭 공정은 수산화암모늄, 희석된 HF, 탈이온화된 물을 포함하는 수산화용액, 및/또는 다른 적절한 에쳔트 용액에 노출시키는 것을 포함한다. 다른 실시예에서, 더미 폴리실리콘 게이트 전극층(214)과 더미 폴리실리콘(226)을 위한 건식 에칭 공정은 약 650에서 800와트의 소스 전력과, 약 100에서 120와트의 바이어스 전력과, 약 60에서 200 밀리토르의 압력하에서, 에칭 기체로서 Cl2, HBr과 He를 이용해서 수행될 수 있다.
도 12, 및 도 1의 단계(116)를 참조하면, 접촉 구조(240)의 일부분을 형성하도록 제2 금속층(228)이 개구(236)를 채우는 한편, 금속 게이트 전극층(238)은 게이트 스택(230)의 일부분을 형성하도록 개구(234)를 채운다. 일부 실시예에서, 제2 금속층(228)은 Al, Ni, NiPt, 또는 Pt를 포함한다. 일부 실시예에서, 금속 게이트 전극층(238)은 Al, Cu, TiN, TiAlN, TiCN, TaN, TaCN, WN, 또는 WCN을 포함한다. 하나의 실시예에서, 제2 금속층(228)은 금속 게이트 전극층(238) 이전에 형성될 수 있다. 다른 실시예에서, 제2 금속층(228)은 금속 게이트 전극층(238) 이후에 형성될 수 있다. 다른 실시예에서, 제2 금속층(228)은 금속 게이트 전극층(238)과 동시에 형성될 수 있다.
일부 실시예에서, 금속 게이트 전극층(238)과 게이트 유전층(212)은 결합되어 게이트 스택(230)이라고 지칭된다. 묘사된 실시예에서, 변형된 물질(208), 제1 금속층(222), 유전층(224)과, 제2 금속층(228)은 결합되어 접촉 구조(240)라고 지칭된다. 접촉 구조(240)는 상호연결을 위한 저 저항 경로를 제공하고, 반도체 소자의 채널 영역안으로 충분한 분량의 변형을 전달하여, 소자 성능을 개선시킬수 있다.
도 2 내지 12에서 묘사된 예시에 대해 추가적으로 예증된 것과 같이, 도 1에 도시된 단계들 이후에, 상호연결(interconnect) 처리를 포함하는 후속 공정이 반도체 소자(200)의 제조를 완료하도록 통상적으로 수행된다.
일 실시예에 따라, 반도체 소자를 위한 접촉 구조는 주요 표면 및 공동을 포함하는 기판을 포함한다. 공동은 주요 표면보다 낮은 하단면을 갖는다. 접촉 구조는 공동 내에 변형된 물질을 또한 포함하고, 변형된 물질의 격자 상수는 기판의 격자 상수와는 다르다. 격자 구조는 변형된 물질 위에 제1 금속층과, 제1 금속층 위에 유전층과, 유전층 위에 제2 금속층을 또한 포함한다. 유전층은 1 nm에서 10 nm 범위의 두께를 가진다.
다른 실시예에 따라, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)는 주요 표면 및 공동을 포함하는 기판을 포함한다. 공동은 주요 표면보다 낮은 하단면을 갖는다. MOSFET는 기판의 주요 표면상에 게이트 스택과, 기판 내의 쉘로우 트렌치 분리(shallow trench isolations; STI) 영역과, 공동 내에 적어도 부분적으로 접촉 구조를 또한 포함한다. 공동은 STI 영역과 게이트 스택 사이에 있다. 접촉 구조는 공동 내의 변형된 물질과, 변형된 물질 위에 제1 금속층과, 제1 금속층 위에 유전층과, 유전층 위에 제2 금속층을 포함한다. 변형된 물질의 격자 상수는 기판의 격자 상수와는 다르다. 유전층은 1 nm에서 10 nm 범위의 두께를 가진다.
다른 실시예에 따라, 반도체 소자를 위한 제조하는 방법은 주요 표면과, 이 주요 표면 아래의 공동을 포함하는 기판을 제공하는 단계를 포함한다. 변형된 물질은 공동 내에서 에피택샬하게 성장하고, 변형된 물질의 격자 상수는 기판의 격자 상수와는 다르다. 제1 금속층은 변형된 물질 위에 형성된다. 유전층은 제1 금속층 위에 형성되고, 유전층은 1 nm에서 10 nm 범위의 두께를 가진다. 더미 폴리실리콘은 유전층 위에 형성된다. 층간 유전층(interlayered dielectric layer; ILD)은 더미 폴리실리콘을 둘러싸며 형성된다. 그런 다음, 유전층 위의 더미 폴리실리콘이 제거되고, 제2 금속층이 유전층 위에 형성된다.
본 발명이 예시에 의해 그리고 바람직한 실시예의 견지에서 설명되었지만, 본 발명은 이러한 개시된 실시예에 제한되지 않는 것을 이해해야 한다. 이와 달리, 본 발명은 (당업자에게 명백한) 다양한 변형과 유사한 배열을 포괄하도록 의도된다. 그러므로, 첨부된 청구항들의 범위는 모든 이러한 변형 및 유사한 배열을 포괄하도록 가장 넓게 해석되어야 한다.

Claims (10)

  1. 반도체 소자를 위한 접촉 구조에 있어서,
    주요 표면과 공동(cavity)을 포함하는 기판 - 상기 공동은 상기 주요 표면보다 낮은 하단면을 가짐 -;
    상기 공동 내의 변형된(strained) 물질 - 상기 변형된 물질의 격자 상수는 상기 기판의 격자 상수와는 다름 -;
    상기 변형된 물질 위에 배치된 제1 금속층;
    상기 제1 금속층 위에 배치된 유전층 - 상기 유전층은 1 nm에서 10 nm 범위의 두께를 가짐 -; 및
    상기 유전층 위에 배치된 제2 금속층을
    포함하는, 반도체 소자를 위한 접촉 구조.
  2. 제1항에 있어서, 상기 변형된 물질은 SiGe, SiC, 또는 SiP를 포함하는 것인, 반도체 소자를 위한 접촉 구조.
  3. 제1항에 있어서, 상기 변형된 물질은 상기 주요 표면 위에서 위쪽으로 연장되는 것인, 반도체 소자를 위한 접촉 구조.
  4. 제1항에 있어서, 상기 제1 금속층은 TiN 또는 TaN을 포함하는 것인, 반도체 소자를 위한 접촉 구조.
  5. 제1항에 있어서, 상기 유전층은 TiO2를 포함하는 것인, 반도체 소자를 위한 접촉 구조.
  6. 제1항에 있어서, 상기 유전층은 Al2O3, NiO, 또는 HfO2를 포함하는 것인, 반도체 소자를 위한 접촉 구조.
  7. 제1항에 있어서, 상기 제2 금속층은 Al, Ni, NiPt, 또는 Pt를 포함하는 것인, 반도체 소자를 위한 접촉 구조.
  8. 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field effect transistor; MOSFET)에 있어서,
    주요 표면과 공동(cavity)을 포함하는 기판 - 상기 공동은 상기 주요 표면보다 낮은 하단면을 가짐 -;
    상기 기판의 주요 표면상에 배치된 게이트 스택;
    상기 기판 내에 배치된 쉘로우 트렌치 분리(shallow trench isolations; STI) 영역 - 상기 공동은 상기 STI 영역과 상기 게이트 스택 사이에 있음 -; 및
    상기 공동 내에 적어도 부분적으로 배치된 접촉 구조를
    포함하고,
    상기 접촉 구조는:
    상기 공동 내의 변형된 물질 - 상기 변형된 물질의 격자 상수는 상기 기판의 격자 상수와는 다름 -;
    상기 변형된 물질 위에 배치된 제1 금속층;
    상기 제1 금속층 위에 배치된 유전층 - 상기 유전층은 1 nm에서 10 nm 범위의 두께를 가짐 -; 및
    상기 유전층 위에 배치된 제2 금속층을 포함하는 것인, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET).
  9. 반도체 소자를 제조하는 방법에 있어서,
    주요 표면 및, 이 주요 표면 아래에 배치된 공동을 포함하는 기판을 제공하는 단계;
    상기 공동 내에 변형된 물질을 에피택샬하게(epitaxially) 성장시키는 단계 - 상기 변형된 물질의 격자 상수는 상기 기판의 격자 상수와는 다름 -;
    상기 변형된 물질 위에 제1 금속층을 형성하는 단계;
    상기 제1 금속층 위에 유전층을 형성하는 단계 - 상기 유전층은 1 nm에서 10 nm 범위의 두께를 가짐 -;
    상기 유전층 위에 더미 폴리실리콘을 형성하는 단계;
    상기 더미 폴리실리콘을 둘러싸는 층간 유전층(interlayer dielectric layer; ILD)을 형성하는 단계;
    상기 유전층 위에서 상기 더미 폴리실리콘을 제거하는 단계; 및
    상기 유전층 위에 제2 금속층을 형성하는 단계를
    포함하는, 반도체 소자를 제조하는 방법.
  10. 제9항에 있어서, 상기 기판을 제공하는 단계 이후에,
    상기 기판 내에 쉘로우 트렌치 분리(shallow trench isolation; STI) 영역을 형성하는 단계;
    상기 기판의 주요 표면상에 게이트 스택을 형성하는 단계 - 상기 STI 영역은 상기 게이트 스택의 하나의 측면상에 배치됨 - 를
    더 포함하고,
    상기 공동은 상기 게이트 스택과 상기 STI 영역 사이에 분포하는 것인, 반도체 소자를 제조하는 방법.
KR1020120080042A 2012-03-23 2012-07-23 반도체 소자의 접촉 구조 KR101374461B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/428,972 2012-03-23
US13/428,972 US8716765B2 (en) 2012-03-23 2012-03-23 Contact structure of semiconductor device

Publications (2)

Publication Number Publication Date
KR20130108025A KR20130108025A (ko) 2013-10-02
KR101374461B1 true KR101374461B1 (ko) 2014-03-17

Family

ID=49210957

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120080042A KR101374461B1 (ko) 2012-03-23 2012-07-23 반도체 소자의 접촉 구조

Country Status (3)

Country Link
US (3) US8716765B2 (ko)
KR (1) KR101374461B1 (ko)
TW (1) TWI474460B (ko)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9000513B2 (en) 2012-11-12 2015-04-07 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing a semiconductor device and semiconductor device with surrounding gate transistor
CN104576376A (zh) * 2013-10-13 2015-04-29 中国科学院微电子研究所 一种mosfet结构及其制造方法
US9136131B2 (en) * 2013-11-04 2015-09-15 Globalfoundries Inc. Common fill of gate and source and drain contacts
US9553149B2 (en) 2013-11-08 2017-01-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with a strained region and method of making
US9112033B2 (en) 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9620621B2 (en) 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160104621A1 (en) * 2014-10-10 2016-04-14 Globalfoundries Inc. Semiconductor device having common contact and gate properties
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
KR102295239B1 (ko) 2015-01-08 2021-09-01 삼성전자주식회사 반도체 장치의 형성방법
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US10090360B2 (en) 2015-02-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor structure including a plurality of trenches
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US9502502B2 (en) * 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9647091B2 (en) * 2015-05-01 2017-05-09 International Business Machines Corporation Annealed metal source drain overlapping the gate
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102399027B1 (ko) * 2015-06-24 2022-05-16 삼성전자주식회사 반도체 장치
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2018182617A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing non-selective deposition of source/drain material
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
CN110571259B (zh) * 2018-06-05 2023-04-07 中芯国际集成电路制造(上海)有限公司 Finfet器件及其制备方法
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
KR20200128275A (ko) 2019-05-02 2020-11-12 삼성전자주식회사 반도체 소자의 제조 장치 및 그를 이용한 반도체 소자의 제조 방법
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11996453B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Introducing fluorine to gate after work function metal deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000033433A (ko) * 1998-11-23 2000-06-15 윤종용 반도체 장치의 배선 형성방법
KR20050065092A (ko) * 2003-12-24 2005-06-29 엘지전자 주식회사 광소자를 위한 서브 마운트 및 그 제조 방법
JP3873133B2 (ja) 2001-01-17 2007-01-24 インターナショナル・ビジネス・マシーンズ・コーポレーション キャパシタ構造
KR100845175B1 (ko) 2004-03-31 2008-07-10 인텔 코포레이션 반도체 디바이스 및 그 제조 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009038103A (ja) * 2007-07-31 2009-02-19 Fujitsu Microelectronics Ltd 半導体装置の製造方法と半導体装置
US8237197B2 (en) * 2010-07-07 2012-08-07 International Business Machines Corporation Asymmetric channel MOSFET
US8617956B2 (en) * 2010-08-19 2013-12-31 International Business Machines Corporation Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8569135B2 (en) * 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US8609518B2 (en) * 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US9076817B2 (en) * 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US9059211B2 (en) * 2011-10-03 2015-06-16 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
US8975672B2 (en) * 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000033433A (ko) * 1998-11-23 2000-06-15 윤종용 반도체 장치의 배선 형성방법
JP3873133B2 (ja) 2001-01-17 2007-01-24 インターナショナル・ビジネス・マシーンズ・コーポレーション キャパシタ構造
KR20050065092A (ko) * 2003-12-24 2005-06-29 엘지전자 주식회사 광소자를 위한 서브 마운트 및 그 제조 방법
KR100845175B1 (ko) 2004-03-31 2008-07-10 인텔 코포레이션 반도체 디바이스 및 그 제조 방법

Also Published As

Publication number Publication date
TWI474460B (zh) 2015-02-21
KR20130108025A (ko) 2013-10-02
TW201340280A (zh) 2013-10-01
US20130248927A1 (en) 2013-09-26
US20140206167A1 (en) 2014-07-24
US8716765B2 (en) 2014-05-06
US9337304B2 (en) 2016-05-10
US9076819B2 (en) 2015-07-07
US20150279965A1 (en) 2015-10-01

Similar Documents

Publication Publication Date Title
KR101374461B1 (ko) 반도체 소자의 접촉 구조
US20240097034A1 (en) Method for fabricating a strained structure and structure formed
US10262878B2 (en) Fluorine contamination control in semiconductor manufacturing process
US8969201B2 (en) Contact structure of semiconductor device priority claim
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
US9379108B2 (en) Contact structure of semiconductor device
US9171929B2 (en) Strained structure of semiconductor device and method of making the strained structure
US8609495B2 (en) Hybrid gate process for fabricating finfet device
US10026641B2 (en) Isolation structure of semiconductor device
US11145746B2 (en) Semiconductor device and method
KR101684010B1 (ko) 반도체 디바이스의 콘택 구조물
TWI521709B (zh) 半導體結構及積體電路之製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200226

Year of fee payment: 7