TW201340280A - 半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法 - Google Patents

半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法 Download PDF

Info

Publication number
TW201340280A
TW201340280A TW101126906A TW101126906A TW201340280A TW 201340280 A TW201340280 A TW 201340280A TW 101126906 A TW101126906 A TW 101126906A TW 101126906 A TW101126906 A TW 101126906A TW 201340280 A TW201340280 A TW 201340280A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
substrate
recess
metal layer
Prior art date
Application number
TW101126906A
Other languages
English (en)
Other versions
TWI474460B (zh
Inventor
Cheng-Hsien Wu
Chih-Hsin Ko
Clement Hsingjen Wann
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201340280A publication Critical patent/TW201340280A/zh
Application granted granted Critical
Publication of TWI474460B publication Critical patent/TWI474460B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本發明提供之半導體元件的接觸結構,包括基板,其包括主要表面與凹陷。凹陷之下表面低於主要表面。接觸結構亦包括應力材料於凹陷中,且應力材料與基板之晶格常數不同。接觸結構亦包括第一金屬層於應力材料上、介電層於第一金屬層上、及第二金屬層位於介電層上。介電層之厚度介於1nm至10nm之間。

Description

半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法
本發明係關於製作積體電路,更特別關於半導體元件之接觸結構。
半導體產業為尋求更高的元件密度、更佳效能、與更低成本,其製程技術已進步至奈米節點。然而更小的技術節點導致三維結構如鰭狀場效電晶體(FinFET)的設計難度更高。一般的鰭狀場效電晶體具有三個自基板延伸的垂直鰭狀結構,其形成方法可為蝕刻部份基板的矽層。鰭狀場效電晶體的通道區係形成於此垂直鰭狀物中,而閘極係位於鰭狀物的三個側邊上(形同包覆鰭狀物)。在通道兩側上的閘極,可由通道兩側對通道進行閘極控制。此外,鰭狀場效電晶體可減少短通道效應並具有較高電流。
對互補式金氧半場效電晶體(CMOS)而言,如何實施上述結構與製程是個挑戰。舉例來說,在應力材料上形成金屬矽化物會消耗部份的應力材料,而保留的應力材料可能無法提供足夠的應力至半導體元件的通道區。上述現象將使半導體元件的開啟電流不足。
本發明一實施例提供一種半導體元件的接觸結構,包括:基板,包括主要表面與凹陷,且凹陷之下表面低於主要表面;應力材料位於凹陷中,其中應力材料與基板之晶格常數不同;第一金屬層位於應力材料上;介電層位於第一金屬層上,其中介電層之厚度介於1nm至10nm之間; 以及第二金屬層位於介電層上。
本發明一實施例提供一種金氧半場效電晶體,包括:基板,包括主要表面與凹陷,且凹陷之下表面低於主要表面;閘極堆疊位於基板之主要表面上;淺溝槽隔離區位於基板中,且凹陷位於淺溝槽隔離區與閘極堆疊之間;以及接觸結構,且至少部份接觸結構位於凹陷中,其中接觸結構包括:應力材料位於凹陷中,其中應力材料與基板之晶格常數不同;第一金屬層位於應力材料上;介電層位於第一金屬層上,其中介電層之厚度介於1nm至10nm之間;以及第二金屬層位於介電層上。
本發明一實施例提供一種製作半導體元件的方法,包括:提供基板,且基板包括主要表面與位於主要表面下的凹陷;磊晶成長應力材料於凹陷中,其中應力材料與基板之晶格常數不同;形成第一金屬層於應力材料上;形成介電層於第一金屬層上,其中介電層之厚度介於1nm至10nm之間;形成虛置多晶矽於介電層上;形成層間介電層圍繞虛置多晶矽;移除介電層上的虛置多晶矽;以及形成第二金屬層於介電層上。
可以理解的是,本發明提供多個不同實施例或實例,以實施多種實施例中的不同特徵。下述元件與組合的特定實例係用以簡化本發明,僅用以舉例而非侷限本發明。舉例來說,形成第一結構於第二構上的敘述,包括第一與第二結構直接接觸或隔有額外結構的情況。此外,本發明之多個實例可重複採用相同標號,但具有相同標號的元件並 不必然具有相同的對應關係。
第1圖係本發明多個實施例中,製作半導體元件之接觸結構的方法100其流程圖。方法100之起始步驟102提供基板,其具有凹陷於主要表面下。接著進行步驟104以磊晶成長應力材料於凹陷中,其中應力材料與基板的晶格常數不同。接著進行步驟106以形成第一金屬層於應力材料上,再進行步驟108以形成介電層於第一金屬層上,其中介電層之厚度介於1nm至10nm之間。接著進行步驟110以形成虛置多晶矽於介電層上,再進行步驟112以形成層間介電層圍繞虛置多晶矽。接著進行步驟114以移除介電層上的虛置多晶矽,再進行步驟116形成第二金屬層於介電層上。下述內容中的實施例將依據第1圖之方法100製作半導體元件。
第2至12圖係本發明多個實施例中,製作含有接觸結構240之半導體元件200的製程剖視圖。在本發明中,半導體元件200指的是鰭狀場效電晶體,即任何鰭狀物的多重閘極電晶體。在某些實施例中,半導體元件200指的是平面金氧半場效電晶體(MOSFET)。半導體元件200可包含微處理器、記憶單元、及/或其他積體電路(IC)。值得注意的是某些實施例中,完整的半導體元件200並非只以第1圖之操作步驟形成,而需採用互補式金氧半(CMOS)製程完成。可以理解的是,在第1圖之方法100之前、之中、及/或之後可進一步採用額外製程,而某些額外製程只簡述於後。此外為了方便理解本發明的概念,將簡化第2至12圖。可以理解的是,雖然圖示為半導體元件200,但積體電路 可包含其他元件如電阻、電容、電感、熔絲、或類似物。
如第2圖與第1圖之步驟102所示,提供具有主要表面20s的基板20。在至少一實施例中,基板20包含結晶矽基板(如晶圓)。基板20可依設計需求而具有多種掺雜區,比如p型基板或n型基板。在某些實施例中,掺雜區可掺有p型掺質如硼或BF2,或掺有n型掺質如磷或砷,及/或上述之組合。掺雜區可作為n型鰭狀場效電晶體或n型平面金氧半場效電晶體,或作為p型鰭狀場效電晶體或p型平面金氧半場效電晶體。
在其他實施例中,基板20之組成可為其他合適的半導體元素如鑽石或鍺,其他合適的半導體化合物如砷化鎵、碳化矽、砷化銦、或磷化銦,或其他合適的半導體合金如碳化矽鍺、磷化鎵砷、或磷化鎵銦。此外,基板20可包含具有應力之磊晶層以增加效能,及/或絕緣層上矽(SOI)結構。
在此實施例中,基板20更包含一鰭狀結構202。形成於基板20上的鰭狀結構包含一或多個鰭狀物。在此實施例中,鰭狀結構202包含單一鰭狀物以簡化說明。鰭狀物包含任何適當材料,比如矽、鍺、或半導體化合物。鰭狀結構202可進一步包含蓋層(如矽蓋層)於鰭狀物上。
鰭狀結構202之形成方法可為任何合適製程,包含多種沉積、微影、及/或蝕刻製程。以微影製程為例,可先形成光阻層於基板20(比如矽層)上、曝光光阻使其形成圖案、進行曝光後烘烤製程、以及顯影光阻以形成遮罩單元。接著以反應性離子蝕刻(RIE)及/或其他合適製程蝕刻矽 層。在一實施例中,鰭狀結構202的矽鰭狀物其形成方法可為圖案化與蝕刻部份矽組成的基板20。在另一實施例中,鰭狀結構202的矽鰭狀物其形成方法可為圖案化與蝕刻絕緣層上沉積的矽層。舉例來說,矽層可為絕緣層上矽(SOI)基板之矽-絕緣物-矽堆疊之最上層的矽層。
在此實施例中,形成於基板20中的隔離區可定義與電性絕緣鰭狀結構202的不同鰭狀物。在一實施例中,隔離區包括淺溝槽隔離(STI)區204。隔離區可包含氧化矽、氮化矽、氮氧化矽、掺雜氟之矽酸鹽玻璃(FSG)、低介電常數材料、及/或上述之組合。在此實施例中的隔離區如淺溝槽隔離區204其形成方法可為任何合適製程。在一實施例中,形成淺溝槽隔離區204的方法可包含在鰭狀物之間的溝槽中填入介電材料,比如化學氣相沉積法。在某些實施例中,填入溝槽中的材料為多層結構,比如在熱氧化襯墊層上填入氮化矽或氧化矽。
如第2圖所示,虛置閘極堆疊210係形成於基板20的主要表面20s上。虛置閘極堆疊210係位於淺溝槽隔離區204之間,比如鰭狀結構202之上表面上。在此實施例中,虛置閘極堆疊210包含閘極介電層212與虛置閘極層214。虛置閘極堆疊210之形成方法可為任何合適製程如下述。
在一實施例中,依序形成閘極介電層212與虛置閘極層214於基板20上。在某些實施例中,閘極介電層212可包含氧化矽、氮化矽、氮氧化矽、或高介電常數之介電材料。高介電常數之介電材料包含金屬氧化物,比如Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、 Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、或上述之組合的氧化物。在此實施例中,閘極介電層212為高介電常數之介電層,其厚度約介於10Å至30Å之間。閘極介電層212之形成方法可為合適製程如原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、熱氧化法、紫外線-臭氧氧化法、或上述之組合。閘極介電層212可進一步包含界面層(未圖示)以減少閘極介電層212與鰭狀結構202之間的損傷。界面層可包含氧化矽。
在某些實施例中,虛置閘極層214可為單層或多層結構。在此實施例中,虛置閘極層214可包含多晶矽。此外,虛置閘極層214可為掺雜的多晶矽,其具有均勻或不均勻的掺雜物。在此實施例中,虛置閘極層214之厚度介於約30nm至約60nm之間。虛置閘極層214之形成方法可為低壓化學氣相沉積(LPCVD)製程或電漿增強式化學氣相沉積(PECVD)製程。
接著形成光阻層於虛置閘極層214上,其形成方法可為合適製程如旋轉塗佈法。接著圖案化光阻層以形成圖案化光阻結構,且圖案化方法可為適當的微影製程。在至少一實施例中,圖案化光阻結構的寬度約介於15nm至45nm之間。藉由乾蝕刻製程,可將圖案化光阻結構的圖案轉移至下方的層狀物(如虛置閘極層214與閘極介電層212),以形成虛置閘極堆疊210。之後可剝除光阻層。
在另一實施例中,形成硬遮罩層216於虛置閘極層214上,再形成圖案化之光阻層於硬遮罩層216上。接著將圖案化光阻層之圖案轉移至硬遮罩層216,再轉移至虛置閘 極層214與閘極介電層212,以形成虛置閘極堆疊210。硬遮罩層216包含氧化矽。在某些其他實施例中,硬遮罩層216可視情況包含氮化矽、氮氧化矽、及/或其他合適的介電材料,且其形成方法可為化學氣相沉積法或物理氣相沉積法。硬遮罩層216之厚度約介於100Å至800Å之間。
如第2圖所示,半導體元件200更包含一介電層形成於虛置閘極堆疊210與基板20上,以覆蓋虛置閘極堆疊210的側壁。介電層可包含氧化矽、氮化矽、或氮氧化矽。介電層可為單層或多層結構。介電層之形成方法可為化學氣相沉積法、物理氣相沉積法、原子層沉積法、或其他合適技術。介電層之厚度約介5nm至15nm之間。接著對介電層進行非等向蝕刻,以形成一對側壁間隔物218於虛置閘極堆疊210的兩側上。
如第3圖與第1圖之步驟102所示,形成凹陷於虛置閘極堆疊210與側壁間隔物218以外的鰭狀結構202中,以形成源極/汲極凹陷206。源極/汲極凹陷206之下表面低於基板20之主要表面20s。在此實施例中,每一源極/汲極凹陷206各自位於虛置閘極堆疊210與淺溝槽隔離區204之間。
在此實施例中,以虛置閘極堆疊210及側壁間隔物218作為遮罩,偏壓蝕刻基板20未受遮罩的主要表面20s以形成源極/汲極凹陷206。在一實施例中,蝕刻製程的壓力約介於1mTorr至1000mTorr之間,功率約介於50W至1000W之間,偏壓約介於20V至500V之間,溫度約介於40℃至60℃之間,且蝕刻氣體為HBr及/或Cl2。此外,實施例可 調整蝕刻製程的偏壓使源極/汲極凹陷206具有所需的形狀。
如第4圖與第1圖之步驟104所示,在基板20之主要表面20s下形成源極/汲極凹陷206後,磊晶成長應力材料208於虛置閘極堆疊210與淺溝槽隔離區204之間的源極/汲極凹陷206中。應力材料208與基板20之晶格常數不同。如此一來,應力材料208將施加應力至半導體元件200的通道區,以增加元件的載子移動性。
在某些實施例中,應力材料208包括含矽材料如SiGe、SiC、或SiP。在某些實施例中,應力材料包含錯位結構。在此實施例中,先以氫氟酸或其他合適溶液預清潔源極/汲極凹陷206。接著將低壓化學氣相沉積法所選擇性成長的應力材料208(如SiGe),填入基板20中的源極/汲極凹陷206。在一實施例中,應力材料208的上表面低於主要表面20s(未圖示)。在另一實施例中,應力材料208的上表面延伸超過主要表面20s。在此實施例中,低壓化學氣相沉積法之溫度約介於400℃至800℃之間,壓力約介於1torr至15torr之間,且反應氣體為SiH2Cl2、HCl、GeH4、B2H6、與H2。上述SiH2Cl2與HCl的質量流率約介於0.45至0.55之間。
上述製程將提供應力材料208於虛置閘極堆疊210與淺溝槽隔離區204之間的源極/汲極凹陷206中。在某些實施例中,可形成金屬矽化區於應力材料208上,其形成方法可為先毯覆性沉積金屬薄層如鎳、鈦、鈷、或上述之組合於應力材料208上。接著加熱基板20使矽與其接觸的金 屬薄層反應,以形成金屬矽化層於含矽材料與金屬之間。接著以蝕刻劑選擇性地移除未反應的金屬,但保留金屬矽化物。
然而形成金屬矽化區的方法會消耗部份的應力材料208。如此一來,源極/汲極凹陷206中保留的應力材料208可能無法施加足夠應力至半導體元件的通道區,造成半導體元件的開啟電流不足。此外,若縮小奈米製程中的接觸區域,可能會大幅增加金屬矽化區的電阻並降低元件效能。
下述第5至12圖之製程可形成接觸結構,係以導電介電層取代金屬矽化區。接觸結構不會消耗應力材料208,使應力材料208可施加足夠應力至半導體元件的通道區。如此一來可避免半導體元件之開啟電流不足的問題,進而增加元件效能。
如第5圖及第1圖之步驟106所示,形成半導體元件200的接觸結構(如第12圖所示之接觸結構240),其形成方法為形成第一金屬層222於應力材料208、虛置閘極堆疊210、與淺溝槽隔離區204上。在某些實施例中,第一金屬層222可包含TiN或TaN,其形成方法可為化學氣相沉積法、原子層沉積法、或濺鍍法。在某些實施例中,第一金屬層222之厚度t1介於1nm至3nm之間。
接著如第5圖與第1圖之步驟108所示,形成導電介電層224於第一金屬層222上。在至少一實施例中,導電介電層224包含TiO2。在某些實施例中,導電介電層224可視情況包含Al2O3、NiO、HfO2、及/或其他合適的導電介電材料,且其形成方法可為化學氣相沉積法、原子層沉 積法、或濺鍍法。在某些實施例中,導電介電層224之厚度t2介於1nm至10nm之間。
如第6圖與第1圖之步驟110所示,為了形成內連線至導電介電層224,需先形成虛置多晶矽226於導電介電層224上。在某些實施例中,虛置多晶矽226可包含單層或多層結構。在某些實施例中,虛置多晶矽226可為掺有均勻或不均勻之掺質的多晶矽。在此實施例中,虛置多晶矽226之厚度約介於30nm至60nm之間。虛置多晶矽226的形成方法可為低壓化學氣相沉積製程或電漿增強化學氣相沉積製程。接著對虛置多晶矽226進行化學機械研磨(CMP),以露出虛置閘極堆疊210。
接著形成圖案化光阻結構於虛置多晶矽226上,其形成方法可為合適製程如旋轉塗佈法光阻層,再以合適之微影方法圖案化光阻層。在一實施例中,圖案化光阻結構的寬度約介於15nm至45nm之間。藉由乾蝕刻製程,可將圖案化光阻結構之圖案轉移至其下方的虛置多晶矽226,如第7圖與第1圖之步驟110所示。之後可剝除圖案化光阻結構。
如第8圖所示,將導電介電層224上的虛置多晶矽226圖案化後,以圖案化的虛置多晶矽226作為硬遮罩,乾蝕刻移除側壁間隔物218上的其他層狀物(如導電介電層224與第一金屬層222)直到露出應力材料208的上表面。在此實施例中,移除導電介電層224與第一金屬層222之步驟亦同時移除虛置閘極214上的硬遮罩層216。保留的導電介電層224與第一金屬層222為低電阻的中間層,可取代 前述之高電阻的金屬矽化區。上述低電阻的中間層可幫助傳輸應力材料208與後述形成的第二金屬層228(見第12圖)之間的載子。
如第9圖與第1圖之步驟112所示,在移除側壁間隔物218上的其他層狀物(如導電介電層224與第一金屬層222)後,形成層間介電層232於虛置閘極堆疊210、側壁間隔物218、與虛置多晶矽226。上述層間介電層232將延伸超過基板20上。層間介電層232可包含介電材料,如氧化矽、旋塗玻璃(SOG)、氟化矽酸鹽玻璃(FSG)、掺雜碳之氧化矽(如SiCOH)、BLACK DIAMOND®(購自美國加州之Santa Clara的APPLIED MATERIALS)、其他合適之介電材料、及/或上述之組合。在某些實施例中,層間介電層232可包含高密度電漿(HDP)介電材料及/或高深寬比製程(HARP)介電材料。在此實施例中,層間介電層232之厚度介於約4000Å至約8000Å之間。可以理解的是,層間介電層232可包含一或多種介電材料及/或一或多層介電層。
接著化學機械研磨層間介電層232,直到露出虛置閘極層214之上表面,如第10圖所示。化學機械研磨製程具有高選擇性,可讓虛置閘極層214、側壁間隔物218、虛置多晶矽226、與層間介電層232具有實質上平坦的表面。在一實施例中,圍繞虛置閘極堆疊210的介電層包括側壁間隔物218與層間介電層232。在另一實施例中,層間介電層232圍繞虛置多晶矽226。
如第11圖及第1圖之步驟114所示,將第10圖之半導體元件200之虛置閘極堆疊210中的虛置閘極層214移 除後,可形成開口234於側壁間隔物218之間。上述移除製程亦移除導電介電層224上的虛置多晶矽226,以形成開口236於層間介電層232中。虛置閘極層214與虛置多晶矽236之移除方法可為乾蝕刻製程及/或濕蝕刻製程。在一實施例中,移除虛置閘極層214與虛置多晶矽236的濕蝕刻製程包括含有氫氧化銨之氫氧化物溶液、稀釋後的氫氟酸、去離子水、及/或其他合適的蝕刻溶液。在其他實施例中,移除虛置閘極層214與虛置多晶矽236的乾蝕刻製程其操作條件包括電源功率約介於650W至800W之間、偏功率介於約100W至120W之間、壓力約介於60mTorr至200mTorr之間,且蝕刻氣體為Cl2、HBr、及He。
如第12圖及第1圖之步驟116所示,將第二金屬層228填入開口236以形成部份的接觸結構240,並將金屬閘極層238填入開口234以形成部份的閘極堆疊230。在某些實施例中,第二金屬層228包含Al、Ni、NiPt、或Pt。在某些實施例中,金屬閘極層238包含Al、Cu、TiN、TiAlN、TiCN、TaN、TaCN、WN、或WCN。在一實施例中,先形成第二金屬層228再形成金屬閘極層238。在其他實施例中,先形成金屬閘極層238再形成第二金屬層228。在另一實施例中,同時形成第二金屬層228與金屬閘極層238。
在某些實施例中,金屬閘極層238與閘極介電層212的組合稱作閘極堆疊230。在此實施例中,應力材料208、第一金屬層222、介電層224、與第二金屬層228的組合稱作接觸結構240。接觸結構240可讓內連線具有低電阻路 徑,並提供足夠的應力至半導體元件的通道區,進而改善元件效能。
在完成第1圖所示之方法如第2至12圖所示,可進行其他後續製程如一般內連線製程,以完成製作半導體元件200。
在本發明一實施例中,半導體元件的接觸結構包括:基板,其包括主要表面與凹陷。凹陷之下表面低於主要表面。接觸結構亦包括應力材料於凹陷中,其中應力材料與基板之晶格常數不同。接觸結構亦包括第一金屬層於應力材料上、介電層於第一金屬層上、及第二金屬層位於介電層上。介電層之厚度介於1nm至10nm之間。
在本發明另一實施例中,金氧半場效電晶體包括基板,其包括主要表面與凹陷。凹陷之下表面低於主要表面。金氧半場效電晶體亦包括閘極堆疊於基板之主要表面上、淺溝槽隔離區於基板中、與接觸結構,其中至少部份的接觸結構位於凹陷中。凹陷位於淺溝槽隔離區與閘極堆疊之間。接觸結構包括應力材料於凹陷中、第一金屬層於應力材料上、介電層於第一金屬層上、與第二金屬層於介電層上。應力材料與基板之晶格常數不同。介電層之厚度介於1nm至10nm之間。
在本發明又一實施例中,製作半導體元件的方法包括提供基板,且基板包括主要表面與位於主要表面下的凹陷。磊晶成長應力材料於凹陷中,其中應力材料與基板之晶格常數不同。形成第一金屬層於應力材料上。形成介電層於第一金屬層上,且介電層之厚度介於1nm至10nm之 間。形成虛置多晶矽於介電層上。形成層間介電層圍繞虛置多晶矽。接著移除介電層上的虛置多晶矽,並形成第二金屬層於介電層上。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
t1、t2‧‧‧厚度
20‧‧‧基板
20s‧‧‧主要表面
100‧‧‧方法
102、104、106、108、114、116‧‧‧步驟
200‧‧‧半導體元件
202‧‧‧鰭狀結構
204‧‧‧淺溝槽隔離區
206‧‧‧源極/汲極凹陷
208‧‧‧應力材料
210‧‧‧虛置閘極堆疊
212‧‧‧閘極介電層
214‧‧‧虛置閘極層
216‧‧‧硬遮罩層
218‧‧‧側壁間隔物
222‧‧‧第一金屬層
224‧‧‧導電介電層
226‧‧‧虛置多晶矽
228‧‧‧第二金屬層
230‧‧‧閘極堆疊
232‧‧‧層間介電層
234、236‧‧‧開口
238‧‧‧金屬閘極層
240‧‧‧接觸結構
第1圖係本發明多個實施例中,製作半導體元件之接觸結構的方法其流程圖;以及第2至12圖係本發明多個實施例中,製作含有接觸結構之半導體元件的製程剖視圖。
20‧‧‧基板
200‧‧‧半導體元件
202‧‧‧鰭狀結構
204‧‧‧淺溝槽隔離區
208‧‧‧應力材料
212‧‧‧閘極介電層
222‧‧‧第一金屬層
224‧‧‧導電介電層
228‧‧‧第二金屬層
230‧‧‧閘極堆疊
232‧‧‧層間介電層
238‧‧‧金屬閘極層
240‧‧‧接觸結構

Claims (10)

  1. 一種半導體元件的接觸結構,包括:一基板,包括一主要表面與一凹陷,且該凹陷之下表面低於該主要表面;一應力材料位於該凹陷中,其中該應力材料與該基板之晶格常數不同;一第一金屬層位於該應力材料上;一介電層位於該第一金屬層上,其中該介電層之厚度介於1nm至10nm之間;以及一第二金屬層位於該介電層上。
  2. 如申請專利範圍第1項所述之半導體元件的接觸結構,其中該應力材料包括SiGe、SiC、或SiP。
  3. 如申請專利範圍第1項所述之半導體元件的接觸結構,其中該介電層包括TiO2、Al2O3、NiO、或HfO2
  4. 如申請專利範圍第1項所述之半導體元件的接觸結構,其中該第一金屬層之厚度介於1nm至3nm之間。
  5. 一種金氧半場效電晶體,包括:一基板,包括一主要表面與一凹陷,且該凹陷之下表面低於該主要表面;一閘極堆疊位於該基板之主要表面上;一淺溝槽隔離區位於該基板中,且該凹陷位於該淺溝槽隔離區與該閘極堆疊之間;以及一接觸結構,且至少部份該接觸結構位於該凹陷中,其中該接觸結構包括:一應力材料位於該凹陷中,其中該應力材料與該基板 之晶格常數不同;一第一金屬層位於該應力材料上;一介電層位於該第一金屬層上,其中該介電層之厚度介於1nm至10nm之間;以及一第二金屬層位於該介電層上。
  6. 如申請專利範圍第5項所述之金氧半場效電晶體,其中該應力材料包括SiGe、SiC、或SiP。
  7. 如申請專利範圍第5項所述之金氧半場效電晶體,其中該介電層包括TiO2、Al2O3、NiO、或HfO2
  8. 如申請專利範圍第5項所述之金氧半場效電晶體,其中該第一金屬層之厚度介於1nm至3nm之間。
  9. 一種製作半導體元件的方法,包括:提供一基板,且該基板包括一主要表面與位於該主要表面下的一凹陷;磊晶成長一應力材料於該凹陷中,其中該應力材料與該基板之晶格常數不同;形成一第一金屬層於該應力材料上;形成一介電層於該第一金屬層上,其中該介電層之厚度介於1nm至10nm之間;形成一虛置多晶矽於該介電層上;形成一層間介電層圍繞該虛置多晶矽;移除該介電層上的該虛置多晶矽;以及形成一第二金屬層於該介電層上。
  10. 如申請專利範圍第9項所述之製作半導體元件的方法,更包括: 形成一淺溝槽隔離區於該基板中;形成一閘極堆疊於該基板之該主要表面上,其中該淺溝槽隔離區位於該閘極堆疊的一側上;以及形成一凹陷於該閘極堆疊與該淺溝槽隔離區之間。
TW101126906A 2012-03-23 2012-07-26 半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法 TWI474460B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/428,972 US8716765B2 (en) 2012-03-23 2012-03-23 Contact structure of semiconductor device

Publications (2)

Publication Number Publication Date
TW201340280A true TW201340280A (zh) 2013-10-01
TWI474460B TWI474460B (zh) 2015-02-21

Family

ID=49210957

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101126906A TWI474460B (zh) 2012-03-23 2012-07-26 半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法

Country Status (3)

Country Link
US (3) US8716765B2 (zh)
KR (1) KR101374461B1 (zh)
TW (1) TWI474460B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620621B2 (en) 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
CN110571259A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 Finfet器件及其制备方法

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9000513B2 (en) 2012-11-12 2015-04-07 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing a semiconductor device and semiconductor device with surrounding gate transistor
CN104576376A (zh) * 2013-10-13 2015-04-29 中国科学院微电子研究所 一种mosfet结构及其制造方法
US9136131B2 (en) * 2013-11-04 2015-09-15 Globalfoundries Inc. Common fill of gate and source and drain contacts
US9553149B2 (en) 2013-11-08 2017-01-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with a strained region and method of making
US9112033B2 (en) 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160104621A1 (en) * 2014-10-10 2016-04-14 Globalfoundries Inc. Semiconductor device having common contact and gate properties
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
KR102295239B1 (ko) 2015-01-08 2021-09-01 삼성전자주식회사 반도체 장치의 형성방법
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US10090360B2 (en) 2015-02-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor structure including a plurality of trenches
US9502502B2 (en) * 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9647091B2 (en) * 2015-05-01 2017-05-09 International Business Machines Corporation Annealed metal source drain overlapping the gate
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102399027B1 (ko) 2015-06-24 2022-05-16 삼성전자주식회사 반도체 장치
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11101268B2 (en) * 2017-03-30 2021-08-24 Intel Corporation Transistors employing non-selective deposition of source/drain material
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
KR20200128275A (ko) 2019-05-02 2020-11-12 삼성전자주식회사 반도체 소자의 제조 장치 및 그를 이용한 반도체 소자의 제조 방법
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000033433A (ko) 1998-11-23 2000-06-15 윤종용 반도체 장치의 배선 형성방법
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6613641B1 (en) 2001-01-17 2003-09-02 International Business Machines Corporation Production of metal insulator metal (MIM) structures using anodizing process
KR20050065092A (ko) 2003-12-24 2005-06-29 엘지전자 주식회사 광소자를 위한 서브 마운트 및 그 제조 방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009038103A (ja) * 2007-07-31 2009-02-19 Fujitsu Microelectronics Ltd 半導体装置の製造方法と半導体装置
US8237197B2 (en) * 2010-07-07 2012-08-07 International Business Machines Corporation Asymmetric channel MOSFET
US8617956B2 (en) * 2010-08-19 2013-12-31 International Business Machines Corporation Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8569135B2 (en) * 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US8609518B2 (en) * 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US9076817B2 (en) * 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US9059211B2 (en) * 2011-10-03 2015-06-16 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
US8975672B2 (en) * 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620621B2 (en) 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
TWI620317B (zh) * 2014-02-14 2018-04-01 台灣積體電路製造股份有限公司 場效電晶體之具有基腳之閘極結構
CN110571259A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 Finfet器件及其制备方法
CN110571259B (zh) * 2018-06-05 2023-04-07 中芯国际集成电路制造(上海)有限公司 Finfet器件及其制备方法

Also Published As

Publication number Publication date
KR101374461B1 (ko) 2014-03-17
TWI474460B (zh) 2015-02-21
US8716765B2 (en) 2014-05-06
KR20130108025A (ko) 2013-10-02
US20140206167A1 (en) 2014-07-24
US20130248927A1 (en) 2013-09-26
US9337304B2 (en) 2016-05-10
US9076819B2 (en) 2015-07-07
US20150279965A1 (en) 2015-10-01

Similar Documents

Publication Publication Date Title
TWI474460B (zh) 半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法
US11855210B2 (en) Method for fabricating a strained structure and structure formed
US10741400B2 (en) Gate replacement structures in semiconductor devices
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
US9099494B2 (en) Contact structure of semiconductor device
TWI524396B (zh) 半導體裝置及其製造方法
TWI524527B (zh) 半導體裝置及其製造方法
US10026641B2 (en) Isolation structure of semiconductor device
KR20140020712A (ko) 전계 효과 트랜지스터의 게이트 전극
KR20150114931A (ko) 반도체 소자의 소스/드레인 구조
TWI564970B (zh) 半導體裝置及其製造方法
TWI521709B (zh) 半導體結構及積體電路之製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees