JP4796329B2 - マルチ−ブリッジチャンネル型mosトランジスタの製造方法 - Google Patents

マルチ−ブリッジチャンネル型mosトランジスタの製造方法 Download PDF

Info

Publication number
JP4796329B2
JP4796329B2 JP2005145097A JP2005145097A JP4796329B2 JP 4796329 B2 JP4796329 B2 JP 4796329B2 JP 2005145097 A JP2005145097 A JP 2005145097A JP 2005145097 A JP2005145097 A JP 2005145097A JP 4796329 B2 JP4796329 B2 JP 4796329B2
Authority
JP
Japan
Prior art keywords
channel
pattern
film
bridge
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005145097A
Other languages
English (en)
Other versions
JP2005340810A (ja
Inventor
珍俊 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020040037517A external-priority patent/KR100625177B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2005340810A publication Critical patent/JP2005340810A/ja
Application granted granted Critical
Publication of JP4796329B2 publication Critical patent/JP4796329B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は半導体装置の製造方法に関し、より詳細にはマルチ−ブリッジチャンネル型MOSトランジスタの製造方法とマルチ−ブリッジチャンネル型相補型MOS(CMOS:Complementray MOS)トランジスタの製造方法に関する。
半導体装置が高集積化されることによってアクティブ領域の大きさが減少している。さらに、前記アクティブ領域に形成されるMOSトランジスタのチャンネル長さが減少している成り行きである。前記MOSトランジスタのチャンネル長さが小さくなるとチャンネル領域での電界や電位に及ぶソース/ドレーンの影響が悪くなるが、このような現象はショートチャンネル効果(short channel effect)と称される。また、前記アクティブ領域の減少によってチャンネル幅も減少されるが、その結果しきい電圧が増加する狭幅チャンネル効果が現れる。
従って、基板上に形成される素子の大きさを縮小させながら素子の性能を極大化させるための多様な方法が研究されつつある。その代表的なものとしてフィン(fin)構造、DELTA(fully Depleted Lean−channel Transistor)構造、GAA(Gate All Around)構造のような垂直型トランジスタの構造を挙げることができる。
例えば、特許文献1には平行な複数個の薄いチャンネルフィン(fin)がソース/ドレーン領域の間に形成され、前記チャンネルの上面及び側壁上にゲート電極が拡張される構造を有するフィン型MOSトランジスタが開示されている。前記フィン型MOSトランジスタによると、チャンネルフィンの両側側面上にゲート電極が形成され前記両側側面からゲート制御が行われることによって、ショートチャンネル効果を減少させる。しかし、前記フィン型MOSトランジスタは複数個のチャンネルフィンがゲートの幅方向に沿って平行に形成されるので、チャンネル領域及びソース/ドレーン領域が占める面積が大きくなり、チャンネル数が増えることによりソース/ドレーン接合キャパシタンスが増加するという問題点がある。
前記DELTA構造のMOSトランジスタの例は特許文献2に開示されている。前記DELTA構造ではチャンネルを形成するアクティブが一定の幅を有し垂直に突出するように形成される。また、ゲート電極が垂直に突出したチャンネル領域を取り囲むように形成される。従って、突出した部分の高さがチャンネルの幅を成し、突出した部分の幅がチャンネルの厚さを成す。よって、前記チャンネルの場合には突出した部分の両面を全部用いることができるので、チャンネルの幅が2倍になる効果を得ることができ狭幅チャンネル効果を防止することができる。また、突出した部分の幅を減少させる場合、両側面に形成されるチャンネルの空乏層が互いに重なるようにチャンネルの導電性を増加させることができる。
しかし、前記DELTA構造のMOSトランジスタをバルク型シリコン基板に具現する場合、チャンネルを成すようになる部分が突出するように基板を加工し、突出した部分を酸化防止膜で被覆した状態で基板の酸化を実施しなければならない。このとき、酸化を過度に実施すると、チャンネルを成す突出部と基板本体を連結する部分が酸化防止膜で保護されていない部分から側面拡散された酸素によって酸化されることで、チャンネルと基板本体とが隔離される。その結果、過度な酸化によってチャンネル隔離が行われ、連結部分のチャンネルの厚さが薄くなり、単結晶膜が酸化過程で応力を受け損傷を被る問題が発生する。
反面、前記DELTA構造のMOSトランジスタをSOI(Silicon-On-Insulator)型基板に形成する場合には、SOI膜を狭い幅を有するようにエッチングしてチャンネル領域を形成するので、バルク型基板を使用するときの過度な酸化に起因した問題がなくなる。しかし、SOI型基板を使用するとチャンネルの幅がSOI膜の厚さに制限され、完全空乏方式のSOI型基板はSOI膜の厚さが数百Åに過ぎないので、使用による制限が伴う。
一方、前記GAA構造のMOSトランジスタでは、通常的にSOI膜でアクティブパターンを形成し、その表面がゲート絶縁膜で被覆されたアクティブパターンのチャンネル領域をゲート電極が取り囲むように形成する。従って、DELTA構造で言及した効果と似たような効果を得ることができる。
しかし、前記GAA構造を具現するためには、ゲート電極がチャンネル領域でアクティブパターンを取り囲むように形成するために、アクティブパターンの下側の埋没酸化膜を等方性食刻のアンダーカット現象を用いてエッチングする。このとき、前記SOI膜がそのままチャンネル領域及びソース/ドレーン領域に利用されるので、このような等方性食刻過程の間チャンネル領域の下部だけではなくソース/ドレーン領域の下部も相当部分除去される。従って、ゲート電極用導電膜を蒸着するときチャンネル領域だけではなくソース/ドレーン領域の下部にもゲート電極が形成されることで、寄生キャパシタンスが大きくなるという問題がある。
また、前記等方性食刻過程でチャンネル領域の下部が水平エッチングされ、後続工程でゲート電極で埋立されるトンネルの水平長さ(または幅)が大きくなる。従って、前記方法によると、チャンネル幅より小さいゲート長さを有するMOSトランジスタを製造することが不可能になり、ゲート長さを縮小することに限界がある。
本出願人は、素子の集積度と速度を向上させることができるマルチ−ブリッジチャンネルMOSトランジスタに関する発明を2002年10月1日付けで特許文献3として特許出願したところである。
特許文献3に開示されたマルチ−ブリッジチャンネル型MOSトランジスタは半導体基板の主表面上に垂直方向に形成された複数個のチャンネル、前記チャンネルの間を貫通するトンネルを含むアクティブチャンネルパターン、前記トンネルを埋立しながら前記複数個のチャンネルを取り囲むように形成されたゲート電極、及び前記アクティブチャンネルパターン両側に形成され前記複数個のチャンネルと連結されるソース/ドレーン領域を含む。
ここで、前記アクティブチャンネルパターンは半導体基板の主表面上に複数個のチャンネル層間膜と複数個のチャンネル膜を交互に積層した後、前記複数個のチャンネル層間膜を選択的に除去してトンネルを形成することによって得ることができる。特に、前記チャンネル層間膜は主にシリコン−ゲルマニウムを使用して形成し、前記チャンネル膜は主にシリコンを使用して形成する。さらに、前記アクティブチャンネルパターンをNMOSトランジスタのチャンネルに形成する場合には前記チャンネル層間膜とチャンネル膜にリン(P)のような5族元素を主にドーピングし、前記アクティブチャンネルパターンをPMOSトランジスタのチャンネルに形成する場合には前記チャンネル層間膜とチャンネル膜にホウ素(B)のような3族元素を主にドーピングする。
そして、前記トンネルを形成するためのチャンネル層間膜の選択的除去は前記チャンネル膜に対して前記チャンネル層間膜が有するエッチング選択比を用いたエッチングによって行われる。ここで、前記ボロン(B)がドーピングされたチャンネル層間膜の場合には、前記エッチング選択比を用いた選択的除去が容易に行われる。
図1及び図2は、従来の方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタのアクティブチャンネルパターンを示す写真である。
前記チャンネル膜としてシリコン膜を選択し、前記チャンネル層間膜として約30%のゲルマニウムを含むシリコン膜を選択して交互に積層した後、前記エッチング選択比を用いた選択的除去のために水酸化アンモニウム、過酸化水素及び脱イオン水を含むエッチング液を使用してエッチングを実施した。その結果、図1のように前記チャンネル層間膜の除去が容易に行われることを確認することができる。
しかし、前記リン(P)がドーピングされたチャンネル層間膜の場合には、前記エッチング選択比を用いた選択的除去が殆ど行われない。実際に、前記チャンネル膜としてシリコン膜を選択し、前記チャンネル層間膜として約30%のゲルマニウムを含むシリコン膜を選択して交互に積層した後、前記エッチング選択比を用いた選択的除去のために水酸化アンモニウム、過酸化水素及び脱イオン水を含むエッチング液を使用してエッチングした。その結果、図2のように前記チャンネル層間膜の除去が殆ど行われないことを確認することができる。
従って、従来のマルチ−ブリッジチャンネル型MOSトランジスタ製造では、リン(P)がドーピングされたチャンネル層間膜の選択的除去が行われないことによってPMOSトランジスタのチャンネルの形成が容易ではないという問題点がある。さらに、PMOSトランジスタのチャンネルの形成が容易ではないのでマルチ−ブリッジチャンネル型相補型MOSトランジスタを製造することができないという問題点がある。
本発明の目的は、NMOSトランジスタのチャンネルの形成が容易なマルチ−ブリッジチャンネル型トランジスタの製造方法を提供することにある。
本発明の他の目的は、マルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造するための方法を提供することにある。
前記本発明の目的を達成するための本発明のマルチブリッジチャンネル型トランジスタの製造方法では、ホウ素でドーピングされるかまたはホウ素がドーピングされていないチャンネル層間膜及びチャンネル膜を半導体基板の主表面上に交互に積層して予備アクティブパターンを形成する。次に、前記予備アクティブパターンの両側にソース/ドレイン領域を形成した後、前記チャンネル層間膜を選択的に除去して、前記予備アクティブパターンを貫通する複数個のトンネルを形成する。これにより、前記トンネルと前記チャンネル膜からなる複数個のチャンネルとを含むアクティブチャンネルパターンを得る。そして、前記トンネルを埋立しながら前記チャンネルを取り囲む複数個のゲート電極を形成する。
特に、ホウ素がドーピングされたチャンネル層間膜の選択的除去は前記チャンネル層間膜とチャンネル膜が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。このとき、前記チャンネル膜に対して前記チャンネル層間膜が有するエッチング選択比が10:1未満に組成される場合には、前記チャンネル層間膜の除去が容易に実施されないので望ましくなく、前記エッチング選択比が150:1超過に組成される場合には、前記チャンネル層間膜を除去するとき工程制御が容易ではない。従って、前記チャンネル膜に対して前記チャンネル層間膜が有するエッチング選択比を10から150:1に組成することが望ましい。
ここで、前記エッチング選択比の組成は、水酸化アンモニウム、過酸化水素、脱イオン水を含むエッチング溶液を使用することによって達成される。このとき、前記エッチング溶液は70から80℃の温度を有するように組成される。また、前記エッチング選択比の組成は過酢酸、フッ素を含有する化合物、溶媒などを含むエッチング溶液を使用することによって達成される。このとき、20から70℃の温度を有するエッチング溶液を使用する。
そして、本発明においては、Nチャンネルに該当するアクティブチャンネルパターンをまず形成した後、前記NMOSトランジスタのチャンネルに該当するアクティブチャンネルパターン全部または一部をPMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンに形成する。前記PMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンはリンのドーピングによって達成する。このように、PMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンを容易に形成することができる。また、前記NMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンの一部または全部を前記PMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンに形成することができる。
従って、本発明はPMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。
前記本発明の目的を達成するための本発明のマルチブリッジチャンネル型トランジスタの製造方法において、半導体基板の主表面上にチャンネル層間膜及びチャンネル膜を交互に積層して予備アクティブパターンを形成する。続いて、前記予備アクティブパターンの両側にソース/ドレーン領域を形成した後、前記チャンネル層間膜を選択的に除去して、前記予備アクティブパターンを貫通する複数個のトンネルを形成する。これにより、前記トンネルと前記チャンネル膜からなる複数個のチャンネルとを含むアクティブチャンネルパターンを得る。続いて、前記複数個のアクティブチャンネルパターンに第1導電型不純物をドーピングして、前記第1導電型不純物がドーピングされたアクティブチャンネルパターンを形成した後、前記複数個のトンネルを埋立しながら前記複数個のチャンネルを取り囲む複数個のゲート電極を形成する。
ここで、本発明は前記チャンネル層間膜の選択的除去を実施した後、ホウ素のドーピングを実施してホウ素がドーピングされたアクティブチャンネルパターンを形成する。従って、前記チャンネル層間膜に不純物がドーピングされていないので、前記チャンネル層間膜の選択的除去を容易に実施することができる。
前記本発明のさらにまたの目的を達成するための一実施例によるマルチブリッジチャンネル型トランジスタの製造方法において、半導体基板の主表面上に第1導電型不純物がドーピングされた複数個のチャンネル層間膜及びチャンネル膜を交互に積層して予備アクティブパターンを形成する。続いて、前記予備アクティブパターンの両側にソース/ドレーン領域を形成した後、前記チャンネル層間膜を選択的に除去して前記予備アクティブパターンを貫通する複数個のトンネルを形成する。これにより、前記トンネルと前記チャンネル膜からなる複数個のチャンネルを含むアクティブチャンネルパターンを得る。このとき、前記アクティブチャンネルパターンは第1導電型不純物がドーピングされたアクティブチャンネルパターンに該当する。続いて、前記第1導電型不純物がドーピングされたアクティブチャンネルパターンに第2導電型不純物をドーピングして前記第2導電型不純物がドーピングされたアクティブチャンネルパターンを形成した後、前記複数個のトンネルを埋立しながら前記複数個のチャンネルを取り囲む複数個のゲート電極を形成する。
ここで、前記第1導電型不純物は3族元素として、例えば、ボロン、ガリウム、リンなどを挙げることができる。これらは単独に使用することが望ましいが混合して使用することもできる。従って、前記チャンネル層間膜とチャンネル膜にボロンのような3族元素がドーピングされているので前記第1導電型不純物がドーピングされたチャンネル層間膜の選択的除去は容易に実施される。
そして、本発明は前記第1導電型不純物がドーピングされたチャンネル層間膜の選択的除去を実施した後、前記第2導電型不純物のドーピングを実施する。このとき、第2導電型不純物は5族元素として、例えば、リン、砒素などを挙げることができる。
従って、本発明はNMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンを形成した後、チャンネル層間膜の選択的除去を実施する。よって、前記チャンネル層間膜の選択的除去は容易に行われる。そして、前記チャンネル層間膜の選択的除去を実施した後、前記第2導電型不純物のドーピングを実施してPMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンを形成する。また、前記NMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンの一部または全部を前記PMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンに形成することができる。
前記本発明の目的を達成するための本発明のマルチブリッジチャンネル型トランジスタの製造方法において、ホウ素でドーピングされるかまたは不純物でドーピングされない複数個のチャンネル層間膜及び複数個のチャンネル膜が交互に積層された予備アクティブパターンを半導体基板の第1領域と第2領域上に連続的に形成する。そして、前記第1領域に形成された予備アクティブパターン両側と前記第2領域に形成された予備アクティブパターン両側それぞれにソース/ドレイン領域を形成した後、前記予備アクティブパターンのチャンネル層間膜を選択的に除去し、前記予備アクティブパターンを貫通する複数個のトンネルを形成する。これにより、前記第1領域には前記トンネルと前記チャンネル膜からなる複数個の第1チャンネルとを含む第1アクティブチャンネルパターンが形成され、前記第2領域には前記トンネルと前記チャンネル膜からなる複数個の第2チャンネルとを含む第2アクティブチャンネルパターンが形成される。そして、前記第1アクティブチャンネルパターンは第1導電型不純物がドーピングされたアクティブチャンネルパターンに形成し、前記第2アクティブチャンネルパターンはリンがドーピングされたアクティブチャンネルパターンに形成する。続いて、前記第1領域には前記第1アクティブチャンネルパターンのトンネルを埋立しながら前記第1チャンネルを取り囲む複数個の第1ゲート電極を形成し、前記第2領域には前記第2アクティブチャンネルパターンのトンネルを埋立しながら前記第2チャンネルを取り囲む複数個の第2ゲート電極を形成する。
これにより、前記第1領域と第2領域に形成されたチャンネル層間膜の容易な除去が可能である。即ち、前記除去がホウ素がドーピングされていないかまたはホウ素がドーピングされたチャンネル層間膜を対象とするからである。
従って、本発明はNMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンを形成した後、チャンネル層間膜の選択的除去を実施して、前記チャンネル層間膜の選択的除去を実施した後、NMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンとPMOSトランジスタのチャンネルに該当するアクティブチャンネルパターンを形成する。
これにより、本発明はNMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタだけではなくPMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。特に、本発明はNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルとを共に有するマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
図3は本発明の製造方法に従って製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す平面図であり、図4及び図5はそれぞれ、図3のA−A’線及びB−B’線に対応する断面図である。
図3から図5に示すように、前記マルチ−ブリッジチャンネル型MOSトランジスタは、半導体基板10の主表面上に垂直な上部方向に形成された複数個のチャンネル44a、44bと、前記複数個のチャンネル44a、44bの間に形成された複数個のトンネル42a、42bとからなるアクティブチャンネルパターンを含む。特に、最下部に形成されたトンネル42aは最下部のチャンネル膜44aとその下に位置する半導体基板10の主表面との間に形成される。そして、最上部に位置するチャンネル膜44b上にはトンネル形状のグルーブ42cが形成される。ここで、前記半導体基板10はシリコン(Si)、シリコン−ゲルマニウム(SiGe)、シリコン−オン−インシュレータ(SOI)、シリコン−ゲルマニウム−オン−インシュレータ(SGOI)からなり、望ましくは、単結晶シリコンからなる。そして、前記複数個のチャンネル44a、44bは半導体物質として、単結晶シリコンを使用して形成する。
前記アクティブパターン30の両側側面には前記チャンネル44a、44bと連結されるソース/ドレーン領域34が形成される。また、前記ソース/ドレーン領域34と前記チャンネル44a、44bとの間にはソース/ドレーン拡張膜32が形成される。従って、アクティブパターン30は前記ソース/ドレーン拡張膜32及びソース/ドレーン領域34を含む。ここで、ソース/ドレーン領域34はポリシリコン、金属、金属シリサイドなどのような導電性物質から形成される。また、前記ソース/ドレーン拡張膜32は前記チャンネル44a、44bと同一の物質を使用して前記チャンネル44a、44bから延長されるように形成する。特に、前記ソース/ドレーン拡張膜32は望ましくは選択的にエピタキシャル単結晶シリコンで形成される。
前記チャンネル44a、44bの間に形成されたトンネル42a、42bと、トンネル形状のグルーブ42cとを埋立しながら前記チャンネル44a、44bを縦方向に取り囲む複数個のゲート電極48a、48b、48cが形成される。ここで、前記ゲート電極28a、48b、48cはポリシリコンを使用して形成する。そして、前記ゲート電極48a、48b、48cと前記チャンネル44a、44bとの間(即ち、トンネル42及びトンネル上のグルーブ42cの内面とチャンネルの側壁)にはゲート絶縁膜46がそれぞれ形成される。前記ゲート絶縁膜46には熱酸化膜、ONO(Oxide−nitride−oxide)膜などを選択することができる。また、最上部に位置するゲート電極48aの上面にゲート抵抗を低くするための金属シリサイドからなるゲート積層膜50が形成される。
前記チャンネル44a、44bからなるチャンネル領域を除いたソース/ドレーン領域34を取り囲むフィールド領域22が形成される。また、最下部に形成されたトンネル42aの下に位置する半導体基板10の主表面には高濃度ドーピング領域12が形成される。前記高濃度ドーピング領域12はショートチャンネル効果を誘発する可能性のある基底(bottom)トランジスタの動作を防止する役割をする。
特に、前記アクティブチャンネルパターンのチャンネル44a、44bには導電型不純物がドーピングされる。このとき、前記導電型不純物の一例としては、ホウ素、インジウム、ガリウムなどを挙げることができる。これらは単独に使用することが望ましいが2つ以上を混合して使用することもできる。また、前記導電型不純物の他の例としてはリン、砒素などを挙げることができる。これらも単独に使用することが望ましいが、2つを混合して使用することもできる。そして、前記導電型不純物としてホウ素、インジウム、ガリウムなどを選択する場合には前記チャンネル44a、44nはNMOSトランジスタのチャンネルに形成される。また、前記導電型不純物として、リン、砒素などを使用する場合には、前記チャンネル44a、44bはPMOSトランジスタのチャンネルに形成される。
図6は本発明の製造方法により製造されたマルチ−ブリッジチャンネル型MOSトランジスタのアクティブチャンネルパターンを示す斜視図であり、図7は本発明の製造方法により製造されたマルチ−ブリッジチャンネル型MOSトランジスタのゲート電極を示す斜視図である。
図6に示すように、半導体基板(図示せず)の主表面上に形成されたアクティブチャンネルパターンは垂直方向に形成された複数個のチャンネル4a、4b、4cを具備する。ここで、前記チャンネル4a、4b、4cは3個のチャンネルを示しているが、2つまたはそれ以上の個数のチャンネルを形成することもできる。前記チャンネル4a、4b、4cは狭い幅を有し垂直方向に積層された形態を有する。そして、それぞれのチャンネル4a、4b、4cの間には複数個のトンネル2a、2b、2cが形成されている。前記アクティブチャンネルパターンの両側には前記チャンネル4a、4b、4cと連結されるソース/ドレーン領域3が形成される。前記ソース/ドレーン領域3は前記チャンネル4a、4b、4cより広い幅を有して形成される。前記ソース/ドレーン領域3と前記チャンネル4a、4b、4cとの間には前記ソース/ドレーン領域4と前記チャンネル4a、4b、4cとを連結するソース/ドレーン拡張膜5を形成することもできる。
より具体的には、前記ソース/ドレーン領域3は前記アクティブチャンネルパターンの両側に比較的広い幅を有する直方体を有するように形成される。前記ソース/ドレーン領域3の間にはソース/ドレーン領域3に形成された直方体より狭い幅を有するチャンネル領域が形成され、前記ソース/ドレーン領域3を相互に連結する。そして、前記アクティブチャンネルパターンは2つのソース/ドレーン拡張膜5によって前記ソース/ドレーン領域3と連結される。このとき、前記2つのソース/ドレーン拡張膜5は垂直方向に形成された複数個のチャンネル4a、4b、4cによって相互に連結される。そして、前記チャンネル4a、4b、4cの間には複数個のトンネル2a、2b、2cが形成されている。最下部に形成されたトンネル2aは最下部のチャンネル4aとその下に位置した半導体基板の表面部位との間に形成され、最上部のチャンネル4c上にはトンネル形状のグルーブ2’が形成される。
特に、前記チャンネル4a、4b、4cには導電型不純物がドーピングされる。このとき、前記導電型不純物の一例としてはホウ素、インジウム、ガリウムなどを挙げることができる。これらは単独に使用することが望ましいが2つ以上を混合して使用することができる。また、前記導電型不純物の他の例としてはリン、砒素などを挙げることができる。これらも単独に使用することが望ましいが、2つを混合して使用することもできる。そして、前記導電型不純物としてホウ素、インジウム、ガリウムなどを選択する場合には前記チャンネル4a、4b、4cはNMOSトランジスタのチャンネルに形成される。また、前記導電型不純物としてリン、砒素などを使用する場合には前記チャンネル4a、4b、4cはPMOSトランジスタのチャンネルに形成される。
図7に示すように、前記トンネル2a、2b、2cと前記グルーブ2’とを埋立しながら前記チャンネル4a、4b、4cを縦方向(ソース/ドレーン領域の形成方向と平面的に垂直である方向)に取り囲むゲート電極6が形成される。そして、前記ゲート電極6と前記チャンネル4a、4b、4cとの間にはゲート絶縁膜7が形成される。また、前記ゲート電極6の上面にはゲート積層膜8を形成することができる。
従って、マルチ−ブリッジチャンネル型MOSトランジスタは複数個の薄いチャンネル4a、4b、4cが一つのソース/ドレーン領域3に連結され、前記ソース/ドレーン領域3を前記チャンネル4a、4b、4cに対して垂直方向に一定のドーピングプロファイルを有するように形成することができる。従って、チャンネル数が増えても均一なソース/ドレーン接合キャパシタンスを保持することができ、前記接合キャパシタンスを充分に減少させながら電流を増加させ、素子の速度を向上させることができる。
また、前記ゲート電極6が前記チャンネル4a、4b、4cを取り囲むので、それぞれのチャンネルが有する幅より小さいゲート長さを有するMOSトランジスタを提供することができ、素子の集積度を向上させることができる。また、前記ゲート電極6で埋め立てられるトンネル2の水平長さがゲート長さ領域に局限されることで、チャンネルが有する幅より小さいゲート長さを有する高集積MOSトランジスタを具現することができる。
以下、本発明の望ましい複数の実施例を図面を参照して詳細に説明する。図面で、同一な参照符号は同一な部材を示す。
(実施例1)
図8から図26は本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図であり、図27から図33は本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。
図8に示すように、単結晶シリコンからなる半導体基板10の主表面に前記半導体基板のような導電型不純物をイオン注入する。これにより、前記半導体基板10の主表面には基底トランジスタの動作を防止するための高濃度ドーピング領域12が形成される。
図9に示すように、前記半導体基板10上に複数個のチャンネル層間膜14a、14b、14c及び複数個のチャンネル膜16a、16bを交互に積層する。以下、前記複数個のチャンネル層間膜14a、14b、14cはチャンネル層間膜14でも表現し、前記チャンネル膜16a、16bはチャンネル膜16でも表現する。前記チャンネル層間膜14とチャンネル膜16は互いに異なるエッチング選択比を有する単結晶半導体物質で形成する。具体的に、前記チャンネル層間膜14は単結晶シリコン−ゲルマニウム物質を用いて約300Åの厚さを有するように形成し、前記チャンネル16は単結晶シリコン物質を用いて約300Åの厚さを有するように形成する。さらに、前記チャンネル層間膜14とチャンネル膜16はボロン(B)がドーピングされた単結晶半導体物質で形成する。これにより、前記チャンネル層間膜14は導電型チャンネル層間膜に形成され、前記チャンネル膜16は導電型チャンネル膜に形成される。
また、前記チャンネル層間膜14とチャンネル膜16の厚さと反復回数は作ろうとするトランジスタの目的によって自由に調節することができる。本実施例においては前記チャンネル層間膜14とチャンネル膜16が有する全体厚さが約1000から1500Åになるように反復して積層する。
図10に示すように、フォトレジストパターンを使用したエッチングを実施して前記チャンネル層間膜14とチャンネル膜16をパターニングする。これにより、前記半導体基板10上には第1チャンネル層間膜パターン14a’、14b’、14c’と第1チャンネル膜パターン16a’、16b’からなる予備アクティブパターン18が形成される。以下、前記第1チャンネル層間膜パターン14a’、14b’、14c’は第1チャンネル層間膜パターン14’でも表現し、前記第1チャンネル膜16a’、16b’は第1チャンネル膜パターン16’でも表現する。
そして、前記フォトレジストパターンを使用したエッチングを継続的に遂行して、半導体基板10表面下に形成された高濃度ドーピング領域12より深い深さを有する素子分離トレンチ20を形成する。続いて、化学気相蒸着を実施して、前記素子分離トレンチ20が形成された結果物上に酸化膜を形成した後、前記予備アクティブパターン18の表面が露出されるまでエッチバック、または化学機械的研摩のような平坦化工程を実施する。これにより、前記半導体基板10上には前記予備アクティブパターン18を取り囲むフィールド領域22が形成される。
図11に示すように、前記予備アクティブパターン18とフィールド領域22上にエッチング阻止膜23を連続的に形成する。そして、前記エッチング阻止膜23上にダミーゲート膜25と反射防止膜27を順次に形成する。ここで、前記エッチング阻止膜23は前記ダミーゲート膜25に対して選択的に除去することができる物質を使用して約150Åの厚さを有するように形成する。前記物質の例としてシリコン窒化物を挙げることができる。これにより、前記エッチング阻止膜23は前記ダミーゲート膜25をエッチングするときその下に位置する構造物がエッチングされることを防止する。さらに、前記ダミーゲート膜25はゲート領域を限定するためのものであって、シリコン酸化物を使用して約1000Åの厚さを有するように形成する。そして、前記反射防止膜27はシリコン窒化物を使用して約300Åの厚さを有するように形成する。これにより、前記反射防止膜27はフォトリソグラフィ工程を遂行するとき下部構造物から光が反射されることを防止する。
図12に示すように、前記フォトリソグラフィ工程を遂行して形成されたフォトレジストパターンをエッチングマスクとして使用し、前記反射防止膜27、ダミーゲート膜25及びエッチング阻止膜23を順次に乾式エッチングする。これにより、前記半導体基板10上には反射防止膜パターン28、ダミーゲート膜パターン26及びエッチング阻止膜パターン24を含むゲートハードマスク膜29が形成される。このとき、前記ゲートハードマスク膜29は約0.25μmの幅を有し、ソース/ドレーン領域とチャンネル領域を自動的にセルフアラインさせる役割をする。
図13に示すように、前記ゲートハードマスク膜29をエッチングマスクとして使用し、露出された予備アクティブパターン18を半導体基板10の表面が露出されるまでエッチングする。これにより、前記半導体基板にはソース/ドレーン領域が定義される。このように、前記ソース/ドレーン領域の定義によって前記予備アクティブパターン18はチャンネル領域のみに形成される。そして、前記高濃度ドーピング領域12の投射範囲下まで露出されるように前記エッチング工程をさらに遂行する。このように前記エッチング工程を遂行した結果、ゲートハードマスク膜29の下には複数個の第2チャンネル層間膜パターン14a’’、14b’’、14c’’と複数個の第2チャンネル膜パターン16a’’、16b’’からなる予備アクティブチャンネルパターン18aが形成される。以下、前記第2チャンネル層間膜パターン14a’’、14b’’、14c’’は第2チャンネル層間膜パターン14’’でも表現し、前記第2チャンネル膜16a’’、16b’’は第2チャンネル膜パターン16’’でも表現する。
ここで、前記アクティブパターンをエッチングせずそのままソース/ドレーン領域に使用する従来のGGA構造では、チャンネル層間膜を等方性食刻するときトンネルが水平拡張され、ゲート電極の長さが大きくなるという問題が発生する。
しかし、本実施例においてはアクティブパターン中でソース/ドレーン領域の定義のためのエッチングを実施した後、前記定義された領域30を導電物質で満たすことでソース/ドレーン領域を形成する。従って、前記予備アクティブチャンネルパターン18aを構成するチャンネル層間膜14の水平長さがゲート長さ領域に局限される。従って、前記第2チャンネル層間膜パターン14’’を等方性食刻してトンネルを形成するとき、前記トンネルが水平方向に拡張されることを防止することができる。その結果、本実施例においてはチャンネルが有する幅より小さいゲート長さを有する高集積MOSトランジスタを具現することができる。
図14に示すように、前記半導体基板10の前記定義された領域30の表面と前記予備アクティブチャンネルパターン18aの側面とに部分的に選択的エピタキシャル単結晶膜を約350Åの厚さを有するように成長させる。これにより、前記定義された領域30の表面と前記予備アクティブチャンネルパターン18aの側面とに前記選択的エピタキシャル単結晶膜からなるソース/ドレーン拡張膜32が形成される。そして、傾斜イオン注入を実施して前記ソース/ドレーン拡張膜32に不純物をドーピングする。
また、水素雰囲気で高温熱処理をさらに実施することで、前記ソース/ドレーン拡張膜32の表面粗さを改善することができる。
図15に示すように、前記ソース/ドレーン拡張膜32が形成された前記定義された領域30を埋め立てるように導電膜を形成した後、前記導電膜を予備アクティブチャンネルパターン18aの表面までエッチバックする。これにより、前記定義された領域30には前記導電膜からなるソース/ドレーン領域34が形成される。ここで、前記ソース/ドレーン領域34を形成するための導電膜の例として選択的エピタキシャル単結晶膜、ポリシリコン膜、金属シリサイド膜などを挙げることができる。これらは単一薄膜で使用することが望ましいが、2つ以上が順次に積層された多層薄膜を使用することもできる。また、前記ソース/ドレーン領域を拡張するための導電膜は主に化学気相蒸着によって形成される。これにより、前記ソース/ドレーン領域34は前記予備アクティブチャンネルパターン18aに沿って垂直に均一なドーピングプロファイルを有するように形成することができる。このとき、前記ゲートハードマスク29の側面下部にソース/ドレーン領域34を形成するための導電膜のテール34aが残ることもある。特に、前記テール34aは前記ゲートハードマスク膜パターン29のエッチング阻止膜パターン24の側面に集中的に残る。
図16に示すように、前記ソース/ドレイン領域34及びフィールド領域22上に前記反射防止膜パターン28と同じ物質であるシリコン窒化物を用いてマスク膜35を形成する。これによって、前記マスク膜35は、前記ゲートハードマスク膜29をカバーする。ここで、マスク膜35を形成する前に、熱酸化を進行して前記ソース/ドレイン領域34の表面部位と前記予備アクティブチャンネルパターン18aの露出された表面部位とを酸化させて酸化膜を形成することもできる。前記酸化膜は、ストレスを緩衝させる役割を果たす。
図17及び図27に示すように、前記ダミーゲート膜パターン26の表面が露出されるまで前記マスク膜35をエッチバック、又は化学機械的研磨のような平坦化工程を遂行して除去する。これによって、前記マスク膜35は、前記ダミーゲート膜パターン26の表面を露出させるマスク膜パターン36に形成される。
図18及び図28に示すように、前記マスク膜パターン36と前記ダミーゲート膜パターン26が有する互いに異なるエッチング選択比を用いて前記ダミーゲート膜パターン26を選択的に除去する。これによって、前記エッチング阻止膜パターン24の表面を露出させるゲートトレンチ38が形成される。そして、前記エッチング阻止膜パターン24は、前記ゲートトレンチ38を得るためのエッチングにおいて、前記エッチング阻止膜パターン24の下部に形成されている予備アクティブチャンネルパターン18aがエッチングされることを防止する。
図19に示すように、前記ゲートマスク膜パターン29のエッチング阻止膜パターン24の側面にテール34aが残っている場合、前記テール34aは後続工程の実施によって形成されるゲート電極と合線される。従って、酸化工程を実施して前記テール34aを絶縁膜40に変換させる。また、湿式エッチング工程を実施して前記テール34aを除去することもできる。
図20及び図29に示すように、前記ゲートトレンチ38を通じて露出されたエッチング阻止膜パターン24を除去する。そして、イオン注入を実施して前記予備アクティブチャンネルパターン18aにドーピングを行うこともできる。ここで、前記ドーピングにおいては、ボロンのような不純物を用いる。また、前記予備アクティブチャンネルパターン18aの第2チャンネル膜パターン16a”、16b”それぞれにドーピング濃度が異なるようにイオン注入を実施することもできる。これは、ゲート電極に印加される電圧によるトランジスタの段階的動作を得るためである。
そして、前記ソース/ドレイン領域34をエッチングマスクとして用いたエッチングを実施してフィールド領域22を選択的に除去する。これによって、図6に示したように、前記予備アクティブチャンネルパターン18aの側面部分が露出される。
図21及び図30に示すように、前記ソース/ドレイン拡張膜32の側面部位を部分的に露出させた後、前記予備アクティブチャンネルパターン18aの第2チャンネル層間膜パターン14”を選択的に除去する。前記第2チャンネル層間膜パターン14”の選択的除去は前記第2チャンネル層間膜パターン14”と第2チャンネル膜パターン16”が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。
ここで、前記チャンネル膜に対して前記チャンネル層間膜が有するエッチング選択比を10〜150:1に調整することが望ましい。そして、前記エッチング選択比が30〜150:1に調整されることがより望ましく、前記エッチング選択比が50〜150:1に調整されることが更に望ましく、前記エッチング選択比が70〜150:1に調整されることがより更に望ましく、前記エッチング選択比が100〜150:1に調整されることが一番望ましい。
従って、前記エッチングにおいては、前記第2チャンネル膜パターン16”に対して前記第2チャンネル層間膜パターン14”が有するエッチング選択比を約100〜150:1に調整する。これにより、過硝酸、フッ酸が含まれた化合物、溶媒などを含み、約50℃の温度を有するエッチング溶液を用いる。特に、前記エッチングにおいては、ボロン(B)がドーピングされた第2チャンネル層間膜パターン14”を除去するため、特別な困難なしにエッチングを進行することができる。
もし、前記チャンネル層間膜14とチャンネル膜16に導電型不純物がドーピングされていない場合にも、特別な困難なしに前記エッチングを進行することができる。
その結果、予備アクティブチャンネルパターン18aを貫通する複数のトンネル42a、42bと最上部に位置するトンネルグルーブ42cとが形成される。また、前記予備アクティブチャンネルパターン18aの第2チャンネル膜パターン16”は複数のチャンネル44a、44bに形成される。従って、前記半導体基板上には、前記複数のトンネル42a、42bとトンネルグルーブ42c、及び前記複数のチャンネル44a、44bを含むアクティブチャンネルパターン45が形成される。そして、前記複数のトンネル42a、42bと複数のチャンネル44a、44bは約50%の誤差範囲内で前記ダミーゲートパターン26の幅と同じ幅を有するように形成することができる。以下、前記トンネル42a、42bは、トンネル42とも示し、前記チャンネル44a、44bはチャンネル44とも示す。
特に、前記チャンネル44の場合には、ホウ素(B)でドーピングされた単結晶半導体物質で形成されたチャンネル膜16で構成されるので、前記チャンネル44はNMOSトランジスタのチャンネルであると把握することができる。従って、前記チャンネル44を対象として後続工程を進行してゲート電極を形成する場合には最終的にN−MOSトランジスタが具現される。以下、前記チャンネル44はホウ素でドーピングされたチャンネルとも示す。
従って、本実施例では、前記NMOSトランジスタのチャンネルPMOSトランジスタのチャンネルに形成するための工程を更に進行する。ここで、前記PMOSトランジスタのチャンネルは前記NMOSトランジスタのチャンネルで形成された領域のうちに部分的に形成される。
図22に示すように、前記ホウ素でドーピングされたチャンネル44にリン(P)をドーピングする。その結果、前記ホウ素でドーピングされたチャンネル44は、リンでドーピングされたチャンネル49に転換される。これは、前記ホウ素がドーピングされたチャンネル44が有するホウ素濃度より高い濃度でリンをドーピングすることによって達成される。ここで、前記リンでドーピングされたチャンネル49は複数のチャンネル49a、49bを含む。このように、前記リンでドーピングされたチャンネル49を獲得することで、本実施例では容易にPMOSトランジスタのチャンネルを形成することができる。前記リンのドーピングは主にプラズマ処理によって達成されるが、イオン注入によって達成することもできる。以下、前記ホウ素でドーピングされたチャンネル44を含むアクティブチャンネルパターン45を第1アクティブチャンネルパターンとも示し、前記リンでドーピングされたチャンネル49を含むアクティブチャンネルパターン47を第2アクティブチャンネルパターンとも示す。
もし、前記チャンネル層間膜14とチャンネル膜16に導電型不純物がドーピングされていない場合には、前記トンネルの形成のためのエッチングを実施した後、前記NMOSトランジスタのチャンネル又はPMOSトランジスタのチャンネルを形成するための不純物のドーピングを実施することもできる。また、前記アクティブチャンネルパターンの全てにNMOSトランジスタのチャンネルの形成のためのホウ素をドーピングした後、PMOSトランジスタのチャンネルの形成のためのリンをドーピングすることができる。そして、前記アクティブチャンネルパターンの一部にNMOSトランジスタのチャンネルの形成のためのホウ素をドーピングした後、残りのアクティブチャンネルパターンにPMOSトランジスタのチャンネルの形成のためのリンをドーピングすることができる。逆に、前記アクティブチャンネルパターンの全てにPMOSトランジスタのチャンネルの形成のためのリンをドーピングした後、NMOSトランジスタのチャンネルの形成のためのホウ素をドーピングすることができる。そして、前記アクティブチャンネルパターンの一部にPMOSトランジスタのチャンネルの形成のためのリンをドーピングした後、残りのアクティブチャンネルパターンにNMOSトランジスタのチャンネルの形成のためのホウ素をドーピングすることができる。
図23及び図31に示すように、熱酸化工程を実施して前記リンでドーピングされたチャンネル49の表面部位、及びトンネルグルーブ42cの表面上にシリコン酸化窒化物(silicon oxide nitride)を用いて約50Åの厚さを有するゲート絶縁膜46を形成する。ここで、前記リンでドーピングされたチャンネル49によって露出されたソース/ドレイン拡張膜32の表面の一部にもゲート絶縁膜46が連続に形成される。
そして、前記ゲート絶縁膜46を形成する前に、水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施することもできる。このように、前記水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施する場合、前記リンでドーピングされたチャンネル49の表面粗さが改善することで、前記ゲート絶縁膜46と前記リンでドーピングされたチャンネル49との間の粗さが減少する。
図24に示すように、前記トンネル42とトンネルグルーブ42cを埋め立てながら、前記リンでドーピングされたチャンネル49を囲むようにゲート電極48を形成する。ここで、前記ゲート電極48は、ドープポリシリコンを用いて形成する。
図25及び図33に示すように、前記ゲート電極48の上面に金属シリサイドを用いてゲート積層膜50を形成する。そして、前記ゲート積層膜50はシリコン酸化物又はシリコン窒化物を用いて形成することもできる。このように、前記ゲート積層膜50を形成することで、ゲート抵抗を減少させることができ、ゲートのキャッピングの役割も果たすことができる。
図26に示すように、前記マスクパターン36を除去した後、金属配線などの後続工程を進行してマルチ−ブリッジチャンネル型MOSトランジスタを完成する。場合によっては、前記マスク膜36を除去せず、そのまま層間絶縁膜として用いることもできる。
このように、本実施例では、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンを前に形成した後、前記第1アクティブチャンネルパターンのホウ素でドーピングされたチャンネルをリンでドーピングされたチャンネル膜で形成する。従って、PMOSトランジスタのチャンネル、即ち、PMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。
(実施例2)
図34から図51は、本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。本実施例は、実施例1で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図34に示すように、単結晶シリコンからなる半導体基板10を準備する。ここで、前記半導体基板10はN−MOSトランジスタを形成するためのN−MOS領域である第1領域と、P−MOSトランジスタを形成するためのP−MOS領域である第2領域とに区分することができる。その後、前記半導体基板10のN−MOS領域の主表面とP−MOS領域の主表面とのそれぞれに前記半導体基板10のような導電型不純物をイオン注入する。これによって、前記半導体基板10のN−MOS領域の主表面には第1高濃度ドーピング領域12が形成され、前記半導体基板10のP−MOS領域の主表面には第2高濃度ドーピング領域120が形成される。このように、前記第1高濃度ドーピング領域12と、第2高濃度ドーピング領域120を形成することは、基底トランジスタの動作を防止するためである。
図35に示すように、前記半導体基板10上に複数のチャンネル層間膜14a、14b、14c及び複数のチャンネル膜16a、16bを交互に積層する。ここで、前記複数のチャンネル層間膜14a、14b、14c及び複数のチャンネル膜16a、16bはN−MOS領域とP−MOS領域上に連続に形成する。以下、前記複数のチャンネル層間膜14a、14b、14cは、チャンネル層間膜14とも示し、前記チャンネル膜16a、16bは、チャンネル膜16とも示す。前記チャンネル層間膜14とチャンネル膜16は互いに異なるエッチング選択比を有する単結晶半導体物質で形成する。具体的に、前記チャンネル層間膜14は、単結晶シリコン−ゲルマニウム物質を用いて約300Åの厚さを有するように形成し、前記チャンネル膜16は単結晶シリコン物質を用いて約300Åの厚さを有するように形成する。更に、前記チャンネル層間膜14とチャンネル膜16はボロン(B)でドーピングされた単結晶半導体物質で形成する。これによって、前記チャンネル層間膜は、ボロンがドーピングされた導電型チャンネル層間膜で形成され、前記チャンネル膜はボロンがドーピングされた導電型チャンネル膜で形成される。
また、前記チャンネル層間膜14とチャンネル膜16の厚さと反復回数は製作しようとするトランジスタの目的によって自由に調節することができる。本実施例では、前記チャンネル層間膜14とチャンネル膜16が有する全体厚さが約1000〜1500Åになるよう反復して積層する。
図36に示すように、フォトレジストパターンを用いたエッチングを実施して、前記チャンネル層間膜14とチャンネル膜16をパターニングする。これによって、前記N−MOS領域とP−MOS領域を有する半導体基板10上には第1チャンネル層間膜パターン14a’、14b’、14c’と第1チャンネル膜パターン16a’、16b’で構成された予備アクティブパターン18が形成される。ここで、前記N−MOS領域の半導体基板10上に形成された予備アクティブパターン18を第1予備アクティブパターンとし、前記P−MOS領域の半導体基板10上に形成された予備アクティブパターン18を第2予備アクティブパターンとして区分することができる。以下、前記第1チャンネル層間膜パターン14a’、14b’、14c’は、第1チャンネル層間膜パターン14’とも示し、前記第1チャンネル膜16a’、16b’は、第1チャンネル膜パターン16’とも示す。
そして、前記フォトレジストパターンを用いたエッチングを継続して遂行し、半導体基板10の表面下に形成された高濃度ドーピング領域12、120より深い深さを有する素子分離トレンチ20を形成する。その後、化学気相蒸着を実施して、前記素子分離トレンチ20が形成された結果物上に酸化膜を形成した後、前記予備アクティブパターン18の表面が露出されるまでエッチバック、又は化学機械的研磨のような平坦化工程を実施する。これによって、前記半導体基板10上には前記予備アクティブパターン18を囲むフィールド領域22が形成される。即ち、N−MOS領域とP−MOS領域のそれぞれにフィールド領域20が形成される。
図37に示すように、前記予備アクティブパターン18とフィールド領域22上にエッチング阻止膜23を連続に形成する。そして、前記エッチング阻止膜23上にダミーゲート膜25と反射防止膜27を順次形成する。即ち、前記エッチング阻止膜23、ダミーゲート膜25及び反射防止膜27は、前記N−MOS領域とP−MOS領域に連続に形成される。ここで、前記エッチング阻止膜23は、前記ダミーゲート膜25に対して選択的に除去可能な物質を用いて約150Åの厚さを有するように形成し、前記物質の例としてはシリコン窒化物がある。これにより、前記エッチング阻止膜23は、前記ダミーゲート膜25をエッチングするとき、その下に位置する構造物がエッチングされることを防止する。また、前記ダミーゲート膜25はゲート領域を限定するためのものであって、シリコン酸化物を用いて約1000Åの厚さを有するよう形成する。そして、前記反射防止膜27は、シリコン窒化物を用いて約300Åの厚さを有するよう形成する。これによって、前記反射防止膜27は、フォトリソグラフィ工程を遂行するとき、下部構造物から光が反射されることを防止する。
図38に示すように、前記フォトリソグラフィ工程を遂行して形成されたフォトレジストパターンをエッチングマスクとして用い、前記反射防止膜27、ダミーゲート膜25及びエッチング阻止膜23を順次乾式エッチングする。これによって、前記半導体基板10上には反射防止膜パターン28、ダミーゲート膜パターン26及びエッチング阻止膜パターン24を含むゲートハードマスク膜29が形成される。ここで、前記ゲートハードマスク膜29は、約0.25μmの幅を有し、ソース/ドレイン領域とチャンネル領域を自動にセルフアラインさせる役割を果たす。
図39に示すように、前記ゲートハードマスク膜29をエッチングマスクとして用い、露出された予備アクティブパターン18を半導体基板10の表面が露出するまでエッチングする。これによって、前記半導体基板にはソース/ドレイン領域が定義される。ここで、前記N−MOS領域に定義されたソース/ドレイン領域を第1ソース/ドレイン領域とし、前記P−MOS領域に定義されたソース/ドレイン領域を第2ソース/ドレイン領域として区分することができる。
このように、前記ソース/ドレイン領域の定義によって前記予備アクティブパターン18はチャンネル領域にのみ形成される。そして、前記高濃度ドーピング領域12、120の投射範囲(projected range)の下まで露出されるように前記エッチング工程を更に遂行する。このように、前記エッチング工程を遂行した結果、ゲートハードマスク膜29の下には複数の第2チャンネル層間膜パターン14a”、14b”、14c”と複数の第2チャンネル膜パターン16a”、16b”で構成された予備アクティブチャンネルパターン18aが形成される。即ち、前記N−MOS領域とP−MOS領域のそれぞれに前記予備アクティブチャンネルパターン18aが形成される。ここで、前記N−MOS領域に形成された予備アクティブチャンネルパターン18aを第1予備アクティブチャンネルパターンとし、前記P−MOS領域に形成された予備アクティブチャンネルパターン18aを第2予備アクティブチャンネルパターンとして区分することもできる。以下、前記第2チャンネル層間膜パターン14a”、14b”、14c”は、第2チャンネル層間膜パターン14”とも示し、前記第2チャンネル膜16a”、16b”は、第2チャンネル膜パターン16”とも表現する。
ここで、前記アクティブパターンをエッチングせず、そのままソース/ドレイン領域に用いる従来のGGA構造においては、チャンネル層間膜を等方性エッチングするときにトンネルが水平拡張され、ゲート電極の長さが長くなる問題が発生する。
しかし、本実施例においては、アクティブパターンのうち、ソース/ドレイン領域の定義のためのエッチングを実施した後、定義された領域30に導電物質を詰めることでソース/ドレイン領域を形成する。従って、前記予備アクティブチャンネルパターン18aを構成するチャンネル層間膜14の水平長さがゲート長さ領域に局限される。従って、前記第2チャンネル層間膜パターン14”を等方性エッチングしてトンネルを形成するとき、前記トンネルが水平方向に拡張されることを防止することができる。その結果、本実施例では、チャンネルが有する幅より小さいゲート長さを有する高集積相補型MOS(CMOS)トランジスタを具現することができる。
図40に示すように、前記半導体基板10の前記定義された領域30の表面と前記予備アクティブチャンネルパターン18aの側面とに部分的に選択的エピタキシャル単結晶膜を約350Åの厚さを有するよう成長させる。ここで、前記N−MOS領域の予備アクティブチャンネルパターン18aには第1選択的エピタキシャル単結晶膜が形成され、前記P−MOS領域の予備アクティブチャンネルパターン18には第2選択的エピタキシャル単結晶膜が形成される。これによって、前記N−MOS領域での前記定義された領域30の表面と前記予備アクティブチャンネルパターン18aの側面とには第1ソースドレイン拡張膜32が形成され、前記P−MOS領域での前記定義された領域30の表面と前記予備アクティブチャンネルパターン18aの側面とには第2ソースドレイン拡張膜320が形成される。
そして、傾斜イオン注入を実施して前記ソース/ドレイン拡張膜32、320に不純物をドーピングする。また、水素雰囲気で高温熱処理を更に実施して前記ソース/ドレイン拡張膜32、320の表面粗さ(roughness)を改善することができる。
図41に示すように、前記第1ソース/ドレイン拡張膜32が形成された前記定義された領域30と前記第2ソース/ドレイン拡張膜320が形成された前記定義された領域30が埋め立てられるよう導電膜を形成した後、前記導電膜を予備アクティブチャンネルパターン18aの表面までエッチバックする。これによって、前記N−MOS領域の定義された領域30には前記導電膜で構成される第1ソース/ドレイン領域34が形成され、前記P−MOS領域の定義された領域30には前記導電膜で構成される第2ソース/ドレイン領域340が形成される。ここで、前記ソース/ドレイン領域34、340を形成するための導電膜の例としては選択的エピタキシャル単結晶膜、ポリシリコン膜、金属シリサイド膜などを挙げることができる。これらは、単一薄膜として用いることが望ましいが、二つ以上が順次積層された多層薄膜を用いることもできる。また、前記第1ソース/ドレイン領域34を形成するための導電膜と前記第2ソース/ドレイン領域340を形成するための導電膜の場合にはそれらのそれぞれにドーピングされる物質の濃度と種類などを異なるようにして形成することもできる。前記ソース/ドレイン領域34、340を拡張するための導電膜は、主に化学気相蒸着によって形成される。従って、前記ソース/ドレイン領域34、340は、前記予備アクティブチャンネルパターン18aに沿って垂直に均一なドーピングプロファイルを有するように形成することができる。ここで、前記ゲートハードマスク29の側面下部にソース/ドレイン領域34、340を形成するための導電膜のテール34aが残る場合もある。特に、前記テール34aは、前記ゲートハードマスク膜パターン29のエッチング阻止膜パターン24の側面に集中的に残る。
図42に示すように、前記ソース/ドレイン領域34、340及びフィールド領域22上に前記反射防止膜パターン28と同じ物質であるシリコン窒化物を用いてマスク膜35を形成する。これによって、前記マスク膜35は、前記ゲートハードマスク膜29をカバーする。ここで、前記マスク膜35を形成する前に、熱酸化を進行して前記ソース/ドレイン領域34、340の表面部位と前記予備アクティブチャンネルパターン18aの露出された表面部位とを酸化させて酸化膜を形成することもできる。前記酸化膜は、ストレスを緩衝させる役割を果たす。
図43に示すように、前記ダミーゲート膜パターン26の表面が露出されるまで前記マスク膜35をエッチバック、又は化学機械的研磨のような平坦化工程を遂行して除去する。これによって、前記マスク膜35は前記ダミーゲート膜パターン26の表面を露出させるマスク膜パターン36に形成される。即ち、前記N−MOS領域とP−MOS領域のそれぞれにマスク膜パターン36が形成される。
図44に示すように、前記マスク膜パターン36と前記ダミーゲート膜パターン26が有する互いに異なるエッチング選択比を用いて前記ダミーゲート膜パターン26を選択的に除去する。これによって、前記エッチング阻止膜パターン24の表面を露出させるゲートトレンチ38を得る。即ち、前記N−MOS領域とP−MOS領域のそれぞれにゲートトレンチ38を得ることができる。そして、前記エッチング阻止膜パターン24は、前記ゲートトレンチ38を得るためのエッチングにおいて、前記エッチング阻止膜パターン24の下部に形成されている予備アクティブチャンネルパターン18aがエッチングされることを防止する。
図45に示すように、前記ゲートマスク膜パターン29のエッチング阻止膜パターン24の側面にテール34aが残っている場合、前記テール34aは、後続工程の実施によって形成されるゲート電極と合線される。従って、酸化工程を実施して前記テール34aを絶縁膜40に変換させる。また、湿式エッチング工程を実施して前記テール34aを除去することもできる。
図46に示すように、前記ゲートトレンチ38を通じて露出されたエッチング阻止膜パターン24を除去する。そして、イオン注入を実施して前記予備アクティブチャンネルパターン18aにドーピングを行うこともできる。ここで、前記ドーピングにおいては、ボロンのような不純物を用いる。その後、前記ソース/ドレイン領域34をエッチングマスクとして用いたエッチングを実施してフィールド領域22を選択的に除去する。これによって、前記予備アクティブチャンネルパターン18aの側面部分が露出される。
図47に示すように、前記予備アクティブチャンネルパターン18aの第2チャンネル層間膜パターン14”を選択的に除去する。即ち、前記N−MOS領域とP−MOS領域のそれぞれに形成されている第2チャンネル層間膜パターン14”を選択的に除去する。ここで、前記第2チャンネル層間膜パターン14”の選択的除去は前記第2チャンネル層間膜パターン14”と第2チャンネル膜パターン16”が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。
ここで、前記第1導電型チャンネル膜に対して前記第1導電型チャンネル層間膜が有するエッチング選択比を10〜150:1に調整することが望ましい。そして、前記エッチング選択比が30〜150:1に調整されることがより望ましく、前記エッチング選択比が50〜150:1に調整されることがより更に望ましく、前記エッチング選択比が70〜150:1に調整されることがより更に望ましく、前記エッチング選択比が100〜150:1に調整されることが一番望ましい。
具体的に、前記エッチングにおいては、前記第2チャンネル膜パターン16”に対して前記第2チャンネル層間膜パターン14”が有するエッチング選択比を約100〜150:1に調整する。これにより、過硝酸、フッ素が含まれた化合物、溶媒などを含み、20〜70℃の温度を有するエッチング溶液を用いる。特に、前記エッチングにおいては、ボロン(B)がドーピングされた第2チャンネル層間膜パターン14”を除去するため、特別な困難なしにエッチングを進行することができる。
その結果、前記N−MOS領域には、前記予備アクティブチャンネルパターン18aを貫通する複数のトンネル42a、42bと最上部に位置するトンネルグルーブ42cとが形成される。また、前記N−MOS領域の予備アクティブチャンネルパターン18aの第2チャンネル膜パターン16”は、複数のチャンネル44a、44bに形成される。従って、前記N−MOS領域の半導体基板上には前記複数のトンネル42a、42bとトンネルグルーブ42c、及び前記複数のチャンネル44a、44bを含む第1アクティブチャンネルパターン45が形成される。また、前記P−MOS領域には、前記予備アクティブチャンネルパターン18aを貫通する複数のトンネル420a、420bと最上部に位置するトンネルグルーブ420cが形成される。また、前記P−MOS領域の予備アクティブチャンネルパターン18aの第2チャンネル膜パターン16”は複数のチャンネル440a、440bに形成される。従って、前記P−MOS領域の半導体基板10上には前記複数のトンネル420a、420bとトンネルグルーブ420c、及び前記複数のチャンネル440a、440bを含む第2アクティブチャンネルパターン450が形成される。
そして、前記N−MOS領域の第1アクティブチャンネルパターン45の複数のトンネル42a、42bと複数のチャンネル44a、44b、及び前記P−MOS領域の第2アクティブチャンネルパターン450の複数のトンネル420a、420bと複数のチャンネル440a、440bは、約50%の誤差範囲内で前記ダミーゲートパターン26の幅と同じ幅を有するよう形成することができる。以下、前記第1アクティブチャンネルパターン45のトンネル42a、42bは第1トンネル42とも示し、前記チャンネル44a、44bは第1チャンネル44とも示す。これと共に、前記第2アクティブチャンネルパターン450のトンネル420a、420bは、第2トンネル420とも示し、前記チャンネル440a、440bは第2チャンネル440とも示す。
このように、前記第1アクティブチャンネルパターン45を形成することで、前記第1ソース/ドレイン拡張膜32の側面部位が部分的に露出され、前記第2アクティブチャンネルパターン450を形成することで、前記第2ソース/ドレイン拡張膜320の側面部位が部分的に露出される。
図48に示すように、前記第2アクティブチャンネルパターン450の第2チャンネル440にリンをドーピングする。即ち、ホウ素でドーピングされた第2チャンネル440は、リンでドーピングされた第2チャンネル490に転換される。ここで、前記リンでドーピングされた第2チャンネル490は、複数のチャンネル490a、490bを含む。このように、前記リンでドーピングされた第2チャンネル490を獲得することで、本実施例では容易にPMOSトランジスタのチャンネルを形成することができる。即ち、前記N−MOS領域にはホウ素でドーピングされた第1チャンネル44を獲得することで容易にNMOSトランジスタのチャンネルを形成し、前記P−MOS領域にはリンでドーピングされた第2チャンネル490を獲得することで容易にPMOSトランジスタのチャンネルを形成する。
前記リンのドーピングは、主にプラズマ処理によって達成されるが、イオン注入によって達成することもできる。また、前記リンのドーピングにおいては、N−MOS領域にフォトレジストパターン37を形成して、前記第1アクティブチャンネルパターン45にリンがドーピングされることをマスキングする。このように、前記P−MOS領域にリンをドーピングした後、前記フォトレジストパターンを除去する。
図49に示すように、熱酸化工程を実施して、前記第1アクティブチャンネルパターン45の第1チャンネル44の表面部位、及びトンネルグルーブ42cの表面上にシリコン酸化窒化物を用いて約50Åの厚さを有するゲート絶縁膜46を形成する。更に、前記第2アクティブチャンネルパターン450の第2チャンネル490の表面部位、及びトンネルグルーブ420cの表面上にシリコン酸化窒化物を用いて約50Åの厚さを有するゲート絶縁膜46を形成する。ここで、前記第1チャンネル44によって露出された第1ソース/ドレイン拡張膜32の表面の一部と、前記第2チャンネル490によって露出された第2ソース/ドレイン拡張膜320にもゲート絶縁膜46が連続して形成される。
そして、前記ゲート絶縁膜46を形成する前に、水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施することもできる。このように、前記水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施する場合、前記第1チャンネル44と第2チャンネル490の表面粗さが改善することによって、前記第1チャンネル44と第2チャンネル490のそれぞれと前記ゲート絶縁膜46との間の粗さが減少する。
図50に示すように、前記第1アクティブチャンネルパターン45の前記第1トンネル42とトンネルグルーブ42cを埋め立てながら、前記第1チャンネル49を囲むようにゲート電極48を形成する。これと共に、前記第2アクティブチャンネルパターン450の前記第2トンネル420とトンネルグルーブ420cを埋め立てながら前記第1チャンネル490を囲むようにゲート電極480を形成する。ここで、前記ゲート電極48、480はドープポリシリコンを用いて形成する。これによって、前記N−MOS領域にはNMOSトランジスタのチャンネルを有するゲート電極48が形成され、前記P−MOS領域にはPMOSトランジスタのチャンネルを有するゲート電極480が形成される。
図51に示すように、前記ゲート電極48、480の上面に金属シリサイドを用いてゲート積層膜50を形成する。そして、前記ゲート積層膜50は、シリコン酸化物又はシリコン窒化物を用いて形成することもできる。このように、前記ゲート積層膜50を形成することによってゲート抵抗を減少させることができ、ゲートのキャッピング役割も果たすことができる。
そして、前記マスクパターン36を除去した後、金属配線の後続工程を進行してマルチ−ブリッジチャンネル型相補型MOSトランジスタを完成する。場合によっては、前記マスク膜36を除去せずそのまま層間絶縁膜として用いることもできる。
このように、本実施例では、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンとPMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンとを単一基板上に容易に形成することができる。即ち、前記N−MOS領域にはN−MOSトランジスタが形成され、前記P−MOS領域にはP−MOSトランジスタが形成される。これは、PMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンを容易に形成することができるためである。従って、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有するマルチブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例3)
図52は、図26のA部分の拡大図である。
図52に示すように、実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタでは、ゲート電極48と、ソース/ドレイン領域34(具体的にはソース/ドレイン拡張膜32)との間にゲート絶縁膜46が存在することによってゲート電極48とソース/ドレイン領域34との間にオーバーラップキャパシタンスが発生する。従って、本実施例では、前記オーバーラップキャパシタンスの発生を十分減少させるための方法を提案している。
図53は、本発明の実施例3による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す斜視図であり、図54は、図53のC−C’線に沿って見た断面図であり、図55は、図54のB部分の拡大図である。
本実施例では、前記実施例1で、ゲート電極48とソース/ドレイン領域34との間でオーバーラップキャパシタンス(図8参照)が増加することを防止するために、ゲート電極48とソース/ドレイン領域34との間に絶縁物質からなるゲートスペーサ54を更に形成する。そして、本実施例では、実施例1と同じ部材に対しては同じ参照符号で示す。
図53及び図54に示すように、半導体基板10の主表面上に垂直方向に形成されたチャンネル44が形成される。そして、前記チャンネル44の両側面には前記チャンネル44と連結されるソース/ドレイン領域34が形成される。
ここで、チャンネル44の間には、前記チャンネルの長さより短い長さを有するトンネル42が形成される。そして、最下部に形成されたトンネル42aは、最下部のチャンネル44aとその下に位置する半導体基板の表面部位である不純物領域12との間に形成される。また、最上部のチャンネル44b上にはトンネル形状のトンネルグルーブ42cが形成される。
図55に示すように、トンネル42の両側壁及びトンネルグルーブ42cの両側壁には、前記チャンネル44の長さとトンネル42の長さとの差の半分に該当する厚さ(d)で絶縁物質からなるゲートスペーサ54が形成される。図55には、前記第1チャンネル44aと第2チャンネル44bとの間の第2トンネル42aに形成されたゲートスペーサ54を示す。また、前記トンネル42の側壁及びトンネルグルーブ42cの側壁を除いたトンネル42の上部面と下部面、及びトンネルグルーブ42cの下部面にはゲート絶縁膜46が形成される。
そして、後続工程の遂行によって前記トンネル42とトンネルグルーブ42cを埋め立てながら前記チャンネル44を囲むようにゲート電極48を形成する。ここで、前記ゲート電極48はポリシリコンを用いて形成する。また、前記ゲート電極48を形成した後、前記ゲート電極48の上面に金属シリサイドを用いてゲート積層膜50を更に形成する。ここで、前記ゲート積層膜50をゲート電極48の側壁上部を囲むように形成することによって、歯車型(notched)ゲート電極を得ることができる。また、前記ソース/ドレイン領域34を囲むようにフィールド領域22が形成される。また、前記半導体基板10の主な表面には、基底トランジスタの動作を防止するための高濃度のドーピング領域12が形成される。
このように、本実施例では、オーバーラップキャパシタンスが増加することを防止するために、ゲート電極48とソース/ドレイン領域34との間に絶縁物質からなるゲートスペーサ54を更に形成することで、より電気的特性が優れたマルチ−ブリッジチャンネル型MOSトランジスタを得ることができる。
図56から図69は、本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。
図56に示すように、実施例1の図8から図13で説明したものと同じ工程を実施する。これによって、半導体基板上に第2チャンネル層間膜パターン14”と第2チャンネル膜パターン16”を含む予備アクティブチャンネルパターン18aが形成され、ソース/ドレイン領域が定義される。また、反射防止膜パターン28、ダミーゲート膜パターン26及びエッチング阻止膜パターン24を含むゲートハードマスク膜29が前記予備アクティブチャンネルパターン18aの上面に形成される。また、前記予備アクティブチャンネルパターン18aが形成された外郭にフィールド領域22が形成される。
図57に示すように、前記予備アクティブチャンネルパターン18aの露出された側面に形成された第2チャンネル層間膜パターン14”を選択的に水平エッチングする。これによって、前記第2チャンネル層間膜パターン14”が形成された領域にはアンダーカット領域31が形成される。前記アンダーカット領域は前記第2チャンネル層間膜パターン14”の両側面を約600Åで除去することによって得ることができる。即ち、前記アンダーカット領域31は、前記第2チャンネル層間膜パターン14”が減少した領域に形成される。これによって、前記第2チャンネル層間膜パターン14”は第2チャンネル膜パターン16”より狭い幅を有する第3チャンネル層間膜パターン15に形成される。ここで、前記第3チャンネル層間膜パターン15は複数の第3チャンネル層間膜パターン15a、15b、15cを含む。以下、前記複数の第3チャンネル層間膜パターン15a、15b、15cは、第3チャンネル層間膜15とも示す。
図58に示すように、前記第3チャンネル層間膜パターン15を有する結果物上に絶縁膜を連続に形成する。即ち、前記予備アクティブチャンネルパターン18aの側壁と表面、及び前記定義された領域30の表面上に連続に絶縁膜52を形成する。これによって、前記アンダーカット領域31は、前記絶縁膜で埋め立てられる。また、前記絶縁膜は酸化シリコン物を用いて形成する。
図59に示すように、前記絶縁膜52をエッチバックする。これによって、前記アンダーカット領域にはゲートスペーサ54が形成される。
図60に示すように、実施例1の図14及び図15と同じ方法で、前記定義された領域30の表面、及び前記予備アクティブチャンネルパターン18aの両側面上に部分的に選択的エピタキシャル単結晶膜を成長させる。その結果、前記半導体基板上にはソース/ドレイン拡張膜32が形成される。そして、前記ソース/ドレイン拡張膜32が形成された前記定義された領域30を埋め立てる導電膜を形成した後、前記導電膜を予備アクティブチャンネルパターン18aの表面までエッチバックする。これによって、前記定義された領域30には前記導電膜で構成されるソース/ドレイン領域が形成される。ここで、前記ゲートハードマスク29の側面下部にソースドレイン領域34を形成するための導電膜のテール34aが残る場合もある。特に、前記テール34aは前記ゲートハードマスク膜パターン29のエッチング阻止膜パターン24の側面に集中的に残る。
図61に示すように、実施例1の図16及び図17と同じ方法で、前記ソース/ドレイン領域34、前記予備アクティブチャンネルパターン18a及び基板10上にマスク膜を形成した後、前記ダミーゲートパターン26の表面が露出されるまで前記マスク膜を平坦化する。その結果、前記マスク膜はダミーゲート膜パターン26を露出させるマスク膜パターン36を形成する。
図62に示すように、実施例1の図18及び図19と同じ方法で、前記マスク膜パターン36を用いて前記ダミーゲート膜パターンを選択的に除去する。これによって、前記エッチング阻止膜パターン24の表面が露出されるゲートトレンチ38が形成される。ここで、前記エッチング阻止膜パターン24は前記ダミーゲート膜パターン26を除去するとき、前記エッチング阻止膜パターンの下部に位置した予備アクティブチャンネルパターン18aの損傷を防止する。そして、前記エッチング阻止膜パターン24の側面にテール34aが残っている場合、酸化工程を実施して前記テール34aを絶縁膜40に形成する。
図63に示すように、実施例1の図20と同じ方法で、前記露出されたエッチング阻止膜パターン24を除去する。その後、前記マスク膜パターン36を有する結果物上に酸化シリコンを用いて薄膜を形成した後、前記薄膜をエッチバックする。その結果、前記ゲートトレンチ38の側壁に絶縁膜スペーサ56が形成される。ここで、前記絶縁膜スペーサ56は前記ゲートスペーサ54の幅より少し大きい幅を有するよう形成する。
図64に示すように、実施例1の図21と同じ方法で、前記ソース/ドレイン領域34をエッチングマスクとして用い、フィールド領域22を選択的にエッチングして前記予備アクティブチャンネルパターン18aの両側面を露出させた後、前記予備アクティブチャンネルパターン18aの第3チャンネル層間膜パターン15を選択的に除去する。前記第3チャンネル層間膜パターン15の選択的除去は前記第3チャンネル層間膜パターン15と第2チャンネル膜パターン16”が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。特に、前記エッチングにおいては、ボロン(B)でドーピングされた第3チャンネル層間膜パターン15を除去するため、特別の困難なしに進行することができる。
その結果、前記予備アクティブチャンネルパターン18aを貫通する複数のトンネル42a、42bと最上部に位置するトンネルグルーブ42cとが形成される。また、前記予備アクティブチャンネルパターン18aの第2チャンネル膜パターン16”は、複数のチャンネル44a、44bに形成される。従って、前記半導体基板上には前記複数のトンネル42a、42bとトンネルグルーブ42c、及び前記複数のチャンネル44a、44bを含むアクティブチャンネルパターン45が形成される。そして、前記トンネル42は両側壁に形成されたゲートスペーサ54によって前記チャンネル44の水平長さより短い長さで形成される。以下、前記トンネル42a、42bはトンネル42とも示し、前記チャンネル44a、44bは、チャンネル44とも示す。
特に、前記チャンネル44の場合には、ホウ素(B)でドーピングされた単結晶半導体物質で形成されたチャンネル膜16で構成されるので、前記チャンネル44はNMOSトランジスタのチャンネルとして把握することができる。従って、前記チャンネル44を対象として後続工程を進行してゲート電極を形成する場合には、最終的にN−MOSトランジスタが具現される。以下、前記チャンネル44はホウ素でドーピングされたチャンネルとも示す。
従って、本実施例では、前記NMOSトランジスタのチャンネルPMOSトランジスタのチャンネルに形成するための工程を更に進行する。ここで、前記PMOSトランジスタのチャンネルは前記NMOSトランジスタのチャンネルで形成された領域のうちに部分的に形成する。
図65に示すように、実施例1の図22と同じ方法で、前記ホウ素でドーピングされたチャンネル44にリン(P)をドーピングする。その結果、前記ホウ素でドーピングされたチャンネル44はリンでドーピングされたチャンネル49に変換される。ここで、前記リンでドーピングされたチャンネル49は、複数のチャンネル49a、49bを含む。このように、前記リンでドーピングされたチャンネル49を獲得することによって、本実施例では容易にPMOSトランジスタのチャンネルを形成することができる。前記リンのドーピングは主にプラズマ処理によって達成されるが、イオン注入によって達成することもできる。以下、前記ホウ素でドーピングされたチャンネル44を含むアクティブチャンネルパターン45を第1アクティブチャンネルパターンとも示し、前記リンでドーピングされたチャンネル49を含むアクティブチャンネルパターン47を第2アクティブチャンネルパターンとも示す。
図66に示すように、実施例1の図23と同じ方法で、熱酸化工程を実施する。これによって、前記トンネル42の上部表面と下部表面、及びトンネルグルーブ42cの底面上にシリコン酸化窒化物からなり約50Åの厚さを有するゲート絶縁膜46を形成する。
そして、前記ゲート絶縁膜46を形成する前に、水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施することもできる。このように、前記水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施する場合、前記リンでドーピングされたチャンネル49の表面粗さが改善することによって、前記ゲート絶縁膜46と前記リンでドーピングされたチャンネル49との間の粗さが減少される。
図67に示すように、実施例1の図24と同じ方法で、前記トンネル42とトンネルグルーブ42cを埋め立てながら、前記リンでドーピングされたチャンネル49を囲むようにゲート電極48を形成する。ここで、前記ゲート電極48は、ドープポリシリコンを用いて形成する。
図68に示すように、前記絶縁膜スペーサ56を選択的に除去して前記ゲート電極48の上面及び側壁の一部を露出させる。その結果、ゲート電極48の側壁下部上にはスペーサ残留物56aが残る。
図69に示すように、前記露出されたゲート電極48の上面に金属シリサイドを用いてゲート積層膜50を形成する。そして、前記ゲート積層膜50はシリコン酸化物又はシリコン窒化物を用いて形成することもできる。このように、前記ゲート積層膜50を形成することでゲート抵抗を減らすことができ、ゲートのキャッピング役割を果たすことができる。ここで、前記ゲート電極48が有する幅は、前記トンネル42の長さと同じである。そして、前記ゲート積層膜50が有する幅は前記チャンネル44の長さと同じである。従って、前記ゲート積層膜50がゲート電極48に比べて突出した歯車型プロファイルが形成される。前記歯車型プロファイルの場合には前記ゲート電極48とゲート積層膜50との間の接触抵抗を減少させることができる。また、前記ゲート電極48とソース/ドレイン領域34との間にゲートスペーサ54を形成することで、前記ゲート電極48とソース/ドレイン領域34との間のオーバーラップキャパシタンスを減らすことができる。
その後、前記絶縁膜スペーサ56及びマスク膜パターン36を除去した後、金属配線などの後続工程を進行してマルチ−ブリッジチャンネル型MOSトランジスタを完成する。場合によっては、前記マスク膜36を除去せず、そのまま層間絶縁膜として用いることもできる。
このように、本実施例では、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルを前に形成した後、前記第1アクティブチャンネルパターンのホウ素でドーピングされたチャンネルをリンでドーピングされたチャンネル膜に形成する。従って、PMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。
(実施例4)
図70は、本発明の実施例4による製造方法で製造されたマルチブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例2で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図70に示すように、前記第1アクティブチャンネルパターン45の前記第1トンネル42とトンネルグルーブ42cを埋め立てながら前記第1チャンネル44を囲むようにゲート電極48を形成する。これと共に、前記第2アクティブチャンネルパターン450の前記第2トンネル420とトンネルグルーブ420cを埋め立てながら前記第1チャンネル490を囲むようにゲート電極480を形成する。これによって、前記N−MOS領域にはNMOSトランジスタのチャンネルを有するゲート電極48が形成され、前記P−MOS領域にはPMOSトランジスタのチャンネルを有するゲート電極480が形成される。
そして、絶縁膜スペーサを選択的に除去して前記ゲート電極48、480の上面及び側壁の一部を露出させる。その結果、前記ゲート電極48、480それぞれの側壁下部にはスペーサ残留物56aが残る。その後、前記露出されたゲート電極48、480の上面に金属シリサイドを用いてゲート積層膜50を形成する。このように、前記ゲート積層膜50を形成することでゲート抵抗を減少させることができ、ゲートのキャッピング役割も果たすことができる。ここで、前記ゲート電極48、480が有する幅はトンネルの長さと同じである。そして、前記ゲート積層膜50が有する幅は前記チャンネル44、490の長さと同じである。従って、前記ゲート積層膜50がゲート電極48、480に比べて突出した歯車型プロファイルが形成される。前記歯車型プロファイルの場合には前記ゲート電極48、480とゲート積層膜50との間の接触抵抗を減少させることができる。また、前記ゲート電極48、480とソース/ドレイン領域34との間にゲートスペーサ54を形成することで、前記ゲート電極48、480とソース/ドレイン領域34との間のオーバーラップキャパシタンスを減少させることができる。
その後、前記絶縁膜スペーサ56及びマスク膜パターン36を除去した後、金属配線などの後続工程を進行してマルチ−ブリッジチャンネル型相補型(CMOS)トランジスタを完成する。場合によっては、前記マスク膜36を除去せず、そのまま層間絶縁膜として用いることもできる。
このように、本実施例では、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンと、PMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンを単一基板上に容易に形成することができる。即ち、前記N−MOS領域には、N−MOSトランジスタが形成され、前記P−MOS領域にはP−MOSトランジスタが形成される。これは、PMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンを容易に形成することができるためである。従って、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルとを有するマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。特に、前記ゲート電極とゲート積層膜との間の接触抵抗が十分低く、前記ゲート電極とソース/ドレイン領域との間のオーバーラップキャパシタンスが十分減少したマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例5)
図71は、本発明の実施例5による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例3で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図71に示すように、ゲート電極48と金属シリサイドからなるゲート積層膜50aとが同じ幅を有することを除いては、実施例3の方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタと同じである。
実施例3の方法によってゲート絶縁膜46を形成する。その後、前記トンネルとトンネルグルーブを埋め立てながら、前記チャンネル44を取り囲むようにゲート電極48を形成する。従って、前記ゲート電極48は、前記トンネルの幅と同じ幅を有するように形成される。その後、前記ゲート電極48上にゲート積層膜50を形成した後、ゲートトレンチ38の側壁に形成された絶縁膜スペーサを除去する。その結果、前記ゲート積層膜50もゲート電極48と同じ幅を有するように形成される。
本実施例の場合にも、まず、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンを形成した後、前記第1アクティブチャンネルパターンのホウ素でドーピングされたチャンネルをリンでドーピングされたチャンネル膜に形成する。従って、PMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。
(実施例6)
図72は、本発明の実施例6による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例3と実施例5で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図72に示すように、実施例2の方法によってNMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンとPMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンとを単一基板上に形成する。そして、実施例5の方法によって前記ゲート電極48とゲート積層膜を前記トンネルの幅と同じ幅を有するように形成する。
従って、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、電気的特性に優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例7)
図73から図83は、本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。本実施例は、実施例1で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図73に示すように、実施例1と同じ方法で、半導体基板上に第2チャンネル層間膜パターン14”と第2チャンネル膜パターン16”を含む予備アクティブチャンネルパターン18aが形成され、ソース/ドレイン領域が定義される。又、反射防止膜パターン28、ダミーゲート膜パターン26及びエッチング阻止膜パターン24を含むゲートハードマスク膜29が前記予備アクティブチャンネルパターン18aの上面に形成される。又、前記予備アクティブチャンネルパターン18aが形成された外郭にフィールド領域22が形成される。
そして、前記予備アクティブチャンネルパターン18aの側壁と表面、前記定義された領域30の表面、及びフィールド領域22の表面上に酸化抑制膜58を連続的に形成する。ここで、前記酸化抑制膜58は、前記フィールド領域に対してエッチング選択比を有する物質であって、シリコン酸化物で形成される。
図74に示すように、前記酸化抑制膜58を異方性エッチングする。その結果、前記予備アクティブチャンネルパターン18aの側壁と前記定義された領域30の内側面とに酸化防止スペーサ58aが形成される。又、前記半導体基板の表面59は露出される。
図75に示すように、熱酸化工程を実施して、前記露出された半導体基板の表面59を酸化させる。その結果、前記露出された半導体基板の表面59上には、酸化シリコンからなる絶縁膜パターン60が形成される。
図76に示すように、リン酸等を用いたウェットエッチングを実施して、前記酸化防止スペーサ58aを選択的に除去する。その結果、前記露出された半導体基板の表面59上にのみ絶縁膜パターン60が残留する。
図77に示すように、前記定義された部分30の表面、及び前記予備アクティブチャンネルパターン18aの両方側面上に部分的に選択的エピタキシャル単結晶膜を成長させる。その結果、前記半導体基板上には、ソース/ドレイン拡張膜32aが形成される。この際、前記ソース/ドレイン拡張膜32aは、前記絶縁膜パターン60を除いた領域でのみ成長する。即ち、前記シリコン物質からなる部分でのみ成長する。その結果、前記ソース/ドレイン拡張膜32aは、前記予備アクティブチャンネルパターン18aの側面より厚く成長する。又、本実施例では、前記ダミーゲートパターン26の側壁にテール34aが残留しない。
そして、前記ソース/ドレイン拡張膜32aが形成された前記定義された領域30を埋め立てるように導電膜を形成した後、前記導電膜を予備アクティブチャンネルパターン18aの表面までエッチバックする。その結果、前記定義された領域30には、前記導電膜からなるソース/ドレイン領域340aが形成される。
図78に示すように、前記ソース/ドレイン領域340aと予備アクティブチャンネルパターン18a、及び基板10上にシリコン窒化物を用いてマスク膜を形成した後、前記ダミーゲート膜パターン26の表面が露出されるまで、前記マスク膜を平坦化させる。その結果、前記半導体基板10上にはマスク膜パターン36が形成される。
図79に示すように、前記ダミーゲート膜パターン26を選択的に除去してゲートトレンチ38を形成する。その後、前記ゲートトレンチ38を通じて露出されたエッチング阻止膜パターン24を除去する。
図80に示すように、実施例1の図21と同じ方法で前記ソース/ドレイン領域34をエッチングマスクとして用いて、フィールド領域22を選択的にエッチングし、前記予備アクティブチャンネルパターン18aの両方側面を露出させた後、前記予備アクティブチャンネルパターン18aの第2チャンネル層間膜パターン14”を選択的に除去する。前記第2チャンネル層間膜パターン14”の選択的除去は、前記第2チャンネル層間膜パターン14”と第2チャンネル膜パターン16”が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。特に、前記エッチングではボロンBでドーピングされた第2チャンネル層間膜パターン14”を除去するので、エッチングを容易に進行することができる。
その結果、前記予備アクティブチャンネルパターン18aを貫通する複数個のトンネル42a、42bと最上部に位置するトンネルグルーブ42cとが形成される。又、前記予備アクティブチャンネルパターン18aの第2チャンネルパターン16”は、複数個のチャンネル44a、44bに形成される。従って、前記半導体基板上には、前記複数個のトンネル42a、42bとトンネルグルーブ42c、及び前記複数個のチャンネル44a、44bを含むアクティブチャンネルパターン45が形成される。以下、前記トンネル42a、42bはトンネル42とも表現し、前記チャンネル44a、44bはチャンネル44とも表現する。
特に、前記チャンネル44の場合には、ホウ素(B)でドーピングされた単結晶半導体物質で形成されたチャンネル膜で構成されるので、前記チャンネル44はNMOSトランジスタのチャンネルとして把握することができる。従って、前記チャンネル44を対象として後続工程を進行してゲート電極を形成する場合には、最終的にN−MOSトランジスタが具現される。以下、前記チャンネル44はホウ素でドーピングされたチャンネルとも表現する。
従って、本実施例では前記NMOSトランジスタのチャンネルPMOSトランジスタのチャンネルに形成するための工程を更に進行する。この際、前記PMOSトランジスタのチャンネルは前記NMOSトランジスタのチャンネルに形成された領域のうちで部分的に形成する。
図81に示すように、実施例1の図22と同じ方法で前記ホウ素でドーピングされたチャンネル44にリン(P)をドーピングする。その結果、前記ホウ素でドーピングされたチャンネル44は、リンでドーピングされたチャンネル49に変換される。ここで、前記リンでドーピングされたチャンネル49は、複数個のチャンネル49a、49bを含む。このように、前記リンでドーピングされたチャンネル49を得ることにより、本実施例では容易にPMOSトランジスタのチャンネルを形成することができる。前記リンのドーピングは主にプラズマ処理によって達成されるが、イオン注入によって達成することもできる。以下、前記ホウ素でドーピングされたチャンネル44を含むアクティブチャンネルパターン45を第1アクティブチャンネルパターンとも表現し、前記リンでドーピングされたチャンネル49を含むアクティブチャンネルパターン47を第2アクティブチャンネルパターンでとも表現する。
図82に示すように、実施例1の図23と同じ方法で熱酸化工程を実施する。これによって、前記トンネル42の上部表面と下部表面、及びトンネルグルーブ42cの底面上にシリコン酸化窒化物(silicon oxide nitride)からなり約50Åの厚さを有するゲート絶縁膜46を形成する。そして、前記ゲート絶縁膜46を形成する前に、水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施することもできる。このように、前記水素(H2)又はアルゴン(Ar)雰囲気で高温熱処理を実施する場合、前記リンでドーピングされたチャンネル49の表面粗さが改善されるので、前記ゲート絶縁膜46と前記リンでドーピングされたチャンネル49との間の粗さが減少される。
その後、実施例1の図24と同じ方法で前記トンネル42とトンネルグルーブ42cを埋め立てながら、前記リンでドーピングされたチャンネル49を取り囲むようにゲート電極48を形成する。この際、前記ゲート電極48は、ドープポリシリコンを用いて形成する。そして、前記ゲート電極48の上面に金属シリサイドを用いてゲート積層膜50を形成する。
図83に示すように、前記マスクパターン36を除去した後、金属配線等の後続工程を進行して、マルチ−ブリッジチャンネル型MOSトランジスタを完成する。
特に、本実施例では、半導体基板の表面に絶縁膜パターン60を形成して、ソース/ドレイン接合キャパシタンスを減少させることができる。従って、接合キャパシタンスを充分に減少させたマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。
(実施例8)
図84は、本発明の実施例8による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例2と実施例7で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図84に示すように、実施例2の方法によって、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンとPMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンを単一基板上に形成する。そして、実施例7の方法によって、半導体基板10の露出された表面上に絶縁膜パターン60を形成する。
従って、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、接合キャパシタンスを充分に減少させたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例9)
図85は、本発明の実施例9による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例1、実施例3及び実施例7で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて説明する。
図85に示すように、本実施例は前記定義された領域30をエピタキシャル方法で完全に埋め立ててソース/ドレイン領域34を形成することを除いては、実施例1と同じである。従って、別のソース/ドレイン拡張膜を形成する必要がない。又、実施例3の方法を適用して、ゲート電極48とソース/ドレイン領域34との間に絶縁物質からなるゲートスペーサ54を形成することもでき、実施例7の方法を適用して半導体基板の表面に絶縁膜パターン60を形成することもできる。
これによって、NMOSトランジスタのチャンネルのみならずPMOSトランジスタのチャンネルを有し、電気的特性に優れたチャンネル型MOSトランジスタを容易に製造することができる。
又、本実施例は実施例2の方法も適用が可能である。これにより、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、電気的特性に優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例10)
図86は、本発明の実施例10による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例1、実施例3及び実施例7のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図86に示すように、本実施例は前記定義された領域30を導電膜で埋め立ててソース/ドレイン領域34を形成することを除いては、実施例1と同じである。ここで、前記導電膜は、ポリシリコン、金属、金属シリサイド等のような物質を用いて形成する。従って、本実施例の場合にも別のソース/ドレイン拡張膜を形成する必要がない。又、実施例3の方法を適用して、ゲート電極48とソース/ドレイン領域34との間に絶縁物質からなるゲートスペーサ54を形成することもでき、実施例7の方法を適用して半導体基板の表面に絶縁膜パターン60を形成することもできる。
これによって、NMOSトランジスタのチャンネルのみならずPMOSトランジスタのチャンネルを有し、電気的特性に優れたチャンネル型MOSトランジスタを容易に製造することができる。
又、本実施例は実施例2の方法も適用が可能である。これにより、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、電気的特性に優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例11)
図87は、本発明の実施例11による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例1、実施例3及び実施例7で説明したマルチブリッジチャンネル型MOSトランジスタの製造方法に基づいて説明する。
図87に示すように、本実施例はチャンネル層間膜とチャンネル膜の厚さ及び反復回数の調節により、チャンネルの個数とトンネルの厚さとが異なることを除いては、実施例1と同じである。又、実施例3の方法を適用して、ゲート電極48とソース/ドレイン領域34との間に絶縁物質からなるゲートスペーサ54を形成することもでき、実施例7の方法を適用して半導体基板の表面に絶縁膜パターン60を形成することもできる。
これによって、NMOSトランジスタのチャンネルのみならずPMOSトランジスタのチャンネルを有し、電気的特性に優れたチャンネル型MOSトランジスタを容易に製造することができる。
又、本実施例は実施例2の方法も適用が可能である。これにより、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、電気的特性に優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例12)
図88は、本発明の実施例12による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例2で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図88に示すように、本実施例はシリコン−オン−インシュレータ基板の酸化膜70を用いることを除いては、実施例1と同じである。これによって、NMOSトランジスタのチャンネルのみならずPMOSトランジスタのチャンネルを有し、電気的特性に優れたチャンネル型MOSトランジスタを容易に製造することができる。
又、本実施例は実施例2の方法も適用が可能である。これにより、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、電気的特性に優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例13)
図89は、本発明の実施例13による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例1で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図89に示すように、本実施例は最下に位置するトンネルが有する高さtを残りのトンネルが有する高さより高くして、ゲート電極48を形成することを除いては、実施例1と類似である。即ち、本実施例は半導体基板10上に複数個のチャンネル層間膜14及び複数個のチャンネル膜16を反復して積層する時、最下に積層するチャンネル層間膜の厚さtを残りのチャンネル層間膜の厚さより厚く形成することにより得られる。実施例9のように前記定義された領域30をエピタキシャル方法で完全に埋め立ててソース/ドレイン領域34を形成することもできる。
これによって、NMOSトランジスタのチャンネルのみならずPMOSトランジスタのチャンネルを有し、電気的特性に優れたチャンネル型MOSトランジスタを容易に製造することができる。
又、本実施例は実施例2の方法も適用が可能である。これにより、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、電気的特性に優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例14)
図90から図97は、本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。本実施例は、実施例1で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図90から図94に示すように、本実施例はソース/ドレイン拡張膜32を形成する工程まで実施例1と同様に進行する。その後、前記フィールド領域22、ソース/ドレイン拡張膜32及びゲートハードマスク膜29の表面上に第1絶縁膜62を連続的に形成する。この際、前記第1絶縁膜62は、前記フィールド領域22に対してエッチング選択比を有するシリコン窒化物を用いて形成する。
前記ソース/ドレイン領域を形成するための定義された領域30を充分に埋め立てるように第2絶縁膜64を形成する。前記第2絶縁膜64は、前記第1絶縁膜62に対してエッチング選択比を有するシリコン酸化物を用いて形成する。そして、前記第2絶縁膜64を最下に位置した第2チャンネル層間膜パターン14a”までエッチバックする。これによって、前記定義された領域30の底面に第2絶縁膜パターン64aが形成される。その後、前記第2絶縁膜パターン64aをエッチングマスクとして用いて、前記第1絶縁膜62をエッチバックする。その結果、前記第2絶縁膜パターン64aの下部に第1絶縁膜パターン62aが形成される。そして、前記定義された領域30を導電膜で埋め立てることにより、ソース/ドレイン領域34を形成する。
図95に示すように、マスク膜パターン36を用いてゲートハードマスク膜パターン29を選択的に除去して、ゲートトレンチを形成する。その後、前記ソース/ドレイン領域34をエッチングマスクとして用いて、フィールド領域22を選択的にエッチングして、前記予備アクティブチャンネルパターン18aの両方側面を露出させた後、前記予備アクティブチャンネルパターン18aの第2チャンネル層間膜パターン14”を選択的に除去する。前記第2チャンネル層間膜パターン14”の選択的除去は、前記第2チャンネル層間膜パターン14”と第2チャンネル膜パターン16”が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。特に、前記エッチングではボロン(B)でドーピングされた第2チャンネル層間膜パターン14”を除去するので、エッチングを容易に進行することができる。
その結果、前記予備アクティブチャンネルパターン18aを貫通する複数個のトンネル42a、42bと最上部に位置するトンネルグルーブ42cとが形成される。又、前記予備アクティブチャンネルパターン18aの第2チャンネル膜パターン16”は、複数個のチャンネル44a、44bに形成される。従って、前記半導体基板10上には、前記複数個のトンネル42a、42bとトンネルグルーブ42c、及び前記複数個のチャンネル44a、44bを含むアクティブチャンネルパターン45が形成される。以下、前記トンネル42a、42bはトンネル42とも表現し、前記チャンネル44a、44bはチャンネル44とも表現する。
特に、前記チャンネル44の場合には、ホウ素(B)でドーピングされた単結晶半導体物質で形成されたチャンネル膜16で構成されるので、前記チャンネル44はNMOSトランジスタのチャンネルとして把握することができる。従って、前記チャンネル44を対象として後続工程を進行して、ゲート電極を形成する場合には、最終的にN−MOSトランジスタが具現される。以下、前記チャンネル44はホウ素でドーピングされたチャンネルとも表現する。
従って、本実施例では、前記NMOSトランジスタのチャンネルPMOSトランジスタのチャンネルに形成するための工程を更に進行する。この際、前記PMOSトランジスタのチャンネルは、前記NMOSトランジスタのチャンネルで形成された領域のうちで部分的に形成する。
図96に示すように、実施例1の図22と同じ方法で、前記ホウ素でドーピングされたチャンネル44にリン(P)をドーピングする。その結果、前記ホウ素でドーピングされたチャンネル44は、リンでドーピングされたチャンネル49に変換される。ここで、前記リンでドーピングされたチャンネル49は、複数個のチャンネル49a、49bを含む。このように、前記リンでドーピングされたチャンネル49を得ることにより、実施例3では容易にPMOSトランジスタのチャンネルを形成することができる。前記リンのドーピングは主にプラズマ処理によって達成されるが、イオン注入によって達成することもできる。以下、前記ホウ素でドーピングされたチャンネル44を含むアクティブチャンネルパターン45を第1アクティブチャンネルパターンとも表現し、前記リンでドーピングされたチャンネル49を含むアクティブチャンネルパターン47を第2アクティブチャンネルパターンとも表現する。
図97に示すように、熱酸化工程を実施する。これによって、前記トンネル42の上部表面と下部表面、及びトンネルグルーブ42cの底面上にシリコン酸化窒化物からなり約50Åの厚さを有するゲート絶縁膜46を形成する。その後、前記トンネル42とトンネルグルーブ42cを埋め立てながら、前記リンでドーピングされたチャンネル49を取り囲むようにゲート電極48を形成する。この際、前記ゲート電極48は、ドープポリシリコンを用いて形成する。そして、前記露出されたゲート電極48の上面に金属シリサイドを用いてゲート積層膜50を形成する。そして、前記ゲート積層膜50は、シリコン酸化物又はシリコン窒化物を用いて形成することもできる。その後、前記絶縁膜スペーサ56及びマスク膜パターン36を除去した後、金属配線等の後続工程を進行して、マルチ−ブリッジチャンネル型MOSトランジスタを完成する。場合によっては、前記マスク膜36を除去せず、そのまま層間絶縁膜として用いることもできる。
このように、本実施例ではNMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンをまず形成した後、前記第1アクティブチャンネルパターンのホウ素でドーピングされたチャンネルをリンでドーピングされたチャンネル膜に形成する。従って、PMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。又、半導体基板の表面に第1絶縁膜パターン62aと第2絶縁膜パターン64aを形成することにより、ソース/ドレイン領域の接合キャパシタンスを充分に減少させることもできる。
(実施例15)
図98は、本発明の実施例15による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。本実施例は、実施例2と実施例14で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図98に示すように、実施例2の方法によって、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンとPMOSトランジスタのチャンネルに該当する第2アクティブチャンネルパターンを単一基板上に形成する。そして、実施例14の方法によって前記半導体基板の表面に第1絶縁膜パターン62aと第2絶縁膜パターン64aを形成する
従って、単一基板上にNMOSトランジスタのチャンネルPMOSトランジスタのチャンネルを有し、ソース/ドレイン領域の接合キャパシタンスに優れたマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に製造することができる。
(実施例16)
図99から図103は、本発明の実施例16によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。
図99及び図100に示すように、半導体基板10上に酸化膜80を形成する。そして、前記酸化膜80上にフォトレジストパターン82を形成する。この際、フォトレジストパターンは、マルチ−ブリッジチャンネル領域Mを露出させる。その後、前記フォトレジストパターン82をエッチングマスクとして用いて前記酸化膜80をエッチングする。これによって、マルチ−ブリッジチャンネル領域Mと単一チャンネル領域Sを限定する酸化膜パターン80aが形成される。この際、前記酸化膜パターン80aは、単一チャンネル領域S上にのみ残る。その後、マルチ−ブリッジチャンネル領域Mの露出された基板表面に高濃度ドーピング領域12を形成する。
図101に示すように、前記フォトレジストパターン82を除去した後、選択的エピタキシャル成長法を用いて、前記マルチ−ブリッジチャンネル領域Mの基板上に複数個のチャンネル層間膜14a、14b、14c及び複数個のチャンネル膜16a、16bを交互に積層する。この際、複数個のチャンネル層間膜14a、14b、14c及び複数個のチャンネル膜16a、16bにはボロンがドーピングされる。以下、前記複数個のチャンネル層間膜14a、14b、14cは、チャンネル層間膜14とも表現し、複数個のチャンネル膜16a、16bはチャンネル膜16とも表現する。
このように、前記チャンネル層間膜14とチャンネル膜16を形成した結果、単一チャンネル領域S上にはエピタキシャル膜が成長しない。反面、前記マルチ−ブリッジチャンネル領域Mにのみ前記チャンネル層間膜14とチャンネル膜16を含む予備アクティブチャンネルパターン18が形成される。
図102に示すように、前記予備アクティブチャンネルパターン18aのチャンネル層間膜14を選択的に除去する。前記チャンネル層間膜14の選択的除去は、前記チャンネル層間膜14とチャンネル膜16が有する互いに異なるエッチング選択比を用いたエッチングによって達成される。特に、前記エッチングではボロン(B)でドーピングされたチャンネル層間膜14を除去するので、エッチングを容易に進行することができる。
その結果、前記予備アクティブチャンネルパターン18aを貫通する複数個のトンネル42a、42b、42cが形成される。又、前記予備アクティブチャンネルパターン18aのチャンネル膜16は、複数個のチャンネル44a、44bに形成される。従って、前記半導体基板10上には、前記複数個のトンネル42a、42b、42cと前記複数個のチャンネル44a、44bを含むアクティブチャンネルパターン45が形成される。以下、前記トンネル42a、42bはトンネル42とも表現し、前記トンネル44a、44bはチャンネル44とも表現する。
特に、前記チャンネル44の場合には、ホウ素(B)でドーピングされた単結晶半導体物質で形成されたチャンネル膜16で構成されるので、前記チャンネル44はNMOSトランジスタのチャンネルとして把握することができる。従って、前記チャンネル44を対象として後続工程を進行して、ゲート電極を形成する場合には、最終的にN−MOSトランジスタが具現される。以下、前記チャンネル44は、ホウ素でドーピングされたチャンネルとも表現する。
従って、本実施例では前記NMOSトランジスタのチャンネルPMOSトランジスタのチャンネルに形成するための工程を更に進行する。この際、前記PMOSトランジスタのチャンネルは前記NMOSトランジスタのチャンネルで形成された領域のうちで部分的に形成する。
図103に示すように、実施例1の図22と同じ方法で、前記ホウ素でドーピングされたチャンネル44にリン(P)をドーピングする。その結果、前記ホウ素でドーピングされたチャンネル44は、リンでドーピングされたチャンネル49に変換される。ここで、前記リンでドーピングされたチャンネル49は、複数個のチャンネル49a、49bを含む。このように、前記リンでドーピングされたチャンネル49を得ることにより、本実施例では容易にPMOSトランジスタのチャンネルを形成することができる。前記リンのドーピングは主にプラズマ処理によって達成されるが、イオン注入によって達成することもできる。以下、前記ホウ素でドーピングされたチャンネル44を含むアクティブチャンネルパターン45を第1アクティブチャンネルパターンとも表現し、前記リンでドーピングされたチャンネル49を含むアクティブチャンネルパターン47を第2アクティブチャンネルパターンとも表現する。
その後、前記トンネル42とトンネルグルーブ42cを埋め立てながら、前記リンでドーピングされたチャンネル49を取り囲むようにゲート電極を形成する。
従って、本実施例では、NMOSトランジスタのチャンネルに該当する第1アクティブチャンネルパターンをまず形成した後、前記第1アクティブチャンネルパターンのホウ素でドーピングされたチャンネルをリンでドーピングされたチャンネル膜に形成する。そのため、PMOSトランジスタのチャンネルを有するマルチ−ブリッジチャンネル型トランジスタを容易に製造することができる。又、実施例2に本実施例の方法を適用することにより、マルチ−ブリッジチャンネル型相補型MOSトランジスタの製造も可能である。
(実施例17)
図104から図106は、本発明の実施例17によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。本実施例は、実施例1で説明したマルチ−ブリッジチャンネル型MOSトランジスタの製造方法に基づいて具体的に説明する。
図104に示すように、不純物がドーピングされないチャンネル膜とチャンネル層間膜を交互に積層することを除いては、実施例1の図8から図21で説明した工程と同様な工程を進行する。これによって、基板10上には不純物がドーピングされないチャンネル膜によって形成されるチャンネル544a、544bと、不純物がドーピングされないチャンネル層間膜の選択的除去によって形成されるトンネル542a、542b及びトンネルグルーブ542cとを含むアクティブチャンネルパターン545が形成される。以下、前記トンネル542a、542bはトンネル542とも表現し、前記チャンネル544a、544bはチャンネル544とも表現する。
図105に示すように、前記アクティブチャンネルパターン545に導電型不純物のドーピングを実施する。この際、前記導電型不純物としてはボロンを選択するか、リンを選択することができる。ここで、前記ボロンのドーピングをまず実施する場合には、リンのドーピングを以後に実施する。そして、前記リンのドーピングをまず実施する場合には、ボロンのドーピングを以後に実施する。
本実施例では、ボロンをまずドーピングする。前記ボロンのドーピングは、プラズマ処理によって達成されるが、イオン注入によって達成することもできる。又、前記アクティブチャンネルパターン545に前記ボロンのドーピングを実施するか、又は前記アクティブチャンネルパターン545の一部に前記ボロンのドーピングを実施することができる。
仮に、前記アクティブチャンネルパターンの一部に前記ボロンのドーピングを実施する場合には、残りの他のアクティブチャンネルパターンに前記ボロンのドーピングを遮断するためのフォトレジストパターンのようなマスクを形成する。
このように、前記ボロンのドーピングを実施することにより、前記アクティブチャンネルパターン545は、ボロンがドーピングされたアクティブチャンネルパターン545’に転換される。即ち、前記チャンネル545がボロンがドーピングされたチャンネル544’に転換される。
図106に示すように、前記ボロンのドーピングを実施した後、前記アクティブチャンネルパターン545’にリンをドーピングする。この際、前記リンのドーピングはプラズマ処理によって達成されるが、イオン注入によって達成することもできる。
そして、前記ボロンがドーピングされたアクティブチャンネルパターン545’に前記リンのドーピングを実施するか、又は前記ボロンがドーピングされたアクティブチャンネルパターン545’の一部に前記リンのドーピングを実施することができる。
仮に、前記ボロンがドーピングされたアクティブチャンネルパターンの一部に前記リンのドーピングを実施する場合には、残りの他のボロンがドーピングされたアクティブチャンネルパターンに前記リンのドーピングを遮断するためのフォトレジストパターンのようなマスクを形成する。
このように、前記リンのドーピングを実施することにより、前記ボロンがドーピングされたアクティブチャンネルパターン545’は、リンがドーピングされたアクティブチャンネルパターン545”に転換される。即ち、前記ボロンがドーピングされたチャンネル545’がリンがドーピングされたチャンネル544”に転換される。
その後、図24から図26で説明した工程を進行して、ゲート電極を形成することにより、マルチ−ブリッジチャンネル型MOSトランジスタを形成する。
本実施例では、不純物がドーピングされないチャンネル膜によって形成されるチャンネルと、不純物がドーピングされないチャンネル層間膜の選択的除去によって形成されるトンネルとを含むアクティブチャンネルパターンを形成した後、ボロン又はリンのドーピングを実施する。特に、前記不純物がドーピングされないチャンネル層間膜を選択的に除去するので、選択的除去を容易に進行することができる。又、アクティブチャンネルパターンの一部にボロンをドーピングし、残りのアクティブチャンネルパターンにリンをドーピングする場合、N−MOSとP−MOSを有するマルチ−ブリッジチャンネル型相補型MOSトランジスタを容易に形成することができる。
(産業上の利用可能性)
本発明は、マルチ−ブリッジチャンネル型MOSトランジスタを製造する時、PMOSトランジスタのチャンネルの容易な形成を図ることができる。従って、マルチ−ブリッジチャンネル型相補型MOSトランジスタの製造も容易な効果がある。
以上、本発明の実施例を詳細に説明したが、本発明はこれに限定されず、本発明が属する技術分野において通常の知識を有するものであれば本発明の思想と精神を離れることなく、本発明の実施例を修正または変更できる。
従来の方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタのアクティブチャンネルパターンを示す写真である。 従来の方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタのアクティブチャンネルパターンを示す写真である。 本発明の製造方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す平面図である。 図3のA−A’線に沿って切断した断面図である。 図3のB−B’線に沿って切断した断面図である。 本発明の製造方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタのアクティブチャンネル型パターンを示す斜視図である。 本発明の製造方法によって製造されたマルチ−ブリッジチャンネル型MOSトランジスタのゲート電極を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例1によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す斜視図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例2によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 図26のA部分の拡大図である。 本発明の実施例3による製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す斜視図である。 図53のC−C’線によって切断した断面図である。 図54のB部分の拡大図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例3によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例4の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例5の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例6の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例7によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例8の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例9の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例10の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例11の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例12の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例13の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例14によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例15の製造方法で製造されたマルチ−ブリッジチャンネル型MOSトランジスタを示す断面図である。 本発明の実施例16によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例16によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例16によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例16によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例16によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例17によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例17によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。 本発明の実施例17によるマルチ−ブリッジチャンネル型MOSトランジスタの製造方法を示す断面図である。
符号の説明
10 半導体基板、12 高濃度ドーピング領域、14a、14b、14c チャンネル層間膜、16a、16b チャンネル膜、18 予備アクティブパターン、20 素子分離トレンチ、22 フィールド領域、23 エッチング阻止膜、25 ダミーゲート膜、26 ダミーゲート膜パターン、27 反射防止膜、28 反射防止膜パターン、29 ゲートハードマスク膜、32 ソース/ドレイン拡張膜、34 ソース/ドレイン領域、34a テール、35 マスク膜、36 マスク膜パターン、38 ゲートトレンチ、40 絶縁膜、42a、42b トンネル、44a、44b チャンネル、48 ゲート電極

Claims (12)

  1. ホウ素がドーピングされたシリコン−ゲルマニウムからなるチャンネル層間膜及びシリコンからなるチャンネル膜が交互に積層され予備アクティブパターンを基板の表面上に形成する段階と、
    前記予備アクティブパターンを取り囲む領域を形成する段階と、
    前記領域を形成する段階の後に、前記予備アクティブパターンをエッチングし、前記基板を露出させる段階と、
    前記エッチングにより露出した前記基板の上面および前記エッチングにより露出した前記予備アクティブパターンの側面上にソース/ドレイン領域を形成する段階と、
    前記ソース/ドレイン領域を形成する段階の後に、前記領域を選択的にエッチングして、前記予備アクティブパターンの側面を露出させる段階と、
    前記予備アクティブパターンの側面を露出させる段階の後に、前記予備アクティブパターンにおける前記チャンネル層間膜を前記チャンネル膜に対して選択的に除去し、前記予備アクティブパターンを貫通する複数のトンネルを形成することにより、前記トンネルと前記チャンネル膜で構成された複数のチャンネルとを有するアクティブチャンネルパターンを形成する段階と、
    前記アクティブチャンネルパターンを形成する段階の後に、前記チャンネルのみリンをドーピングして、該チャンネルをN型に転換する段階と、
    前記チャンネルにドーピングする段階の後に、前記トンネルを埋めることにより、前記複数のチャンネルを囲む複数のゲート電極を形成する段階と、
    を含むことを特徴とするマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  2. 前記チャンネル層間膜の選択的除去は、単結晶シリコンと単結晶シリコンゲルマニウムとの間にエッチング選択比を有するエッチング液を用いて行われることを特徴とする請求項1記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  3. 前記チャンネルのドーピングでは、イオン注入を行うことを特徴とする請求項1記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  4. 前記チャンネルのドーピングでは、プラズマドーピングを行うことを特徴とする請求項1記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  5. 前記基板は、シリコン、シリコン−ゲルマニウム、シリコン−オン−インシュレータまたはシリコン−ゲルマニウム−オン−インシュレータのうちのいずれか一つを含むことを特徴とする請求項1記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  6. 前記チャンネル層間膜の選択的除去では、異方性エッチング工程を行うことを特徴とする請求項1記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  7. ホウ素がドーピングされたシリコン−ゲルマニウムからなるチャンネル層間膜及びシリコンからなるチャンネル膜が交互に積層された予備アクティブパターンを基板の表面上に形成する段階と、
    前記予備アクティブパターンを取り囲む領域を複数形成する段階と、
    前記領域を形成する段階の後に、前記備アクティブパターンをエッチングし、前記基板を露出させる段階と、
    前記エッチングにより露出した前記基板の上面および前記エッチングにより露出した複数の前記予備アクティブパターンの側面上にソース/ドレイン領域を形成する段階と、
    前記ソース/ドレイン領域を形成する段階の後に、前記領域を選択的にエッチングして、複数の前記予備アクティブパターンの側面を露出させる段階と、
    複数の前記予備アクティブパターンの側面を露出させる段階の後に、複数の前記予備アクティブパターンにおける前記チャンネル層間膜を前記チャンネル膜に対して選択的に除去し、前記予備アクティブパターンを貫通する複数のトンネルを形成することにより、前記トンネルと前記チャンネル膜で構成された複数のチャンネルとを有する複数のアクティブチャンネルパターンを形成する段階と、
    複数の前記アクティブチャンネルパターンを形成する段階の後に、奇数番目、または偶数番目の前記アクティブパターンの前記チャンネル膜にのみリンをドーピングして、該チャンネル膜をN型に転換してPMOSトランジスタのチャンネルを形成する段階と、
    前記PMOSトランジスタのチャンネルを形成する段階の後に、前記トンネルを埋めることにより前記PMOSトランジスタのチャンネルおよびホウ素がドーピングされているNMOSトランジスタのチャンネルを囲む複数のゲート電極を形成する段階と、
    を含むことを特徴とするマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  8. 前記チャンネル層間膜の選択的除去は、単結晶シリコンと単結晶シリコンゲルマニウムとの間にエッチング選択比を有するエッチング液を用いて行われることを特徴とする請求項記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  9. 前記PMOSトランジスタのチャンネルを形成する段階のドーピングでは、イオン注入を行うことを特徴とする請求項記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  10. 前記PMOSトランジスタのチャンネルを形成する段階のドーピングでは、プラズマドーピングを行うことを特徴とする請求項記載のマルチ−ブリッジMOSトランジスタの製造方法。
  11. 前記基板は、シリコン、シリコン−ゲルマニウム、シリコン−オン−インシュレータまたはシリコン−ゲルマニウム−オン−インシュレータのうちのいずれか一つを含むことを特徴とする請求項記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
  12. 前記チャンネル層間膜の選択的除去では、異方性エッチング工程を行うことを特徴とする請求項記載のマルチ−ブリッジチャンネル型MOSトランジスタの製造方法。
JP2005145097A 2004-05-25 2005-05-18 マルチ−ブリッジチャンネル型mosトランジスタの製造方法 Active JP4796329B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2004-0037517 2004-05-25
KR1020040037517A KR100625177B1 (ko) 2004-05-25 2004-05-25 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US10/998,472 2004-11-29
US10/998,472 US7229884B2 (en) 2004-05-25 2004-11-29 Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels

Publications (2)

Publication Number Publication Date
JP2005340810A JP2005340810A (ja) 2005-12-08
JP4796329B2 true JP4796329B2 (ja) 2011-10-19

Family

ID=35493957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005145097A Active JP4796329B2 (ja) 2004-05-25 2005-05-18 マルチ−ブリッジチャンネル型mosトランジスタの製造方法

Country Status (2)

Country Link
US (2) US7309635B2 (ja)
JP (1) JP4796329B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230078925A (ko) * 2021-11-26 2023-06-05 연세대학교 산학협력단 에피택셜 웨이퍼 및 에피택셜 웨이퍼의 제조 방법

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7442590B2 (en) * 2006-04-27 2008-10-28 Freescale Semiconductor, Inc Method for forming a semiconductor device having a fin and structure thereof
KR100763542B1 (ko) * 2006-10-30 2007-10-05 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
KR100827529B1 (ko) * 2007-04-17 2008-05-06 주식회사 하이닉스반도체 다중채널을 갖는 반도체 소자 및 그의 제조 방법
US7585738B2 (en) * 2007-04-27 2009-09-08 Texas Instruments Incorporated Method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
FR2923646A1 (fr) * 2007-11-09 2009-05-15 Commissariat Energie Atomique Cellule memoire sram dotee de transistors a structure multi-canaux verticale
JP5236370B2 (ja) * 2008-07-10 2013-07-17 三菱電機株式会社 Tft基板の製造方法及びtft基板
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
WO2010150442A1 (ja) * 2009-06-24 2010-12-29 パナソニック株式会社 半導体装置及びその製造方法
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
KR101762823B1 (ko) * 2010-10-29 2017-07-31 삼성전자주식회사 비휘발성 메모리 장치 및 그것의 제조 방법
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
JP5325932B2 (ja) 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US9087863B2 (en) 2011-12-23 2015-07-21 Intel Corporation Nanowire structures having non-discrete source and drain regions
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
US8928086B2 (en) 2013-01-09 2015-01-06 International Business Machines Corporation Strained finFET with an electrically isolated channel
US8587068B2 (en) * 2012-01-26 2013-11-19 International Business Machines Corporation SRAM with hybrid FinFET and planar transistors
KR20130128503A (ko) * 2012-05-17 2013-11-27 에스케이하이닉스 주식회사 다중 채널을 갖는 반도체 장치의 제조 방법
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
US8679902B1 (en) * 2012-09-27 2014-03-25 International Business Machines Corporation Stacked nanowire field effect transistor
JP6312789B2 (ja) * 2013-03-14 2018-04-18 インテル・コーポレーション ナノワイヤトランジスタのリーク低減構造
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9508796B2 (en) * 2013-10-03 2016-11-29 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
EP2887399B1 (en) * 2013-12-20 2017-08-30 Imec A method for manufacturing a transistor device and associated device
US9660035B2 (en) * 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
KR102158963B1 (ko) 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
US10854735B2 (en) 2014-09-03 2020-12-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming transistor
US10246325B2 (en) * 2014-09-03 2019-04-02 Infineon Technologies Ag MEMS device and method for manufacturing a MEMS device
US9318553B1 (en) 2014-10-16 2016-04-19 International Business Machines Corporation Nanowire device with improved epitaxy
KR102293129B1 (ko) * 2015-02-12 2021-08-25 삼성전자주식회사 매립형 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US10276572B2 (en) * 2015-11-05 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
KR102506426B1 (ko) * 2016-06-07 2023-03-08 삼성전자주식회사 반도체 소자
KR102429611B1 (ko) 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
JP6763703B2 (ja) * 2016-06-17 2020-09-30 ラピスセミコンダクタ株式会社 半導体装置および半導体装置の製造方法
US20180061944A1 (en) * 2016-08-31 2018-03-01 International Business Machines Corporation Forming nanosheet transistors with differing characteristics
US10069015B2 (en) 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
US10833193B2 (en) 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
CN106298778A (zh) * 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
CN111370489A (zh) 2016-11-21 2020-07-03 华为技术有限公司 一种场效应晶体管及其制作方法
US10414978B2 (en) 2016-12-14 2019-09-17 Samsung Electronics Co., Ltd. Etching composition and method for fabricating semiconductor device by using the same
KR102574454B1 (ko) 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US11245020B2 (en) * 2017-01-04 2022-02-08 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US10128347B2 (en) * 2017-01-04 2018-11-13 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
KR102285641B1 (ko) 2017-03-10 2021-08-03 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
JP7205912B2 (ja) * 2017-04-27 2023-01-17 東京エレクトロン株式会社 Nfet及びpfetナノワイヤデバイスを製造する方法
KR102385567B1 (ko) 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102353931B1 (ko) * 2017-09-13 2022-01-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10236217B1 (en) 2017-11-02 2019-03-19 International Business Machines Corporation Stacked field-effect transistors (FETs) with shared and non-shared gates
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
CN110581133B (zh) * 2018-06-08 2022-09-13 中芯国际集成电路制造(上海)有限公司 一种半导体结构及其形成方法、以及sram
KR102515393B1 (ko) 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11158727B2 (en) * 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around device with extended channel
KR102534246B1 (ko) 2018-08-30 2023-05-18 삼성전자주식회사 반도체 장치
KR102509307B1 (ko) * 2018-09-19 2023-03-10 삼성전자주식회사 반도체 장치
CN111243955B (zh) * 2020-02-05 2023-05-12 中国科学院微电子研究所 一种半导体器件及其制作方法、集成电路和电子设备
KR20210117004A (ko) 2020-03-18 2021-09-28 삼성전자주식회사 2d 물질로 이루어진 채널을 구비하는 전계 효과 트랜지스터
KR20220031366A (ko) 2020-09-04 2022-03-11 삼성전자주식회사 전계 효과 트랜지스터 및 전계 효과 트랜지스터의 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR940003076B1 (ko) 1990-12-22 1994-04-13 정찬용 보안 경보시스템
US5412224A (en) * 1992-06-08 1995-05-02 Motorola, Inc. Field effect transistor with non-linear transfer characteristic
US5221849A (en) * 1992-06-16 1993-06-22 Motorola, Inc. Semiconductor device with active quantum well gate
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
JPH098291A (ja) * 1995-06-20 1997-01-10 Fujitsu Ltd 半導体装置
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
JPH118390A (ja) * 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3324518B2 (ja) * 1998-08-24 2002-09-17 日本電気株式会社 半導体装置の製造方法
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
JP3086906B1 (ja) 1999-05-28 2000-09-11 工業技術院長 電界効果トランジスタ及びその製造方法
DE19928564A1 (de) 1999-06-22 2001-01-04 Infineon Technologies Ag Mehrkanal-MOSFET und Verfahren zu seiner Herstellung
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6440806B1 (en) * 2001-04-30 2002-08-27 Advanced Micro Devices, Inc. Method for producing metal-semiconductor compound regions on semiconductor devices
JP3793808B2 (ja) * 2002-05-02 2006-07-05 国立大学法人東京工業大学 電界効果トランジスタの製造方法
US6909145B2 (en) * 2002-09-23 2005-06-21 International Business Machines Corporation Metal spacer gate for CMOS FET
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
FR2853454B1 (fr) * 2003-04-03 2005-07-15 St Microelectronics Sa Transistor mos haute densite
US7028688B1 (en) * 2005-04-05 2006-04-18 The United States Of America As Represented By The Secretary Of The Army Operationally adaptable chemical-biological mask

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230078925A (ko) * 2021-11-26 2023-06-05 연세대학교 산학협력단 에피택셜 웨이퍼 및 에피택셜 웨이퍼의 제조 방법
KR102697844B1 (ko) 2021-11-26 2024-08-23 연세대학교 산학협력단 에피택셜 웨이퍼 및 에피택셜 웨이퍼의 제조 방법

Also Published As

Publication number Publication date
US20070196973A1 (en) 2007-08-23
JP2005340810A (ja) 2005-12-08
US7309635B2 (en) 2007-12-18
US20080102586A1 (en) 2008-05-01
US7648883B2 (en) 2010-01-19

Similar Documents

Publication Publication Date Title
JP4796329B2 (ja) マルチ−ブリッジチャンネル型mosトランジスタの製造方法
JP4667736B2 (ja) 多重チャンネルを有するモストランジスターの製造方法
KR100625177B1 (ko) 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US10978355B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
KR100499159B1 (ko) 리세스 채널을 갖는 반도체장치 및 그 제조방법
KR102331059B1 (ko) 반도체 디바이스 및 방법
US11682711B2 (en) Semiconductor device having multi-layered gate spacers
US20220352371A1 (en) Semiconductor Device and Method
TW201729419A (zh) 半導體裝置
US11942523B2 (en) Semiconductor devices and methods of forming the same
TW202139272A (zh) 半導體裝置的形成方法
US20230378001A1 (en) Semiconductor device and method
US20230253479A1 (en) Air Spacer and Method of Forming Same
US20230005910A1 (en) Semiconductor device
US20230261045A1 (en) Semiconductor Device Including Air Spacer and Method of Manufacture
US20230014468A1 (en) Semiconductor device
US20230268416A1 (en) Semiconductor Devices and Methods of Manufacture
US20240021618A1 (en) Semiconductor device and method
US20220406774A1 (en) Doped well for semiconductor devices
US20230064457A1 (en) Nanostructure Device and Method of Forming Thereof
US20220051950A1 (en) Gapfill structure and manufacturing methods thereof
KR20080011488A (ko) 다중 채널 모스 트랜지스터를 포함하는 반도체 장치의 제조방법
KR100521511B1 (ko) 반도체 장치 및 그 제조 방법
JPS63237558A (ja) Cmos型電界効果トランジスタおよびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110729

R150 Certificate of patent or registration of utility model

Ref document number: 4796329

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140805

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250