KR102434993B1 - 반도체 소자 - Google Patents

반도체 소자 Download PDF

Info

Publication number
KR102434993B1
KR102434993B1 KR1020150175226A KR20150175226A KR102434993B1 KR 102434993 B1 KR102434993 B1 KR 102434993B1 KR 1020150175226 A KR1020150175226 A KR 1020150175226A KR 20150175226 A KR20150175226 A KR 20150175226A KR 102434993 B1 KR102434993 B1 KR 102434993B1
Authority
KR
South Korea
Prior art keywords
transistor
channel
channel portions
transistors
gate
Prior art date
Application number
KR1020150175226A
Other languages
English (en)
Other versions
KR20170068689A (ko
Inventor
몽송 양
석성대
배금종
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150175226A priority Critical patent/KR102434993B1/ko
Priority to US15/238,059 priority patent/US10304964B2/en
Priority to DE102016119390.9A priority patent/DE102016119390A1/de
Priority to TW105135803A priority patent/TWI704692B/zh
Priority to JP2016226866A priority patent/JP6702847B2/ja
Priority to CN201611115120.1A priority patent/CN107039431B/zh
Publication of KR20170068689A publication Critical patent/KR20170068689A/ko
Priority to US16/395,907 priority patent/US11251312B2/en
Priority to US17/574,166 priority patent/US11942558B2/en
Application granted granted Critical
Publication of KR102434993B1 publication Critical patent/KR102434993B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/783Field effect transistors with field effect produced by an insulated gate comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions

Abstract

본 발명은 전계 효과 트랜지스터를 포함하는 반도체 소자에 관한 것으로, 보다 상세하게는, 기판 상에 제공되고 제 1 방향으로 연장하는 게이트 구조체, 상기 게이트 구조체를 사이에 두고 이격된 소스/드레인 영역들, 상기 소스/드레인 영역들을 연결하는 채널 영역을 각각 포함하고 서로 다른 문턱 전압을 갖는 제 1 내지 제 3 트랜지스터들을 포함한다. 상기 제 2 및 제 3 트렌지스터들의 채널 영역들 각각은 상기 기판에 수직한 방향으로 상호 이격되고 상기 소스/드레인 영역들을 각각 연결하는 복수의 채널 부분들을 포함한다. 상기 제 1 방향으로, 상기 제 3 트랜지스터의 채널 부분들 각각의 폭은 상기 제 2 트랜지스터의 채널 부분들 각각의 폭보다 크다.

Description

반도체 소자 {Semiconductor device}
본 발명은 반도체에 관한 것으로, 보다 상세히는 전계 효과 트랜지스터를 포함하는 반도체 소자에 관한 것이다.
소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광받고 있다. 반도체 소자들은 논리 데이터를 저장하는 반도체 기억 소자, 논리 데이터를 연산 처리하는 반도체 논리 소자, 및 기억 요소와 논리 요소를 포함하는 하이브리드(hybrid) 반도체 소자 등으로 구분될 수 있다. 전자 산업이 고도로 발전함에 따라, 반도체 소자의 특성들에 대한 요구가 점점 증가되고 있다. 예컨대, 반도체 소자에 대한 고 신뢰성, 고속화 및/또는 다기능화 등에 대하여 요구가 점점 증가되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 소자 내 구조들은 점점 복잡해지고 있으며, 또한, 반도체 소자는 점점 고집적화 되고 있다.
본 발명이 해결하고자 하는 과제는 전기적 특성이 보다 향상된 전계 효과 트랜지스터를 포함하는 반도체 소자를 제공하는데 있다.
본 발명의 개념에 따른, 반도체 소자는, 기판 상에 제공되고 제 1 방향으로 연장하는 게이트 구조체, 상기 게이트 구조체를 사이에 두고 이격된 소스/드레인 영역들, 상기 소스/드레인 영역들을 연결하는 채널 영역을 각각 포함하고 서로 다른 문턱 전압을 갖는 제 1 내지 제 3 트랜지스터들을 포함하고, 상기 제 2 및 제 3 트렌지스터들의 채널 영역들 각각은 상기 기판에 수직한 방향으로 상호 이격되고 상기 소스/드레인 영역들을 각각 연결하는 복수의 채널 부분들을 포함하고, 상기 제 1 방향으로, 상기 제 3 트랜지스터의 채널 부분들 각각의 폭은 상기 제 2 트랜지스터의 채널 부분들 각각의 폭보다 클 수 있다.
상기 제 2 트랜지스터의 문턱 전압은 상기 제 3 트랜지스터의 문턱 전압보다 클 수 있다. 상기 제 1 트랜지스터의 채널 영역은 상기 기판에 수직한 방향으로 상호 이격되고 상기 소스/드레인 영역들을 각각 연결하는 복수의 채널 부분들을 포함하고, 상기 제 1 방향으로, 상기 제 2 트랜지스터의 채널 부분들 각각의 폭은 상기 제 1 트랜지스터의 채널 부분들 각각의 폭보다 클 수 있다.
상기 제 1 트랜지스터의 문턱 전압은 상기 제 2 트랜지스터의 문턱 전압보다 클 수 있다. 상기 제 1 트랜지스터의 채널 영역은 상기 기판의 상면으로부터 돌출된 핀 형상을 가질 수 있다. 상기 제 1 트랜지스터의 문턱 전압은 상기 제 3 트랜지스터의 문턱 전압보다 작을 수 있다. 상기 제 1 내지 제 3 트랜지스터들은 서로 동일한 도전형의 트랜지스터들일 수 있다.
상기 제 1 방향과 교차하는 제 2 방향으로, 상기 제 3 트랜지스터의 상기 채널 부분들 각각의 길이는 상기 제 2 트랜지스터의 상기 채널 부분들 각각의 길이와 동일할 수 있다. 상기 제 2 트랜지스터들의 채널 부분들 각각의 두께는 서로 동일할 수 있다. 상기 제 2 트랜지스터들의 채널 부분들 각각의 두께와 상기 제 3 트랜지스터들의 채널 부분들 각각의 두께는 동일할 수 있다. 상기 제 2 트랜지스터들의 채널 부분들의 개수는 제 3 트랜지스터들의 채널 부분들의 채널 부분들의 개수와 동일할 수 있다. 상기 제 1 내지 제 3 트랜지스터들의 상기 게이트 구조체는 각각 제 1 내지 제 3 게이트 전극들을 포함하고, 상기 제 1 내지 제 3 게이트 전극들의 일함수는 동일할 수 있다.
기판 상에 제공되고 제 1 방향으로 연장하는 게이트 구조체, 상기 게이트 구조체를 사이에 두고 이격된 소스/드레인 영역들, 상기 소스/드레인 영역들을 연결하는 채널 영역을 각각 포함하고 서로 다른 문턱 전압을 갖는 제 1 내지 제 3 트랜지스터들을 포함하고, 상기 제 1 트랜지스터의 채널 영역은 상기 기판의 상면으로부터 돌출된 핀 형상을 갖고, 상기 제 2 및 제 3 트렌지스터들의 채널 영역들 각각은 상기 기판에 수직한 방향으로 상호 이격되고 상기 소스/드레인 영역들을 각각 연결하는 복수의 채널 부분들을 포함할 수 있다.
상기 제 1 트랜지스터의 문턱 전압은 상기 제 2 트랜지스터의 문턱 전압보다 작을 수 있다. 상기 제 1 방향으로, 상기 제 3 트랜지스터의 상기 채널 부분들 각각의 폭은 상기 제 2 트랜지스터의 상기 채널 부분들 각각의 폭보다 클 수 있다. 상기 제 3 트랜지스터의 문턱 전압은 상기 제 2 트랜지스터의 문턱 전압보다 작을 수 있다. 상기 제 2 트랜지스터와 상기 제 3 트랜지스터는 각각 제 2 게이트 전극과 제 3 게이트 전극을 포함하고, 상기 제 3 게이트 전극의 일함수는 상기 제 2 게이트 전극의 일함수보다 클 수 있다. 상기 제 2 게이트 전극의 문턱 전압은 상기 제 3 게이트 전극의 문턱 전압보다 클 수 있다.
상기 제 1 내지 제 3 트랜지스터들은 서로 동일한 도전형의 트랜지스터들일 수 있다. 상기 제 1 방향과 교차하는 제 2 방향으로, 상기 제 3 트랜지스터의 상기 채널 부분들 각각의 길이는 상기 제 2 트랜지스터의 상기 채널 부분들 각각의 길이와 동일할 수 있다. 상기 제 2 트랜지스터들의 채널 부분들 각각의 두께는 서로 동일할 수 있다.
상기 제 2 트랜지스터들의 채널 부분들 각각의 두께와 상기 제 3 트랜지스터들의 채널 부분들 각각의 두께는 동일할 수 있다. 상기 제 2 트랜지스터들의 채널 부분들의 개수는 제 3 트랜지스터들의 채널 부분들의 채널 부분들의 개수와 동일할 수 있다.
본 발명의 실시예들에 따르면, 서로 다른 문턱 전압을 갖는 복수의 트랜지스터들이 제공될 수 있다.
도 1은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 2a는 도 1의 A-A'선 및 B-B'선에 따른 단면도이다.
도 2b는 도 1의 C-C'선 및 D-D'선에 따른 단면도이다.
도 2c는 도 1의 E-E'선 및 F-F'선에 따른 단면도이다.
도 3a 내지 도 8a는 도 1의 A-A'선 및 B-B'선에 따른 단면도들이다.
도 3b 내지 도 8b는 도 1의 C-C'선 및 D-D'선에 따른 단면도들이다.
도 3c 내지 도 8c는 도 1의 E-E'선 및 F-F'선에 따른 단면도들이다.
도 9는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 10a는 도 9의 A-A'선 및 B-B'선에 따른 단면도이다.
도 10b는 도 9의 C-C'선 및 D-D'선에 따른 단면도이다.
도 10c는 도 9의 E-E'선 및 F-F'선에 따른 단면도이다.
도 11a 내지 도 14a는 도 9의 A-A'선 및 B-B'선에 따른 단면도들이다.
도 11b 내지 도 14b는 도 9의 C-C'선 및 D-D'선에 따른 단면도들이다.
도 11c 내지 도 14c는 도 9의 E-E'선 및 F-F'선에 따른 단면도들이다.
도 15는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 16a는 도 15의 A-A'선 및 B-B'선에 따른 단면도이다.
도 16b는 도 15의 C-C'선 및 D-D'선에 따른 단면도이다.
도 16c는 도 15의 E-E'선 및 F-F'선에 따른 단면도이다.
도 17a는 도 15의 A-A'선 및 B-B'선에 따른 단면도이다.
도 17b는 도 15의 C-C'선 및 D-D'선에 따른 단면도이다.
도 17c는 도 15의 E-E'선 및 F-F'선에 따른 단면도이다.
도 18은 본 발명의 실시예들에 따른 채널 영역의 형상을 설명하기 위한 단면도이다.
도 19는 본 발명의 실시예들에 트랜지스터들을 포함하는 포함하는 시모스 에스램 셀(CMOS RAM cell)의 등가 회로도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서, 도전성막, 반도체막, 또는 절연성막 등의 어떤 물질막이 다른 물질막 또는 기판"상"에 있다고 언급되는 경우에, 그 어떤 물질막은 다른 물질막 또는 기판상에 직접 형성될 수 있거나 또는 그들 사이에 또 다른 물질막이 개재될 수도 있다는 것을 의미한다. 또 본 명세서의 다양한 실시예들에서 제 1, 제 2, 제 3 등의 용어가 물질막 또는 공정 단계를 기술하기 위해서 사용되었지만, 이는 단지 어느 특정 물질막 또는 공정 단계를 다른 물질막 또는 다른 공정 단계와 구별시키기 위해서 사용되었을 뿐이며, 이 같은 용어들에 의해서 한정되어서는 안된다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
도 1은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 2a는 도 1의 A-A'선 및 B-B'선에 따른 단면도이다. 도 2b는 도 1의 C-C'선 및 D-D'선에 따른 단면도이다. 도 2c는 도 1의 E-E'선 및 F-F'선에 따른 단면도이다.
도 1 및 도 2a 내지 2c를 참조하면, 기판(100) 상에 제 1 내지 제 3 트랜지스터들(TR1, TR2, 및 TR3)이 제공될 수 있다. 상기 기판(100)은 반도체 기판일 수 있다. 일 예로, 상기 기판(100)은 실리콘 기판 또는 게르마늄 기판이거나, SOI(Silicon On Insulator) 기판일 수 있다.
상기 기판(100)은 트랜지스터 영역(TA)을 포함할 수 있다. 상기 트랜지스터 영역(TA)은 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)을 포함하고, 데이터를 저장하기 위한 복수의 메모리 셀들이 형성되는 메모리 셀 영역의 일부일 수 있다. 일 예로, 상기 기판(100) 상에 6개의 트랜지스터들로 구성된 복수의 6T 에스램(SRAM) 셀들을 구성하는 메모리 셀 트랜지스터들이 배치될 수 있고, 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3) 각각은 상기 6개의 트랜지스터들 중 하나일 수 있다. 상기 트랜지스터 영역(TA)은 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)을 포함하고, 반도체 소자의 로직 회로를 구성하는 로직 트랜지스터들이 배치되는 로직 셀 영역의 일부일 수 있다. 다른 예로, 상기 트랜지스터 영역(TA)은 프로세서 코어 또는 I/O 단자를 구성하는 로직 트랜지스터들이 형성되는 영역일 수 있고, 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3) 각각은 프로세서 코어 또는 I/O 단자를 구성하는 로직 트랜지스터들 중 하나일 수 있다. 그러나, 본 발명의 실시예들이 이에 제한되는 것은 아니다.
상기 제 1 내지 제 3 트랜지스터들(TR1-TR3) 각각은 제 1 방향(d1)으로 연장하는 게이트 구조체, 상기 게이트 구조체를 사이에 두고 이격된 소스/드레인 영역들(SD), 및 상기 소스/드레인 영역들을 연결하는 채널 영역을 포함할 수 있다. 상기 게이트 구조체는 게이트 전극, 상기 게이트 전극의 측벽 및 하면을 따라 연장되는 게이트 절연막, 상기 게이트 절연막을 사이에 두고 상기 게이트 전극과 이격되는 게이트 스페이서(GS), 및 상기 게이트 전극과 상기 게이트 절연막을 덮는 게이트 캐핑 패턴(GP)을 포함할 수 있다. 상기 게이트 스페이서(GS)의 하면은 상기 게이트 절연막의 하면과 실질적으로 동일한 레벨에 위치할 수 있다. 상기 게이트 절연막의 상면 및 상기 게이트 전극의 상면은 상기 게이트 캐핑 패턴(GP)의 하면과 접할 수 있다.
상기 게이트 전극은 도전성 금속 질화물 및/또는 금속을 포함할 수 있다. 일 예로, 상기 게이트 전극은 TiN, WN 및 TaN와 같은 금속 질화물과 Ti, W, Ta와 같은 금속을 포함할 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3) 각각의 제 1 내지 제 3 게이트 전극들(GE1-GE3)은 서로 일함수가 같을 수 있다. 일 예로, 상기 제 1 내지 제 3 게이트 전극들(GE1-GE3)은 서로 동일한 물질로 형성된 층들일 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 각각 제 1 내지 제 3 게이트 절연 패턴들(GI1-GI3)을 포함할 수 있다. 상기 게이트 절연 패턴들(GI1-GI3)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 고유전막 중 적어도 하나를 포함할 수 있다. 상기 고유전막은 하프늄 산화막(HfO), 알루미늄 산화막(AlO) 또는 탄탈륨 산화막(TaO)과 같이 실리콘 산화막보다 유전상수가 클 수 있다. 상기 게이트 스페이서(GS)와 상기 게이트 캐핑 패턴(GP)은 각각 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나를 포함할 수 있다.
상기 트랜지스터 영역(TA)은 NMOSFET 영역 또는 PMOSFET 영역일 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 서로 동일한 도전형의 트랜지스터일 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 각각 제 1 내지 제 3 활성 영역들(ACT1-ACT3)을 포함할 수 있다. 상기 제 1 내지 제 3 활성 영역들(ACT1-ACT3) 각각은 제 1 내지 제 3 채널 영역들(CH1, CH2, 및 CH3) 및 상기 채널 영역을 사이에 두고 이격된 상기 소스/드레인 영역들(SD)을 포함할 수 있다. 상기 소스/드레인 영역들(SD)은 각각 그 아래의 상기 기판(100)을 씨드층으로 하여 형성된 에피택시얼 패턴들일 수 있다. 상기 트랜지스터 영역(TA)이 NMOSFET 영역인 경우, 상기 소스/드레인 영역들(SD)은 상기 제 1 내지 제 3 채널 영역들(CH1, CH2, 및 CH3)에 인장성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 상기 소스/드레인 영역들(SD)은 Si보다 격자 상수가 작은 SiC층, 또는 상기 기판(100)과 실질적으로 동일한 격자 상수를 갖는 Si층을 포함할 수 있다. 상기 트랜지스터 영역(TA)이 PMOSFET 영역인 경우, 상기 소스/드레인 영역들(SD)은 상기 제 1 내지 제 3 채널 영역들(CH1, CH2, 및 CH3)에 압축성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 상기 소스/드레인 영역들(SD)은 Si보다 격자 상수가 큰 SiGe층을 포함할 수 있다. 상기 소스/드레인 영역들(SD) 상에 층간 절연막(123)이 제공될 수 있다. 상기 게이트 구조체는 상기 층간 절연막(123) 내에 제공될 수 있다. 상기 층간 절연막(123)의 상면은 상기 게이트 캐핑 패턴(GP)의 상면과 실질적으로 공면을 이룰 수 있다. 상기 층간 절연막(123)은 실리콘 산화막 또는 실리콘 산화질화막을 포함할 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 서로 다른 문턱 전압을 가질 수 있다. 일 예로, 상기 제 3 트랜지스터(TR3)의 문턱 전압은 상기 제 1 트랜지스터(TR1)의 문턱 전압보다 크고, 상기 제 2 트랜지스터(TR2)의 문턱 전압은 상기 제 3 트랜지스터(TR3)의 문턱 전압보다 클 수 있다. 일 예로, 상기 제 2 트랜지스터(TR2)의 문턱 전압은 약 0.30V 내지 0.59V이고, 상기 제 3 트랜지스터(TR3)의 문턱 전압은 0.21V 내지 0.29V이고, 상기 제 1 트랜지스터(TR1)의 문턱 전압은 0.15V 내지 0.20V일 수 있으나, 이에 한정되지 않는다.
상기 제 1 내지 제 3 채널 영역들(CH1-CH3)의 불순물 농도는 실질적으로 동일할 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)의 게이트 절연 패턴들은 이하 제조 방법에서 설명되는 바와 같이 동일한 물질로 동시에 형성될 수 있다.
상기 제 2 채널 영역(CH2)과 상기 제 3 채널 영역(CH3) 각각은 상기 기판(100)에 수직한 방향으로 상호 이격되는 복수의 채널 부분들을 포함할 수 있다. 일 예로, 상기 제 2 채널 영역(CH2)은 3개의 제 2 채널 부분들(NS2)을 포함할 수 있고, 상기 제 3 채널 영역(CH3)은 3개의 제 3 채널 부분들(NS3)을 포함할 수 있다. 상기 제 2 및 제 3 채널 부분들(NS2, NS3) 각각의 개수는 3개에 한정되지 않는다.
상기 제 2 채널 부분들(NS2) 및 상기 제 3 채널 부분들(NS3)은 상기 기판(100)의 상면과 이격될 수 있다. 상기 제 2 채널 부분들(NS2)의 개수와 상기 제 3 채널 부분들(NS3)의 개수는 동일할 수 있다. 상기 제 2 채널 부분들(NS2) 각각과 동일 레벨에 위치하는 상기 제 3 채널 부분들(NS3) 각각은 동일 반도체층으로부터 형성되어 실질적으로 동일한 레벨에 위치하고, 동일한 두께를 가질 수 있다. 일 예로, 상기 제 2 채널 부분들(NS2) 및 상기 제 3 채널 부분들(NS3)은 Si, SiGe 및 Ge 중 적어도 하나를 포함할 수 있다. 상기 제 2 채널 부분들(NS2)은 서로 동일한 두께를 가질 수 있으나, 이에 한정되지 않는다. 마찬가지로, 상기 제 3 채널 부분들(NS3)은 서로 동일한 두께를 가질 수 있으나, 이에 한정되지 않는다.
상기 제 2 게이트 전극(GE2)은 상기 제 2 채널 부분들(NS2) 사이, 및 상기 제 2 채널 부분들(NS2) 중 상기 기판(100)에 최인접한 하나와 상기 기판(100) 사이로 연장될 수 있다. 상기 소스/드레인 영역들(SD)과 상기 제 2 채널 부분들(NS2) 사이에 배리어 절연 패턴들(106)이 제공될 수 있다. 상기 제 3 게이트 전극(GE3)은 상기 제 3 채널 부분들(NS3) 사이, 및 상기 제 3 채널 부분들(NS3) 중 상기 기판(100)에 최인접한 하나와 상기 기판(100) 사이로 연장될 수 있다. 상기 소스/드레인 영역들(SD)과 상기 제 2 채널 부분들(NS2) 사이에 배리어 절연 패턴들(106)이 제공될 수 있다. 상기 배리어 절연 패턴들(106)은 상기 제 2 채널 부분들(NS2) 또는 상기 제 3 채널 부분들(NS3)을 사이에 두고 상호 이격될 수 있다.
제 2 게이트 절연 패턴(GI2)은 상기 제 2 게이트 전극(GE2)과 상기 제 2 채널 부분들(NS2) 사이로 연장될 수 있다. 제 3 게이트 절연 패턴(GI3)은 상기 제 3 게이트 전극(GE3)과 상기 제 3 채널 부분들(NS3) 사이로 연장될 수 있다. 즉, 상기 제 2 및 제 3 트랜지스터들(TR2, TR3)은 게이트 전극에 의하여 그의 외주면이 둘러싸인 채널 영역을 포함하는 게이트-올-어라운드(Gate-All-Around)형 전계 효과 트랜지스터일 수 있다.
상기 제 3 채널 부분들(NS3) 각각의 폭은 실질적으로 동일할 수 있고, 상기 제 2 채널 부분들(NS2) 각각의 폭은 실질적으로 동일할 수 있다. 상기 제 3 채널 부분들(NS3) 각각의 상기 제 1 방향(즉, 채널 폭 방향 d1)으로의 제 3 폭(W3)은 상기 제 2 채널 부분들(NS2) 각각의 제 2 폭(W2)보다 클 수 있다. 일 예로, 상기 제 3 폭(W3)은 상기 제 2 폭(W2)의 약 1.2배 내지 3배일 수 있다. 상기 제 3 채널 부분들(NS3)의 상기 제 1 방향(d1)과 교차하는 제 2 방향(즉, 채널 길이 방향 d2)으로의 길이는 상기 제 2 채널 부분들(NS2)상기 제 2 방향(d2)으로의 길이와 실질적으로 동일할 수 있다.
상기 제 3 폭(W3)과 상기 제 2 폭(W2)의 차이에 기인하여, 상기 제 2 트랜지스터(TR2)의 문턱전압이 상기 제 3 트랜지스터(TR3)의 문턱 전압보다 클 수 있다. 즉, 나노 사이즈 레벨에서 채널 폭이 줄어드는 경우 양자 구속 효과(quantum confinement effect)에 의하여 전하의 에너지 상태가 높아져 에너지 밴드갭이 넓어질 수 있다. 그에 따라 상기 제 2 트랜지스터(TR2)의 문턱 전압이 상기 제 3 트랜지스터(TR3)의 문턱 전압보다 높아질 수 있다.
상기 제 1 트랜지스터(TR1)의 제 1 채널 영역(CH1)은 상기 기판(100)의 상면으로부터 돌출된 핀 형상을 가질 수 있다. 상기 제 1 채널 영역(CH1)은 상기 제 2 및 제 3 채널 영역들(CH2, CH3)과 동일한 물질을 포함할 수 있다. 상기 제 1 채널 영역(CH1)의 상기 제 1 방향(d1)으로의 제 1 폭(W1)은 상기 제 2 폭(W2) 보다 작거나 같을 수 있으나, 이에 한정되지 않는다. 상기 제 1 트랜지스터(TR1)의 문턱 전압은 상기 제 2 및 제 3 트랜지스터(TR2, TR3)의 문턱 전압들보다 낮을 수 있다.
본 발명의 실시예들에 따르면, 하나의 반도체 소자에 서로 다른 문턱 전압을 갖는 복수의 트랜지스터들을 제공할 수 있다. 일 예로, 채널 부분의 폭을 달리하여 문턱 전압이 서로 다른 복수의 트랜지스터를 제공할 수 있다. 또한, 기판에 수직한 방향으로 상호 이격되는 복수의 채널 부분들을 포함하는 트랜지스터와 핀 형상의 채널 부분을 포함하는 트랜지스터를 함께 제공하여 문턱 전압이 서로 다른 복수의 트랜지스터를 제공할 수 있다.
도 3a 내지 도 8a는 도 1의 A-A'선 및 B-B'선에 따른 단면도들이다. 도 3b 내지 도 8b는 도 1의 C-C'선 및 D-D'선에 따른 단면도들이다. 도 3c 내지 도 8c는 도 1의 E-E'선 및 F-F'선에 따른 단면도들이다. 이하, 도 1 및 도 3a 내지 도 8c를 참조하여 본 발명의 실시예들에 따른 반도체 소자의 제조 방법이 설명된다.
도 1, 도 3a 내지 도 3c를 참조하면, 기판(100)의 트랜지스터 영역(TA)에 희생층들(101) 및 제 1 반도체층들(102)이 교대로 반복하여 적층될 수 있다. 상기 희생층들(101) 및 상기 제 1 반도체층들(102)은 3회 반복 적층되는 것으로 도시되었으나, 이에 한정되지 않는다. 일 예로, 상기 희생층들(101)은 상기 제 1 반도체층들(102)에 대하여 식각 선택성을 갖는 물질을 포함할 수 있다. 즉, 소정의 식각 레서피를 사용하여 상기 희생층들(101)을 식각하는 공정에서, 상기 희생층들(101)은 상기 제 1 반도체층들(102)의 식각을 최소화하면서 식각될 수 있는 물질로 형성될 수 있다. 이러한 식각 선택성(etch selectivity)은 상기 제 1 반도체층들(102)의 식각 속도에 대한 상기 희생층들(101)의 식각 속도의 비율을 통해 정량적으로 표현될 수 있다. 일 실시예에 따르면, 상기 희생층들(101)은 상기 제 1 반도체층들(102)에 대하여 1:10 내지 1:200의 식각 선택비를 제공할 수 있는 물질들 중의 하나일 수 있다. 일 예로, 상기 희생층들(101)은 SiGe, Si, 및 Ge 중 하나이고, 상기 제 1 반도체층들(102)은 SiGe, Si, 및 Ge 중 다른 하나일 수 있다.
상기 희생층들(101) 및 상기 제 1 반도체층들(102)은 상기 기판(100)을 씨드층(seed layer)으로 하는 에피택시얼 성장(epitaxial growth) 공정에 의하여 형성될 수 있다. 일 예로, 상기 에피택시얼 성장 공정은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정 또는 분자 빔 에피택시(Molecular Beam Epitaxy: MBE) 공정 일 수 있다. 상기 희생층들(101) 및 상기 제 1 반도체층들(102)은 동일 챔버에서 연속적으로 형성될 수 있다. 상기 희생층들(101) 및 상기 제 1 반도체층들(102)은 상기 기판(100) 상에 선택적으로 성장(selective epitaxial growth)되지 않고 상기 기판(100)의 전면에 콘포멀하게 성장될 수 있다. 상기 희생층들(101) 및 상기 제 1 반도체층들(102)은 실질적으로 동일한 두께로 형성될 수 있으나, 이에 한정되지 않는다.
도 1, 도 4a 내지 도 4c를 참조하면, 이하 제 1 트랜지스터가 형성될 영역(이하, 제 1 트랜지스터 영역)에서 상기 희생층들(101) 및 상기 제 1 반도체층들(102)이 제거될 수 있다. 상기 희생층들(101) 및 상기 제 1 반도체층들(102)의 제거는 이하 제 2 트랜지스터가 형성될 영역(이하, 제 2 트랜지스터 영역) 및 제 3 트랜지스터가 형성될 영역(이하, 제 3 트랜지스터 영역)을 덮는 마스크 패턴들을 형성한 후, 상기 마스크 패턴을 식각 마스크로 하는 건식 및/또는 습식 식각 공정을 포함할 수 있다. 그에 따라, 상기 제 1 트랜지스터 영역의 상기 기판(100)이 노출될 수 있다.
상기 제 1 트랜지스터 영역에 제 2 반도체층(110)이 형성될 수 있다. 상기 제 2 반도체층(110)은 노출된 상기 기판(100)을 씨드로 선택적 에피택시얼 성장 공정을 수행하여 형성될 수 있다. 상기 제 2 및 제 3 트랜지스터 영역들은 상기 마스크 패턴 또는 별개의 마스크 패턴에 의하여 덮여있어 상기 에피택시얼 성장이 진행되지 않을 수 있다. 일 예로, 상기 제 2 반도체층(110)은 상기 제 1 반도체층(102)과 동일한 물질로 형성될 수 있으나, 이에 한정되지 않는다. 상기 제 2 반도체층(110)은 상기 제 2 및 제 3 트랜지스터 영역들에 형성된 구조물의 최상면 레벨까지 형성될 수 있으나, 이보다 낮은 높이로 형성될 수 있다. 상기 마스크 패턴은 이후, 애싱 공정을 통하여 제거될 수 있다.
도 1, 도 5a 내지 도 5c를 참조하면, 상기 제 2 반도체층(110)이 형성된 결과물 상에 패터닝 공정이 수행되어 상기 제 1 내지 제 3 트랜지스터 영역들에 각각 제 1 내지 제 3 예비 채널 영역들(PCH1-PCH3)이 형성될 수 있다. 상기 희생층들(101) 및 상기 제 1 반도체층들(102)은 각각 예비 희생 패턴들(103) 및 제 1 반도체 패턴들(104)이 될 수 있다. 상기 제 1 예비 채널 영역(PCH1)은 제 1 폭(W1)을 갖고 상기 기판(100)과 직접 연결될 수 있다. 상기 제 2 및 제 3 예비 채널 영역들(PCH2, PCH3)은 각각 제 2 폭(W2) 및 제 3 폭(W3)을 가질 수 있다. 상기 패터닝 공정은 마스크 패턴(미도시)을 이용한 이방성 건식 식각 공정을 포함할 수 있다. 상기 제 3 폭(W3)은 상기 제 2 폭(W2)보다 클 수 있다. 일 예로, 상기 제 3 폭(W3)은 상기 제 2 폭(W2)의 약 1.2배 내지 3배일 수 있다. 상기 제 1 폭(W1)은 상기 제 2 폭(W2) 보다 작을 수 있으나 이에 한정되지 않는다. 상기 패터닝 공정의 수행 후, 상기 제 1 내지 제 3 예비 채널 영역(PCH1-PCH3) 상에 각각 캐핑 절연막들(121)이 형성될 수 있다. 일 예로, 상기 캐핑 절연막들(121)은 열산화 공정으로 형성될 수 있다. 일 예로, 상기 제 1 예비 채널 영역(PCH1) 상의 캐핑 절연막(121)은 실리콘산화막을 포함하고, 상기 제 2 및 제 3 예비 채널 영역(PCH2, PCH3) 상의 캐핑 절연막들(121)은 실리콘-게르마늄 산화막을 포함할 수 있다. 이와는 달리, 상기 캐핑 절연막들(121)은 증착 공정으로 형성될 수 있다.
도 1, 도 6a 내지 도 6c를 참조하면, 상기 제 1 내지 제 3 트랜지스터 영역들 각각에 더미 게이트들(131)이 형성될 수 있다. 상기 더미 게이트들(131)은 제 1 방향(d1)으로 연장되는 라인 또는 바(bar) 형상일 수 있다. 상기 더미 게이트들(131) 상에 게이트 마스크 패턴들(135)이 제공될 수 있다. 상기 더미 게이트들(131) 및 상기 게이트 마스크 패턴들(135)을 형성하는 것은 상기 기판(100) 상에 더미 게이트막 및 게이트 마스크막을 순차적으로 형성하는 것, 및 이들을 순차적으로 패터닝하는 것을 포함할 수 있다. 상기 더미 게이트막은 폴리 실리콘을 포함할 수 있다. 상기 게이트 마스크막은 실리콘 질화막 또는 실리콘 산질화막을 포함할 수 있다. 상기 패터닝 공정 시, 상기 캐핑 절연막들(121)의 일부도 함께 식각될 수 있다.
상기 더미 게이트들(131)의 측벽 상에 게이트 스페이서들(GS)이 형성될 수 있다. 상기 게이트 스페이서들(GS)은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나를 포함할 수 있다. 상기 게이트 스페이서들(GS)은 CVD 또는 ALD와 같은 증착 공정으로 스페이서층을 형성한 후, 이방성 식각 공정을 수행하여 형성될 수 있다.
상기 게이트 마스크 패턴들(135) 및 상기 게이트 스페이서들(GS)을 식각 마스크로 상기 예비 채널 영역들(PCH1-PCH3)을 패터닝할 수 있다. 상기 패터닝 공정에 의하여 상기 제 1 트랜지스터 영역에 제 1 채널 영역(CH1)이 형성될 수 있다. 상기 패터닝 공정에 의하여 상기 제 2 예비 채널 영역(PCH2)이 패터닝될 수 있다. 그 결과, 상기 제 2 예비 채널 영역(PCH2)의 상기 예비 희생 패턴들(103) 및 상기 제 1 반도체 패턴들(104)은 각각 희생 패턴들(105) 및 제 2 채널 부분들(NS2)이 될 수 있다. 또한, 상기 제 3 예비 채널 영역(PCH3)의 상기 예비 희생 패턴들(103) 및 상기 제 1 반도체 패턴들(104)은 각각 희생 패턴들(105) 및 제 3 채널 부분들(NS3)이 될 수 있다.
제 2 방향(d2)으로, 상기 제 2 채널 부분들(NS2)의 길이는 상기 제 3 채널 부분들(NS3)의 길이와 실질적으로 동일할 수 있다. 상기 제 2 방향(d2)으로 상기 제 1 채널 영역(CH1)의 길이는 상기 제 2 및 제 3 채널 부분들(NS2, NS3)의 길이들과 실질적으로 동일할 수 있다.
상기 희생 패턴들(105)의 일부가 수평적으로 제거되어 리세스 영역들(RS)이 형성될 수 있다. 상기 리세스 영역들(RS)의 형성은 상기 희생 패턴들(105)에 대하여 식각 선택성 있는 식각 소스로 수행될 수 있다. 일 예로, 상기 제 1 채널 영역(CH1), 상기 제 2 및 제 3 채널 부분들(NS2, NS3)이 Si를 포함하고, 상기 희생 패턴들(105)이 SiGe를 포함하는 경우, 상기 리세스 영역들(RS)의 형성은 과초산(peracetic acid)을 포함하는 식각액을 사용하여 수행될 수 있다.
상기 리세스 영역들(RS) 각각에 배리어 절연 패턴들(106)이 형성될 수 있다. 상기 배리어 절연 패턴들(106)은 상기 제 2 채널 부분들(NS2) 또는 상기 제 3 채널 부분들(NS3)을 사이에 두고 서로 이격될 수 있다. 상기 배리어 절연 패턴들(106)은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나를 포함할 수 있다. 상기 배리어 절연 패턴들(106)의 형성은 상기 리세스 영역들(RS)이 형성된 결과물 상에 절연막을 콘포멀하게 형성한 후, 이방성 식각 공정을 수행하는 것을 포함할 수 있다.
도 1, 도 7a 내지 도 7c를 참조하면, 상기 더미 게이트들(131) 각각의 양 측에 소스/드레인 영역들(SD)이 형성될 수 있다. 상기 소스/드레인 영역들(SD)은 각각 그 아래의 상기 기판(100)을 씨드층으로 하는 선택적 에피택시얼 공정에 의하여 형성될 수 있다. 상기 트랜지스터 영역(TA)이 NMOSFET 영역인 경우, 상기 소스/드레인 영역들(SD)은 채널 영역에 인장성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 상기 소스/드레인 영역들(SD)은 Si보다 격자 상수가 작은 SiC층, 또는 상기 기판(100)과 실질적으로 동일한 격자 상수를 갖는 Si층을 포함할 수 있다. 상기 트랜지스터 영역(TA)이 PMOSFET 영역인 경우, 상기 소스/드레인 영역들(SD)은 채널 영역에 압축성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 상기 소스/드레인 영역들(SD)은 Si보다 격자 상수가 큰 SiGe층을 포함할 수 있다.
도 1, 도 8a 내지 도 8c를 참조하면, 상기 기판(100) 상에 층간 절연막(123)이 형성될 수 있다. 이어서, 상기 더미 게이트들(131)의 상면들이 노출될 때까지 상기 층간 절연막(123)을 평탄화하는 공정이 수행될 수 있다. 평탄화 공정은 에치백(etch back) 및/또는 CMP(chemical mechanical polishing) 공정을 포함할 수 있다. 상기 층간 절연막(123)을 평탄화할 때, 상기 게이트 마스크 패턴들(135)이 함께 제거될 수 있다. 일 예로, 상기 층간 절연막(123)은 실리콘 산화막 또는 실리콘 산화질화막을 포함할 수 있다.
상기 평탄화 공정에 의하여 노출된 상기 더미 게이트들(131)이 선택적으로 제거될 수 있다. 상기 캐핑 절연막들(121)은 상기 더미 게이트들(131)의 제거와 동시에 또는 별개로 제거될 수 있다. 상기 더미 게이트들(131)의 제거에 의하여 상기 제 1 채널 영역(CH1), 상기 제 2 및 제 3 예비 채널 영역들(PCH2, PCH3)의 상면이 노출될 수 있다.
상기 제 2 및 제 3 예비 채널 영역들(PCH2, PCH3)로부터 상기 희생 패턴들(105)이 선택적으로 제거될 수 있다. 일 예로, 상기 희생 패턴들(105)이 SiGe을 포함하고, 상기 제 2 및 제 3 채널 부분들(NS2, NS3)이 Si를 포함하는 경우, 상기 선택적 식각 공정은 과초산(peracetic acid)을 포함하는 식각액을 사용하여 수행될 수 있다. 상기 식각액은 불산(HF) 수용액 및 순수(deionized water)을 더 포함할 수 있다. 상기 제 1 채널 영역(CH1)은 상기 제 2 및 제 3 채널 부분들(NS2, NS3)과 동일한 물질을 포함할 수 있다. 상기 소스/드레인 영역들(SD)은 상기 배리어 절연 패턴들(106)에 의하여 커버되어 보호될 수 있다.
상기 더미 게이트들(131) 및 상기 희생 패턴들(105)의 제거에 의하여 상기 제 1 내지 제 3 트랜지스터 영역에 각각 제 1 내지 제 3 트렌치들(TC1-TC3)이 형성될 수 있다. 상기 제1 트렌치(TC1)는 상기 제 1 채널 영역(CH1)의 상면과 상기 게이트 스페이서(GS)에 의하여 정의된 영역일 수 있다. 상기 제 2 트렌치(TC2)는 상기 제 2 채널 부분들(NS2), 상기 게이트 스페이서(GS), 및 상기 소스/드레인 영역들(SD)에 의하여 정의될 수 있다. 상기 제 2 트렌치(TC2)는 상기 제 2 채널 부분들(NS2) 사이, 및 상기 제 2 채널 부분들(NS2) 중 최하층과 상기 기판(100) 사이로 연장될 수 있다. 상기 제 3 트렌치(TC3)는 상기 제 3 채널 부분들(NS3), 상기 게이트 스페이서(GS), 및 상기 소스/드레인 영역들(SD)에 의하여 정의될 수 있다. 상기 제 3 트렌치(TC3)는 상기 제 3 채널 부분들(NS3) 사이, 및 상기 제 3 채널 부분들(NS3) 중 최하층과 상기 기판(100) 사이로 연장될 수 있다. 이하, 상기 제 2 채널 부분들(NS2)은 제 2 채널 영역(CH2)으로 지칭되고, 상기 제 3 채널 부분들(NS3)은 제 3 채널 영역(CH3)으로 지칭될 수 있다.
도 1, 도 2a 내지 도 2c를 다시 참조하면, 상기 트렌치들(TC1-TC3) 각각 내에 게이트 절연막 및 게이트 전극이 형성될 수 있다. 일 예로, 상기 제 1 트렌치(TC1) 내에는 제 1 게이트 절연 패턴(GI1) 및 제 1 게이트 전극(GE1)이 형성되고, 상기 제 2 트렌치(TC2) 내에는 제 2 게이트 절연 패턴(GI2) 및 제 2 게이트 전극(GE2)이 형성되고, 상기 제 3 트렌치(TC3) 내에는 제 3 게이트 절연 패턴(GI3) 및 제 3 게이트 전극(GE3)이 형성될 수 있다. 구체적으로, 상기 트렌치들(TC1-TC3) 내에 게이트 절연막 및 게이트 도전막을 차례로 형성한 후, 평탄화 공정을 수행하여 상기 트렌치들(TC1-TC3) 각각 내에 게이트 절연 패턴 및 게이트 전극을 형성할 수 있다. 일 예로, 상기 게이트 절연막은 실리콘 산화막, 실리콘 산화질화막, 및 실리콘 산화막보다 유전상수가 높은 고유전막 중 적어도 하나로 형성될 수 있다. 일 예로, 상기 게이트 도전막은 도핑된 반도체, 도전성 금속 질화물 및 금속 중 적어도 하나로 형성될 수 있다.
상기 제 2 게이트 절연 패턴(GI2) 및 상기 제 2 게이트 전극(GE2)은 상기 제 2 채널 부분들(NS2) 사이 및 상기 제 2 채널 부분들(NS2) 중 최하층과 상기 기판(100) 사이로 연장될 수 있다. 상기 제 3 게이트 절연 패턴(GI3) 및 상기 제 3 게이트 전극(GE3)은 상기 제 3 채널 부분들(NS3) 사이 및 상기 제 3 채널 부분들(NS3) 중 최하층과 상기 기판(100) 사이로 연장될 수 있다.
상기 게이트 절연 패턴들(GI1-GI3) 및 상기 게이트 전극들(GE1-GE3)의 상부를 리세스하고, 리세스된 영역에 캐핑 패턴들(GP)이 각각 형성될 수 있다. 일 예로, 상기 캐핑 패턴들(GP)은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나로 형성될 수 있다.
도 9는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 10a는 도 9의 A-A'선 및 B-B'선에 따른 단면도이다. 도 10b는 도 9의 C-C'선 및 D-D'선에 따른 단면도이다. 도 10c는 도 9의 E-E'선 및 F-F'선에 따른 단면도이다. 설명의 간소화를 위하여 중복된 구성에 대한 설명은 생략될 수 있다.
도 9 및 도 10a 내지 10c를 참조하면, 기판(100) 상에 제 1 내지 제 3 트랜지스터들(TR1, TR2, 및 TR3)이 제공될 수 있다. 상기 기판(100)은 트랜지스터 영역(TA)을 포함할 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 서로 동일한 도전형의 트랜지스터일 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 각각 제 1 내지 제 3 활성 영역들(ACT1-ACT3)을 포함할 수 있다. 상기 제 1 내지 제 3 활성 영역들(ACT1-ACT3) 각각은 제 1 내지 제 3 채널 영역들(CH1, CH2, 및 CH3) 및 상기 채널 영역을 사이에 두고 이격된 상기 소스/드레인 영역들(SD)을 포함할 수 있다. 상기 소스/드레인 영역들(SD)은 각각 그 아래의 상기 기판(100)을 씨드층으로 하여 형성된 에피택시얼 패턴들일 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 서로 다른 문턱 전압을 가질 수 있다. 일 예로, 상기 제 2 트랜지스터(TR2)의 문턱 전압은 상기 제 3 트랜지스터(TR3)의 문턱 전압보다 크고, 상기 제 1 트랜지스터(TR1)의 문턱 전압은 상기 제 2 트랜지스터(TR2)의 문턱 전압보다 클 수 있다. 일 예로, 상기 제 1 트랜지스터(TR1)의 문턱 전압은 약 0.30V 내지 0.59V이고, 상기 제 2 트랜지스터(TR2)의 문턱 전압은 0.21V 내지 0.29V이고, 상기 제 3 트랜지스터(TR3)의 문턱 전압은 0.15V 내지 0.20V일 수 있으나, 이에 한정되지 않는다.
상기 제 1 내지 제 3 채널 영역들(CH1-CH3)의 불순물 농도는 실질적으로 동일할 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)의 게이트 절연막들은 이하 제조 방법에서 설명되는 바와 같이 동일한 물질로 동시에 형성될 수 있다.
상기 제 1 내지 제 3 채널 영역들(CH1-CH3) 각각은 상기 기판(100)에 수직한 방향으로 상호 이격되는 복수의 채널 부분들을 포함할 수 있다. 일 예로, 상기 제 1 채널 영역(CH1)은 3개의 제 1 채널 부분들(NS1)을 포함할 수 있고, 상기 제 2 채널 영역(CH2)은 3개의 제 2 채널 부분들(NS2)을 포함할 수 있고, 상기 제 3 채널 영역(CH3)은 3개의 제 3 채널 부분들(NS3)을 포함할 수 있다. 상기 제 1 내지 3 채널 부분들(NS1-NS3) 각각의 개수는 3개에 한정되지 않는다. 상기 제 1 내지 3 채널 부분들(NS1-NS3) 각각의 개수는 동일할 수 있으나 이에 한정되지 않는다. 상기 제 1 내지 3 채널 부분들(NS1-NS3)은 상기 기판(100)의 상면과 이격될 수 있다. 상기 제 1 내지 제 3 채널 부분들(NS1-NS3)은 동일 물질로 형성될 수 있다. 일 예로, 상기 제 1 내지 제 3 채널 부분들(NS1-NS3)은 Si, SiGe 및 Ge 중 적어도 하나를 포함할 수 있다. 상기 제 1 게이트 전극(GE1)은 상기 제 1 채널 부분들(NS1) 사이, 및 상기 제 1 채널 부분들(NS1) 중 상기 기판(100)에 최인접한 하나와 상기 기판(100) 사이로 연장될 수 있다. 소스/드레인 영역들(SD)과 상기 제 1 채널 부분들(NS1) 사이에 배리어 절연 패턴들(106)이 제공될 수 있다. 상기 배리어 절연 패턴들(106)은 상기 제 1 채널 부분들(NS1)을 사이에 두고 상호 이격될 수 있다.
상기 제 1 채널 부분들(NS1) 각각의 상기 제 1 방향(d1)으로의 제 4 폭(W4)은 상기 제 2 채널 부분들(NS2) 각각의 제 2 폭(W2)보다 작을 수 있다. 일 예로, 상기 제 2 폭(W2)은 상기 제 4 폭(W4)의 약 1.2배 내지 3배 일 수 있다. 상기 제 3 채널 부분들(NS3) 각각의 상기 제 1 방향(d1)으로의 제 3 폭(W3)은 상기 제 2 채널 부분들(NS2) 각각의 제 2 폭(W2)보다 클 수 있다. 일 예로, 상기 제 3 폭(W3)은 상기 제 2 폭(W2)의 약 1.2배 내지 3배일 수 있다. 상기 제 1 내지 제 3 채널 부분들(NS1-NS3) 각각의 제 2 방향(d2)으로의 길이는 실질적으로 동일할 수 있다.
상기 제 2 내지 제 4 폭(W2-W4)의 차이에 기인하여, 상기 제 1 트랜지스터(TR1)의 문턱 전압이 상기 제 2 트랜지스터(TR2)의 문턱 전압보다 크고, 상기 제 2 트랜지스터(TR2)의 문턱 전압이 상기 제 3 트랜지스터(TR3)의 문턱 전압보다 클 수 있다.
본 발명의 실시예들에 따르면, 하나의 반도체 소자에 서로 다른 문턱 전압을 갖는 복수의 트랜지스터들을 제공할 수 있다. 일 예로, 채널 부분의 폭을 달리하여 문턱 전압이 서로 다른 복수의 트랜지스터를 제공할 수 있다.
도 11a 내지 도 14a는 도 9의 A-A'선 및 B-B'선에 따른 단면도들이다. 도 11b 내지 도 14b는 도 9의 C-C'선 및 D-D'선에 따른 단면도들이다. 도 11c 내지 도 14c는 도 9의 E-E'선 및 F-F'선에 따른 단면도들이다. 이하, 도 9 및 도 11a 내지 도 14c를 참조하여 본 발명의 실시예들에 따른 반도체 소자의 제조 방법이 설명된다.
도 9, 도 11a 내지 도 11c를 참조하면, 도 3a 내지 도 3c를 참조하여 설명된 결과물 상에 패터닝 공정이 수행되어 제 1 내지 제 3 트랜지스터 영역들에 각각 제 1 내지 제 3 예비 채널 영역들(PCH1-PCH3)이 형성될 수 있다. 도 3a 내지 도 3c의 희생층들(101) 및 제 1 반도체층들(102)은 각각 예비 희생 패턴들(103) 및 제 1 반도체 패턴들(104)이 될 수 있다. 상기 제 1 내지 제 3 예비 채널 영역들(PCH1)은 서로 다른 폭을 가질 수 있다. 일 예로, 상기 제 1 예비 채널 영역(PCH1)은 제 4 폭(W4)을 갖고, 상기 제 2 및 제 3 예비 채널 영역들(PCH2, PCH3)은 각각 제 2 및 제 3 폭(W2, W4)을 가질 수 있다. 상기 제 3 폭(W3)은 상기 제 2 폭(W2)보다 클 수 있다. 일 예로, 상기 제 3 폭(W3)은 상기 제 2 폭(W2)의 약 1.2배 내지 3배일 수 있다. 상기 제 2 폭(W2)은 상기 제 4 폭(W4)보다 클 수 있다. 일 예로, 상기 제 2 폭(W2)은 상기 제 4 폭(W4)의 약 1.2배 내지 3배일 수 있다. 상기 패터닝 공정의 수행 후, 상기 제 1 내지 제 3 예비 채널 영역(PCH1-PCH3) 상에 각각 캐핑 절연막들(121)이 형성될 수 있다.
도 9, 도 12a 내지 도 12c를 참조하면, 상기 제 1 내지 제 3 트랜지스터 영역들 각각에 더미 게이트들(131)이 형성될 수 있다. 상기 더미 게이트들(131)은 제 1 방향(d1)으로 연장되는 라인 또는 바(bar) 형상일 수 있다. 상기 더미 게이트들(131) 상에 게이트 마스크 패턴들(135)이 제공될 수 있다. 상기 더미 게이트막은 폴리 실리콘을 포함할 수 있다. 상기 게이트 마스크막은 실리콘 질화막 또는 실리콘 산질화막을 포함할 수 있다. 상기 패터닝 공정 시, 상기 캐핑 절연막들(121)의 일부도 함께 식각될 수 있다. 상기 더미 게이트들(131)의 측벽 상에 게이트 스페이서들(GS)이 형성될 수 있다. 상기 게이트 스페이서들(GS)은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나를 포함할 수 있다.
상기 게이트 마스크 패턴들(135) 및 상기 게이트 스페이서들(GS)을 식각 마스크로 상기 예비 채널 영역들(PCH1-PCH3)을 패터닝할 수 있다. 그 결과, 제 1 내지 제 3 채널 부분들(NS1-NS3) 및 희생 패턴들(105)이 형성될 수 있다. 상기 희생 패턴들(105)의 일부가 수평적으로 제거되어 리세스 영역들(RS)이 형성될 수 있다. 상기 리세스 영역들(RS) 각각에 배리어 절연 패턴들(106)이 형성될 수 있다.
도 9, 도 13a 내지 도 13c를 참조하면, 상기 더미 게이트들(131) 각각의 양 측에 소스/드레인 영역들(SD)이 형성될 수 있다. 상기 소스/드레인 영역들(SD)은 각각 그 아래의 상기 기판(100)을 씨드층으로 하는 선택적 에피택시얼 공정에 의하여 형성될 수 있다. 상기 트랜지스터 영역(TA)이 NMOSFET 영역인 경우, 상기 소스/드레인 영역들(SD)은 채널 영역에 인장성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 상기 소스/드레인 영역들(SD)은 Si보다 격자 상수가 작은 SiC층, 또는 상기 기판(100)과 실질적으로 동일한 격자 상수를 갖는 Si층을 포함할 수 있다. 상기 트랜지스터 영역(TA)이 PMOSFET 영역인 경우, 상기 소스/드레인 영역들(SD)은 채널 영역에 압축성 스트레인을 제공하는 물질을 포함할 수 있다. 일 예로, 상기 소스/드레인 영역들(SD)은 Si보다 격자 상수가 큰 SiGe층을 포함할 수 있다.
도 9, 도 14a 내지 도 14c를 참조하면, 상기 기판(100) 상에 층간 절연막(123)이 형성될 수 있다. 이어서, 상기 더미 게이트들(131)의 상면들이 노출될 때까지 상기 층간 절연막(123)을 평탄화하는 공정이 수행될 수 있다. 평탄화 공정은 에치백(etch back) 및/또는 CMP(chemical mechanical polishing) 공정을 포함할 수 있다. 상기 층간 절연막(123)을 평탄화할 때, 상기 게이트 마스크 패턴들(135)이 함께 제거될 수 있다. 일 예로, 상기 층간 절연막(123)은 실리콘 산화막 또는 실리콘 산화질화막을 포함할 수 있다.
상기 평탄화 공정에 의하여 노출된 상기 더미 게이트들(131)이 선택적으로 제거될 수 있다. 상기 캐핑 절연막들(121)은 상기 더미 게이트들(131)의 제거와 동시에 또는 별개로 제거될 수 있다. 상기 더미 게이트들(131)의 제거에 의하여 상기 제 1 내지 3 예비 채널 영역들(PCH1- PCH3)의 상면이 노출될 수 있다.
상기 제 1 내지 제 3 예비 채널 영역들(PCH1-PCH3)로부터 상기 희생 패턴들(105)이 선택적으로 제거될 수 있다. 일 예로, 상기 희생 패턴들(105)이 SiGe을 포함하고, 상기 제 2 및 제 3 채널 부분들(NS2, NS3)이 Si를 포함하는 경우, 상기 선택적 식각 공정은 과초산(peracetic acid)을 포함하는 식각액을 사용하여 수행될 수 있다. 상기 식각액은 불산(HF) 수용액 및 순수(deionized water)을 더 포함할 수 있다. 상기 소스/드레인 영역들(SD)은 상기 배리어 절연 패턴들(106)에 의하여 커버되어 보호될 수 있다. 상기 더미 게이트들(131) 및 상기 희생 패턴들(105)의 제거에 의하여 상기 제 1 내지 제 3 트랜지스터 영역에 각각 제 1 내지 제 3 트렌치들(TC1-TC3)이 형성될 수 있다.
도 9, 도 10a 내지 도 10c를 다시 참조하면, 상기 트렌치들(TC1-TC3) 각각 내에 게이트 절연막 및 게이트 전극이 형성될 수 있다. 일 예로, 상기 제 1 트렌치(TC1) 내에는 제 1 게이트 절연 패턴(GI1) 및 제 1 게이트 전극(GE1)이 형성되고, 상기 제 2 트렌치(TC2) 내에는 제 2 게이트 절연 패턴(GI2) 및 제 2 게이트 전극(GE2)이 형성되고, 상기 제 3 트렌치(TC3) 내에는 제 3 게이트 절연 패턴(GI3) 및 제 3 게이트 전극(GE3)이 형성될 수 있다. 구체적으로, 상기 트렌치들(TC1-TC3) 내에 게이트 절연막 및 게이트 도전막을 차례로 형성한 후, 평탄화 공정을 수행하여 상기 트렌치들(TC1-TC3) 각각 내에 게이트 절연 패턴 및 게이트 전극을 형성할 수 있다. 상기 게이트 절연 패턴들(GI1-GI3) 및 상기 게이트 전극들(GE1-GE3)의 상부를 리세스하고, 리세스된 영역에 캐핑 패턴들(GP)이 각각 형성될 수 있다. 일 예로, 상기 캐핑 패턴들(GP)은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나로 형성될 수 있다.
도 15는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 16a는 도 15의 A-A'선 및 B-B'선에 따른 단면도이다. 도 16b는 도 15의 C-C'선 및 D-D'선에 따른 단면도이다. 도 16c는 도 15의 E-E'선 및 F-F'선에 따른 단면도이다. 설명의 간소화를 위하여 중복된 구성에 대한 설명은 생략될 수 있다.
도 15 및 도 16a 내지 16c를 참조하면, 기판(100) 상에 제 1 내지 제 3 트랜지스터들(TR1, TR2, 및 TR3)이 제공될 수 있다. 상기 기판(100)은 트랜지스터 영역(TA)을 포함할 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 서로 동일한 도전형의 트랜지스터일 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 각각 제 1 내지 제 3 활성 영역들(ACT1-ACT3)을 포함할 수 있다. 상기 제 1 내지 제 3 활성 영역들(ACT1-ACT3) 각각은 제 1 내지 제 3 채널 영역들(CH1, CH2, 및 CH3) 및 상기 채널 영역들을 사이에 두고 이격된 상기 소스/드레인 영역들(SD)을 포함할 수 있다. 상기 소스/드레인 영역들(SD)은 각각 그 아래의 상기 기판(100)을 씨드층으로 하여 형성된 에피택시얼 패턴들일 수 있다.
상기 제 2 채널 영역(CH2)과 상기 제 3 채널 영역(CH3) 각각은 상기 기판(100)에 수직한 방향으로 상호 이격되는 복수의 채널 부분들을 포함할 수 있다. 일 예로, 상기 제 2 채널 영역(CH2)은 3개의 제 2 채널 부분들(NS2)을 포함할 수 있고, 상기 제 3 채널 영역(CH3)은 3개의 제 3 채널 부분들(NS3)을 포함할 수 있다. 상기 제 2 및 제 3 채널 부분들(NS2, NS3) 각각의 개수는 3개에 한정되지 않는다. 상기 제 2 채널 부분들(NS2)의 제 1 방향(d1)으로의 제 5 폭(W5)과 상기 제 3 채널 부분들(NS3)의 제 1 방향(d1)으로의 제 6 폭(W6)은 실질적으로 동일할 수 있다. 이와는 달리, 상기 제 2 채널 부분들(NS2)의 제 1 방향(d1)으로의 제 5 폭(W5)과 상기 제 3 채널 부분들(NS3)의 제 1 방향(d1)으로의 제 6 폭(W6)은 다를 수 있다.
상기 제 1 트랜지스터(TR1)의 제 1 채널 영역(CH1)은 상기 기판(100)의 상면으로부터 돌출된 핀 형상을 가질 수 있다. 상기 제 1 채널 영역(CH1)은 상기 제 2 및 제 3 채널 영역들(CH2, CH3)과 동일한 물질을 포함할 수 있다. 상기 제 1 채널 영역(CH1)의 상기 제 1 방향(d1)으로의 제 1 폭(W1)은 상기 제 5 폭(W5) 보다 작거나 같을 수 있으나, 이에 한정되지 않는다.
상기 제 1 내지 제 3 트랜지스터들(TR1-TR3) 각각은 제 1 내지 제 3 게이트 전극들(GE1-GE3)을 포함할 수 있다. 상기 제 1 게이트 전극(GE1)과 상기 제 2 게이트 전극(GE2)은 동일한 물질로 형성될 수 있다. 일 예로, 상기 제 1 게이트 전극(GE1)과 상기 제 2 게이트 전극(GE2)은 TiN, TiAlN, 및 TiAlC 중 하나를 포함할 수 있다. 상기 제 3 게이트 전극(GE3)는 상기 제 1 및 제 2 게이트 전극들(GE1, GE2)과 일 함수가 다른 물질로 형성될 수 있다. 일 예로, 상기 제 3 게이트 전극(GE3)은 TiN, TiAlN, 및 TiAlC 중 다른 하나를 포함할 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1-TR3)은 서로 다른 문턱 전압을 가질 수 있다. 일 예로, 상기 제 3 트랜지스터(TR3)의 문턱 전압은 상기 제 2 트랜지스터(TR2)의 문턱 전압보다 크고, 상기 제 2 트랜지스터(TR2)의 문턱 전압은 상기 제 1 트랜지스터(TR1)의 문턱 전압보다 클 수 있다. 상기 제 3 게이트 전극(GE3)의 일함수는 상기 제 2 게이트 전극(GE2)의 일 함수와 다르고, 그에 따라 상기 제 2 트랜지스터(TR2)의 문턱 전압은 상기 제 3 트랜지스터(TR3)와 다를 수 있다. 일 예로, 상기 제 3 트랜지스터(TR3)의 문턱 전압은 약 0.30V 내지 0.59V이고, 상기 제 2 트랜지스터(TR2)의 문턱 전압은 0.21V 내지 0.29V이고, 상기 제 1 트랜지스터(TR1)의 문턱 전압은 0.15V 내지 0.20V일 수 있으나, 이에 한정되지 않는다.
도 17a는 도 15의 A-A'선 및 B-B'선에 따른 단면도이다. 도 17b는 도 15의 C-C'선 및 D-D'선에 따른 단면도이다. 도 17c는 도 15의 E-E'선 및 F-F'선에 따른 단면도이다. 이하, 도 15 및 도 17a 내지 도 17c를 참조하여 본 발명의 실시예들에 따른 반도체 소자의 제조 방법이 설명된다.
도 15, 도 17a 내지 도 17c를 참조하면, 도 4a 내지 도 4c를 참조하여 설명된 결과물 상에 패터닝 공정이 수행되어 제 1 내지 제 3 트랜지스터 영역들에 각각 제 1 내지 제 3 예비 채널 영역들(PCH1-PCH3)이 형성될 수 있다. 도 4a 및 도 4c의 희생층들(101) 및 제 1 반도체층들(102)은 각각 예비 희생 패턴들(103) 및 제 1 반도체 패턴들(104)이 될 수 있다.
도 4a의 제 2 반도체층(110)은 제 1 폭(W1)을 가지도록 패터닝될 수 있다. 상기 제 2 및 제 3 예비 채널 영역들(PCH2, PCH3)은 동일한 폭을 가질 수 있다. 일 예로, 상기 제 2 예비 채널 영역(PCH2)은 제 5 폭(W5)을 갖고, 상기 제 3 예비 채널 영역(PCH3)은 제 6 폭(W6)을 가질 수 있다. 상기 제 5 폭(W5)과 상기 제 6 폭(W6)은 실질적으로 동일할 수 있다. 이와는 달리, 상기 제 5 폭(W5)과 상기 제 6 폭(W6)은 다를 수 있다. 상기 패터닝 공정의 수행 후, 상기 제 1 채널 영역(CH1) 및 상기 제 2 및 제 3 예비 채널 영역들(PCH2, PCH3) 상에 각각 캐핑 절연막들(121)이 형성될 수 있다.
이하, 도 6a 내지 6c, 도 7a 내지 도 7c, 도 8a 내지 도 8c와 동일한 공정이 수행될 수 있다.
도 15, 도 16a 내지 도 16c를 다시 참조하여, 제 1 내지 제 3 게이트 절연 패턴들(GI1-GI3) 및 제 1 내지 제 3 게이트 전극들(GE1-GE3)이 형성될 수 있다. 상기 제 1 게이트 전극(GE1)과 상기 제 2 게이트 전극(GE2)은 동일한 물질로 동시에 형성되어 동일한 일함수를 가질 수 있다. 상기 제 3 게이트 전극(GE3)은 상기 제 1 및 제 2 게이트 전극들(GE1, GE2)과 다른 일함수를 갖는 물질로 형성될 수 있다. 일 예로, 상기 제 1 및 제 2 게이트 전극들(GE1, GE2)은 TiN, TiAlN, 및 TiAlC 중 하나를 포함할 수 있고, 상기 제 3 게이트 전극(GE3)은 TiN, TiAlN, 및 TiAlC 중 다른 하나를 포함할 수 있다. 일 예로, 상기 제 3 게이트 전극(GE3)은 상기 제 1 및 제 2 게이트 전극들(GE1, GE2)을 형성하는 동안 제 3 트랜지스터 영역을 절연막으로 마스킹하고, 상기 절연막을 제거 후에 형성될 수 있다.
도 18은 본 발명의 실시예들에 따른 채널 영역의 형상을 설명하기 위한 단면도이다. 채널 영역(CH)은 수직적으로 이격된 채널 부분들(NS)을 포함할 수 있다. 상기 채널 부분들(NS) 외주면은 모서리가 라운드된 형상을 가질 수 있다. 이와 같은 채널 부분들(NS)의 형상은 표면 가공 처리를 통하여 형성될 수 있다. 일예로, 상기 표면 가공 처리는 채널 부분들(NS)의 표면을 HCl을 포함하는 가스에 노출시키는 공정 및 H2 분위기에서 어닐링하는 것을 포함할 수 있다.
도 19는 본 발명의 실시예들에 트랜지스터들을 포함하는 포함하는 시모스 에스램 셀(CMOS RAM cell)의 등가 회로도이다. 도 19를 참조하여, 상기 시모스 에스램 셀은 한 쌍의 구동 트랜지스터들(driver transistors: TD1, TD2), 한 쌍의 전송 트랜지스터들(transfer transistors: TT1, TT2), 및 한 쌍의 부하 트랜지스터들(load transistors: TL1, TL2)을 포함할 수 있다. 상기 구동 트랜지스터들(TD1, TD2)은 풀다운 트랜지스터(pull-down transistor)일 수 있고, 상기 전송 트랜지스터들(TT1, TT2)은 패스 트랜지스터(pass transistor)일 수 있고, 상기 부하 트랜지스터들(TL1, TL2)은 풀업 트랜지스터(pull-up transistor)일 수 있다. 상기 구동 트랜지스터들(TD1, TD2) 및 상기 전송 트랜지스터들(TT1, TT2)은 NMOS 트랜지스터들일 수 있고, 상기 부하 트랜지스터들(TL1, TL2)은 PMOS 트랜지스터들일 수 있다.
상기 제 1 구동 트랜지스터(TD1)와 상기 제 1 전송 트랜지스터(TT1)는 서로 직렬로 연결될 수 있다. 상기 제 1 구동 트랜지스터(TD1)의 소스 영역은 접지선(Vss)에 전기적으로 연결되고, 상기 제 1 전송 트랜지스터(TT1)의 드레인 영역은 제 1 비트 라인(BL1)에 전기적으로 연결될 수 있다. 상기 제 2 구동 트랜지스터(TD2)와 상기 제 2 전송 트랜지스터(TT2)는 직렬로 연결될 수 있다. 상기 제 2 구동 트랜지스터(TD2)의 소스 영역은 상기 접지선(Vss)에 전기적으로 연결되고, 상기 제 2 전송 트랜지스터(TT2)의 드레인 영역은 제 2 비트 라인(BL2)에 전기적으로 연결될 수 있다.
상기 제 1 부하 트랜지스터(TL1)의 소스 영역 및 드레인 영역은 각각 전원선(Vcc) 및 상기 제 1 구동 트랜지스터(TD1)의 드레인 영역에 전기적으로 연결될 수 있다. 상기 제 2 부하 트랜지스터(TL2)의 소스 영역 및 드레인 영역은 상기 전원선(Vcc) 및 상기 제 2 구동 트랜지스터(TD2)의 드레인 영역에 전기적으로 연결될 수 있다. 상기 제 1 부하 트랜지스터(TL1)의 드레인 영역, 상기 제 1 구동 트랜지스터(TD1)의 드레인 영역 및 상기 제 1 전송 트랜지스터(TT1)의 소스 영역은 제 1 노드(N1)에 해당한다. 상기 제 2 부하 트랜지스터(TL2)의 드레인 영역, 상기 제 2 구동 트랜지스터(TD1)의 드레인 영역 및 상기 제 2 전송 트랜지스터(TT2)의 소스 영역은 제 2 노드(N2)에 해당한다. 상기 제 1 구동 트랜지스터(TD1)의 게이트 전극 및 상기 제 1 부하 트랜지스터(TL1)의 게이트 전극은 상기 제 2 노드(N2)에 전기적으로 연결되고, 상기 제 2 구동 트랜지스터(TD2)의 게이트 전극 및 상기 제 2 부하 트랜지스터(TL2)의 게이트 전극은 상기 제 1 노드(N1)에 전기적으로 연결될 수 있다. 상기 제 1 및 제 2 전송 트랜지스터들(TT1, TT2)의 게이트 전극들은 워드라인(WL)에 전기적으로 연결될 수 있다. 상기 제 1 구동 트랜지스터(TD1), 상기 제 1 전송 트랜지스터(TT1), 및 상기 제 1 부하 트랜지스터(TL1)는 제 1 하프 셀(H1)을 구성하고, 상기 제 2 구동 트랜지스터(TD2), 상기 제 2 전송 트랜지스터(TT2), 및 상기 제 2 부하 트랜지스터(TL2)는 제 2 하프 셀(H2)을 구성할 수 있다.
상기 구동 트랜지스터들(TD1, TD2), 상기 전송 트랜지스터들(TT1, TT2), 및 부하 트랜지스터들(TL1, TL2) 중 적어도 세개는 본 발명의 실시예들에 따른 서로 다른 문턱 전압을 갖는 제 1 내지 제 3 트랜지스터들로 구성될 수 있다.
이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시 예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.

Claims (20)

  1. 기판 상에 제공되고 제 1 방향으로 연장하는 게이트 구조체, 상기 게이트 구조체를 사이에 두고 이격된 소스/드레인 영역들, 상기 소스/드레인 영역들을 연결하는 채널 영역을 각각 포함하고 서로 다른 문턱 전압을 갖는 제 1 내지 제 3 트랜지스터들을 포함하고,
    상기 제 2 및 제 3 트렌지스터들의 채널 영역들 각각은 상기 기판에 수직한 방향으로 상호 이격되고 상기 소스/드레인 영역들을 각각 연결하는 복수의 채널 부분들을 포함하고,
    상기 제 1 트랜지스터의 채널 영역은 상기 기판에 연결된 단일의 채널 부분을 포함하고, 상기 제 1 내지 상기 제3 트랜지스터들의 게이트 구조체는 각각 제 1 게이트 전극, 제 2 게이트 전극, 및 제 3 게이트 전극을 포함하고,
    상기 제 2 게이트 전극은, 상기 제 2 트랜지스터의 채널 영역의 상기 복수의 채널 부분들의 사이로 연장되고,
    상기 제 3 게이트 전극은, 상기 제 3 트랜지스터의 채널 영역의 상기 복수의 채널 부분들의 사이로 연장되고,
    상기 제 1 방향으로, 상기 제 3 트랜지스터의 채널 부분들 각각의 폭은 상기 제 2 트랜지스터의 채널 부분들 각각의 폭보다 크고,
    상기 기판의 상면에 수직한 제3 방향으로 상기 제3 트랜지스터의 채널 부분들 각각의 두께는, 상기 제2 트랜지스터의 채널 부분들 각각의 두께와 동일하고,
    상기 제 3 트랜지스터의 채널 부분들 각각 및 상기 제 2 트랜지스터의 채널 부분들 각각은 두께 보다 폭이 큰 반도체 소자.
  2. 제 1 항에 있어서,
    상기 제 2 트랜지스터의 문턱 전압은 상기 제 3 트랜지스터의 문턱 전압보다 큰 반도체 소자.
  3. 삭제
  4. 제 2 항에 있어서,
    상기 제 1 트랜지스터의 문턱 전압은 상기 제 2 트랜지스터의 문턱 전압보다 큰 반도체 소자.
  5. 제 2 항에 있어서,
    상기 제 1 트랜지스터의 채널 영역은 상기 기판의 상면으로부터 돌출된 핀 형상을 갖는 반도체 소자.
  6. 제 2 항에 있어서,
    상기 제 1 트랜지스터의 문턱 전압은 상기 제 3 트랜지스터의 문턱 전압보다 작은 반도체 소자.
  7. 제 1항에 있어서,
    상기 제 1 내지 제 3 트랜지스터들은 서로 동일한 도전형의 트랜지스터들인 반도체 소자.
  8. 제 1 항에 있어서,
    상기 제 1 방향과 교차하는 제 2 방향으로, 상기 제 3 트랜지스터의 상기 채널 부분들 각각의 길이는 상기 제 2 트랜지스터의 상기 채널 부분들 각각의 길이와 동일한 반도체 소자.
  9. 제 1 항에 있어서,
    상기 제 2 트랜지스터의 채널 부분들 각각의 두께는 서로 동일한 반도체 소자.
  10. 삭제
  11. 제 1항에 있어서,
    상기 제 2 트랜지스터의 채널 부분들의 개수는 제 3 트랜지스터의 채널 부분들의 개수와 동일한 반도체 소자.
  12. 제 1항에 있어서,
    상기 제 1 내지 제 3 게이트 전극들의 일함수는 동일한 반도체 소자.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020150175226A 2015-12-09 2015-12-09 반도체 소자 KR102434993B1 (ko)

Priority Applications (8)

Application Number Priority Date Filing Date Title
KR1020150175226A KR102434993B1 (ko) 2015-12-09 2015-12-09 반도체 소자
US15/238,059 US10304964B2 (en) 2015-12-09 2016-08-16 Semiconductor device
DE102016119390.9A DE102016119390A1 (de) 2015-12-09 2016-10-12 Halbleitervorrichtung
TW105135803A TWI704692B (zh) 2015-12-09 2016-11-04 半導體裝置及積體電路
JP2016226866A JP6702847B2 (ja) 2015-12-09 2016-11-22 半導体素子
CN201611115120.1A CN107039431B (zh) 2015-12-09 2016-12-07 半导体器件以及集成电路
US16/395,907 US11251312B2 (en) 2015-12-09 2019-04-26 Semiconductor device
US17/574,166 US11942558B2 (en) 2015-12-09 2022-01-12 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150175226A KR102434993B1 (ko) 2015-12-09 2015-12-09 반도체 소자

Publications (2)

Publication Number Publication Date
KR20170068689A KR20170068689A (ko) 2017-06-20
KR102434993B1 true KR102434993B1 (ko) 2022-08-24

Family

ID=58773695

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150175226A KR102434993B1 (ko) 2015-12-09 2015-12-09 반도체 소자

Country Status (6)

Country Link
US (3) US10304964B2 (ko)
JP (1) JP6702847B2 (ko)
KR (1) KR102434993B1 (ko)
CN (1) CN107039431B (ko)
DE (1) DE102016119390A1 (ko)
TW (1) TWI704692B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535770B2 (en) * 2014-09-24 2020-01-14 Intel Corporation Scaled TFET transistor formed using nanowire with surface termination
KR102434993B1 (ko) 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9620590B1 (en) 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US11121131B2 (en) 2017-06-23 2021-09-14 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN109427582B (zh) * 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10243061B1 (en) * 2017-11-15 2019-03-26 International Business Machines Corporation Nanosheet transistor
KR102052105B1 (ko) * 2018-01-04 2019-12-04 연세대학교 산학협력단 격자진동을 제어할 수 있는 위상절연체 구조물과 그것을 포함하는 트랜지스터 및 솔라셀
KR102515393B1 (ko) * 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102472571B1 (ko) * 2018-07-20 2022-12-01 삼성전자주식회사 반도체 소자
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
KR102559175B1 (ko) * 2018-09-14 2023-07-25 삼성전자주식회사 반도체 장치
US11069818B2 (en) * 2018-09-14 2021-07-20 Samsung Electronics Co., Ltd. Semiconductor device
CN110970432A (zh) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 全包围栅纳米片互补反相器结构及其制造方法
JP6950096B2 (ja) * 2019-09-13 2021-10-13 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理装置
US11031292B2 (en) * 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11444197B2 (en) 2020-02-07 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11653492B2 (en) * 2020-02-10 2023-05-16 Taiwan Semiconductor Manufacturing Limited Memory devices and methods of manufacturing thereof
US11508736B2 (en) 2020-06-08 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming different types of devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010503981A (ja) * 2006-09-19 2010-02-04 クナノ アーベー ナノスケール電界効果トランジスタの構体
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
US20140097502A1 (en) * 2012-10-10 2014-04-10 Seoul National University R & Db Foundation Semiconductor device and fabricating method thereof

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3165575B2 (ja) 1993-12-20 2001-05-14 シャープ株式会社 光情報装置の製造方法
US7365361B2 (en) * 2003-07-23 2008-04-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
JP4796329B2 (ja) * 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
KR100594282B1 (ko) * 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
EP1891679A1 (en) 2005-06-16 2008-02-27 QuNano AB Semiconductor nanowire transistor
US7544572B2 (en) 2005-11-30 2009-06-09 Advanced Micro Devices, Inc. Multi-operational mode transistor with multiple-channel device structure
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US7666763B2 (en) 2007-05-29 2010-02-23 Canon Anelva Corporation Nanosilicon semiconductor substrate manufacturing method and semiconductor circuit device using nanosilicon semiconductor substrate manufactured by the method
FR2923646A1 (fr) * 2007-11-09 2009-05-15 Commissariat Energie Atomique Cellule memoire sram dotee de transistors a structure multi-canaux verticale
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8422273B2 (en) * 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8138543B2 (en) * 2009-11-18 2012-03-20 International Business Machines Corporation Hybrid FinFET/planar SOI FETs
US8575702B2 (en) 2009-11-27 2013-11-05 Magnachip Semiconductor, Ltd. Semiconductor device and method for fabricating semiconductor device
US8420455B2 (en) 2010-05-12 2013-04-16 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8802522B2 (en) 2010-09-10 2014-08-12 Applied Materials, Inc. Methods to adjust threshold voltage in semiconductor devices
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8551833B2 (en) 2011-06-15 2013-10-08 International Businesss Machines Corporation Double gate planar field effect transistors
US9397104B2 (en) 2011-09-21 2016-07-19 Institute of Microelectronics, Chinese Academy of Sciences SRAM cell and method for manufacturing the same
WO2013095341A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US9240410B2 (en) * 2011-12-19 2016-01-19 Intel Corporation Group III-N nanowire transistors
WO2013095646A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Cmos nanowire structure
KR101821672B1 (ko) * 2011-12-23 2018-01-24 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
CN104054181B (zh) * 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
KR101979637B1 (ko) * 2012-11-26 2019-08-28 삼성전자주식회사 반도체 소자
US8896101B2 (en) 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
KR20140106270A (ko) * 2013-02-26 2014-09-03 삼성전자주식회사 집적 회로 장치 및 그 제조 방법
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
KR102069609B1 (ko) * 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9123801B2 (en) 2013-09-16 2015-09-01 Infineon Technologies Ag Semiconductor device, integrated circuit and method of manufacturing a semiconductor device
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
KR102178828B1 (ko) * 2014-02-21 2020-11-13 삼성전자 주식회사 멀티 나노와이어 트랜지스터를 포함하는 반도체 소자
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US9385224B2 (en) * 2014-08-13 2016-07-05 Northrop Grumman Systems Corporation Method of forming an integrated multichannel device and single channel device structure
US9425318B1 (en) * 2015-02-27 2016-08-23 GlobalFoundries, Inc. Integrated circuits with fets having nanowires and methods of manufacturing the same
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9806077B2 (en) * 2016-03-07 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with low defect and method for forming the same
US10312152B2 (en) * 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US10714391B2 (en) * 2017-12-04 2020-07-14 Tokyo Electron Limited Method for controlling transistor delay of nanowire or nanosheet transistor devices
US10566330B2 (en) * 2017-12-11 2020-02-18 Samsung Electronics Co., Ltd. Dielectric separation of partial GAA FETs
US11367722B2 (en) * 2018-09-21 2022-06-21 Intel Corporation Stacked nanowire transistor structure with different channel geometries for stress
US20200312849A1 (en) * 2019-03-25 2020-10-01 International Business Machines Corporation Gate recess uniformity in vertical field effect transistor
US11569370B2 (en) * 2019-06-27 2023-01-31 Intel Corporation DEPOP using cyclic selective spacer etch

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010503981A (ja) * 2006-09-19 2010-02-04 クナノ アーベー ナノスケール電界効果トランジスタの構体
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
US20140097502A1 (en) * 2012-10-10 2014-04-10 Seoul National University R & Db Foundation Semiconductor device and fabricating method thereof

Also Published As

Publication number Publication date
US20220140150A1 (en) 2022-05-05
US20170170331A1 (en) 2017-06-15
CN107039431B (zh) 2022-02-01
TW201724521A (zh) 2017-07-01
US10304964B2 (en) 2019-05-28
US11942558B2 (en) 2024-03-26
KR20170068689A (ko) 2017-06-20
US20190252555A1 (en) 2019-08-15
CN107039431A (zh) 2017-08-11
DE102016119390A1 (de) 2017-06-14
JP6702847B2 (ja) 2020-06-03
US11251312B2 (en) 2022-02-15
JP2017108119A (ja) 2017-06-15
TWI704692B (zh) 2020-09-11

Similar Documents

Publication Publication Date Title
KR102434993B1 (ko) 반도체 소자
US9929160B1 (en) Semiconductor devices and methods of manufacturing the same
US9673099B2 (en) Method of fabricating integrated circuit devices
TWI610444B (zh) 具有摻雜次鰭區的ω鰭的非平面半導體裝置及其製造方法
KR102088647B1 (ko) 핀펫 내의 반도체 구조체 형성 방법
KR102050214B1 (ko) 반도체 소자 제조 방법
US11114447B2 (en) SRAM device provided with a plurality of sheets serving as a channel region
KR102461174B1 (ko) 반도체 소자
US20160086841A1 (en) Method for forming pattern of semiconductor device and semiconductor device formed using the same
KR20150033496A (ko) 반도체 소자 및 그 제조 방법
KR20160028242A (ko) 반도체 장치 및 이의 제조 방법
KR20160026486A (ko) 반도체 장치의 제조 방법
TW201929225A (zh) 場效應電晶體、系統晶片以及製造其的方法
KR102392991B1 (ko) 반도체 장치 및 이의 제조 방법
US11508735B2 (en) Cell manufacturing
TWI719100B (zh) 鰭型場效電晶體及其製作方法
US20230163129A1 (en) Transistor Gate Structures and Methods of Forming the Same
KR102618415B1 (ko) 트랜지스터 소스/드레인 접촉부 및 그 형성 방법
US20230395432A1 (en) P-Type Semiconductor Devices With Different Threshold Voltages And Methods Of Forming The Same
CN115719706A (zh) 一种堆叠纳米片gaa-fet器件及其制作方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant