KR102385567B1 - 반도체 장치 및 반도체 장치의 제조 방법 - Google Patents

반도체 장치 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102385567B1
KR102385567B1 KR1020170109428A KR20170109428A KR102385567B1 KR 102385567 B1 KR102385567 B1 KR 102385567B1 KR 1020170109428 A KR1020170109428 A KR 1020170109428A KR 20170109428 A KR20170109428 A KR 20170109428A KR 102385567 B1 KR102385567 B1 KR 102385567B1
Authority
KR
South Korea
Prior art keywords
layers
channel
layer
region
embedded source
Prior art date
Application number
KR1020170109428A
Other languages
English (en)
Other versions
KR20190023527A (ko
Inventor
양정길
박우석
서동찬
송승민
배금종
배동일
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170109428A priority Critical patent/KR102385567B1/ko
Priority to US15/900,175 priority patent/US10872983B2/en
Priority to TW111121685A priority patent/TWI812271B/zh
Priority to CN201810596906.2A priority patent/CN109427871B/zh
Priority to TW107119951A priority patent/TWI770192B/zh
Priority to SG10201805116YA priority patent/SG10201805116YA/en
Publication of KR20190023527A publication Critical patent/KR20190023527A/ko
Priority to US16/953,785 priority patent/US11393929B2/en
Priority to KR1020220034478A priority patent/KR102483549B1/ko
Application granted granted Critical
Publication of KR102385567B1 publication Critical patent/KR102385567B1/ko
Priority to US17/840,737 priority patent/US11908952B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Bipolar Transistors (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 발명의 일 실시예에 따른 반도체 장치는 기판, 상기 기판 상에 적층되는 복수의 채널층들, 상기 복수의 채널층들을 감싸는 게이트 전극, 및 상기 게이트 전극의 양측에 배치되고, 제1 영역 및 상기 제1 영역 상에 배치되고 서로 조성이 다른 복수의 층들을 포함하는 제2 영역을 포함하는 임베디드 소스/드레인층들을 포함한다.

Description

반도체 장치 및 반도체 장치의 제조 방법{SEMICONDUCTOR DEVICES AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES}
본 발명은 반도체 장치 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치의 밀도를 높이기 위한 스케일링(scaling) 기술 중 하나로서, 기판 상에 나노와이어(nanowire) 형상의 실리콘 바디를 형성하고 실리콘 바디를 둘러싸도록 게이트를 형성하는 게이트 올 어라운드(GAA) 구조의 트랜지스터가 제안되었다.
이러한 게이트 올 어라운드 구조의 트랜지스터는 3차원의 채널을 이용하기 때문에, 트랜지스터의 스케일 다운에 유리하다. 또한, 게이트 길이를 증가시키지 않아도, 전류 제어 능력을 향상시킬 수 있다. 뿐만 아니라, 드레인 전압에 의해 채널 영역의 전위가 영향을 받는 SCE(short channel effect)를 효과적으로 억제할 수 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 게이트 전극의 길이 확장이 최소화함으로써, AC 특성이 향상된 반도체 장치를 제공하는 데에 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 공정이 단순화된 반도체 장치의 제조 방법을 제공하는 데에 있다.
본 발명의 일 실시예에 따른 반도체 장치는, 기판, 상기 기판 상에 적층되는 복수의 채널층들, 상기 복수의 채널층들을 감싸는 게이트 전극, 및 상기 게이트 전극의 양측에 배치되고, 제1 영역 및 상기 제1 영역 상에 배치되고 서로 조성이 다른 복수의 층들을 포함하는 제2 영역을 포함하는 임베디드 소스/드레인층들을 포함한다.
본 발명의 일 실시예에 따른 반도체 장치는, 기판, 상기 기판 상에 적층되고, 제1 방향으로 연장되는 복수의 채널층들, 상기 복수의 채널층들을 감싸고, 상기 제1 방향과 교차하는 제2 방향으로 연장되는 게이트 전극, 및 상기 게이트 전극의 양측에 배치되는 임베디드 소스/드레인층들을 포함한다. 상기 복수의 채널층들 중 적어도 하나의 채널층은 인접한 채널층보다 상기 제1 방향으로 연장되는 길이가 더 긴 영역을 가질 수 있다.
본 발명의 일 실시예에 따른 반도체 장치의 제조 방법은, 기판 상에 복수의 희생층들 및 복수의 반도체층들이 교대로 적층된 핀 구조체를 형성하는 단계, 상기 핀 구조체와 교차하는 더미 게이트를 형성하는 단계, 상기 핀 구조체를 이방성 건식 식각하여 상기 더미 게이트의 양측에 리세스들을 형성하는 단계, 등방성 건식 식각 공정을 이용하여 상기 리세스들을 확장하여 단계, 및 상기 복수의 반도체층들에 연결되는 소스/드레인층들을 형성하는 단계를 포함한다.
본 발명의 기술적 사상에 따르면, 게이트 전극의 길이 확장을 줄임으로써, AC 성능이 향상된 반도체 장치를 제공할 수 있다.
본 발명의 기술적 사상에 따르면, 공정이 단순화된 반도체 장치의 제조 방법을 제공할 수 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시 형태를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따른 반도체 장치의 평면도이다.
도 2는 도 1에 도시한 반도체 장치의 Ⅰ-Ⅰ' 방향의 단면을 도시한 단면도이다.
도 3은 도 2에 도시한 반도체 장치의 A 영역을 확대 도시한 도면이다.
도 4는 도 1에 도시한 반도체 장치의 Ⅱ-Ⅱ' 방향의 단면을 도시한 단면도이다.
도 5는 본 발명의 일 실시예에 따른 반도체 장치의 일부 확대도로서, 도 3에 대응되는 영역을 도시한 것이다.
도 6은 본 발명의 일 실시예에 따른 반도체 장치의 단면도이다.
도 7 내지 도 15는 도 1에 도시한 반도체 장치의 제조 방법을 설명하기 위해 제공되는 도면들이다.
이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 다음과 같이 설명한다.
도 1은 본 발명의 일 실시예에 따른 반도체 장치의 배치도이다.
도 1을 참조하면, 본 발명의 일 실시예에 따른 반도체 장치(100)는 기판 상의 돌출부(104) 및 돌출부(104)와 교차하도록 배치되는 복수의 게이트 전극들(130)을 포함할 수 있다. 돌출부(104)는 제1 방향(예를 들어, X축 방향)으로 연장될 수 있다. 복수의 게이트 전극들(130)은 상기 제1 방향과 교차하는 제2 방향(예를 들어, Y축 방향)으로 연장될 수 있다. 복수의 게이트 전극들(130)의 양측에는 임베디드(embedded) 소스/드레인층들(107)이 배치될 수 있다. 임베디드 소스/드레인층들(107) 사이에는 상기 제1 방향으로 복수의 게이트 전극들(130)을 관통하는 복수의 채널층들(120)이 배치될 수 있다. 복수의 게이트 전극들(130)은 복수의 채널층들(120)을 감쌀 수 있다.
도 2는 도 1에 도시한 반도체 장치의 Ⅰ-Ⅰ' 방향의 단면을 도시한 단면도이다. 도 3은 도 2에 도시한 반도체 장치의 A 영역을 확대 도시한 도이다. 도 4는 도 1에 도시한 반도체 장치의 Ⅱ-Ⅱ' 방향의 단면을 도시한 단면도이다.
도 2 내지 도 4를 함께 참조하면, 본 발명의 실시예에 따른 반도체 장치(100)는, 기판(101), 분리 절연층(103), 임베디드 소스/드레인층(107), 게이트 절연층(110), 게이트 전극(130), 스페이서(140), 보호층(150) 및 층간 절연층(170)을 포함할 수 있다.
기판(101)은 반도체 기판일 수 있다. 상기 반도체 기판은 IV족 반도체, III-V족 화합물 반도체, II-VI족 화합물 반도체를 포함할 수 있다. 기판(101)은 실리콘 온 인슐레이터(SOI) 기판일 수 있다.
기판(101)은 제1 방향(예를 들어, X축 방향)으로 연장되는 돌출부(104)를 포함할 수 있다. 분리 절연층(103)은 기판(101)의 돌출부(104)의 측면을 덮도록 기판(101) 상에 배치될 수 있다. 분리 절연층(103)의 상면은 돌출부(104)의 상면보다 낮을 수 있다. 돌출부(104)의 상부는 분리 절연층(103)의 상면보다 돌출될 수 있다. 돌출부(104)는 활성 영역으로 지칭될 수 있다.
돌출부(104) 상에 상기 제1 방향(예를 들어, X축 방향)으로 연장되는 채널층들(120)이 기판(101)의 상면에 수직한 제3 방향(예를 들어, Z축 방향)에서 서로 이격되어 배치될 수 있다. 게이트 전극들(130)은 돌출부(104)와 교차하는 제2 방향으로 연장될 수 있다. 게이트 전극들(130)의 양측에는 채널층들(120)에 연결되는 임베디드 소스/드레인층들(107)이 배치될 수 있다. 임베디드 소스/드레인층들(107) 사이에는 채널층들(120)이 배치될 수 있다.
채널층들(120) 중 적어도 하나의 채널층(120)은 인접한 채널층(120)보다 길이가 더 긴 부분을 포함할 수 있다. 채널층들(120) 중 최상부의 채널층(120)은 인접한 채널층(120)보다 길이가 더 긴 부분을 포함할 수 있다. 최상부의 채널층(120)의 경우, 상부의 길이가 하부의 길이보다 더 길 수 있다. 최상부의 채널층(120)은 기판(101)에 가까워질수록 상기 제1 방향(예를 들어, X축 방향)으로 연장되는 길이가 짧아질 수 있다. 최상부의 채널층(120)의 적어도 일부 영역은 게이트 전극(130)의 길이보다 길 수 있다. 최상부의 채널층(120)은 경사진 측면을 가질 수 있다. 임베디드 소스/드레인층들(107)에 인접한 최상부의 채널층(120)의 측면들은 경사진 평면일 수 있다.
이와 달리, 일 실시예에서 임베디드 소스/드레인층들(107)에 인접한 최상부의 채널층(120)의 측면들은 경사진 곡면일 수 있다(도 5 참조).
일 실시예에서, 최상부의 채널층(120)과 더불어, 채널층들(120) 중 최하부의 채널층(120)도 인접한 채널층(120)보다 길이가 더 긴 부분을 포함할 수 있다. 최하부의 채널층(120)의 경우, 최상부의 채널층(120)과 달리, 하부의 길이가 상부의 길이보다 더 길 수 있다. 최하부의 채널층(120)은 기판(101)에 가까워질수록 상기 제1 방향(예를 들어, X축 방향)으로 연장되는 길이가 길어질 수 있다. 최하부의 채널층(120)의 적어도 일부 영역은 게이트 전극(130)의 길이보다 길 수 있다. 임베디드 소스/드레인층들(107)에 인접한 최하부의 채널층(120)의 측면들은 경사진 평면 또는 경사진 곡면을 가질 수 있다.
임베디드 소스/드레인층들(107)과 복수의 채널층들(120) 사이에 계면층들(106)이 배치될 수 있다. 임베디드 소스/드레인층들(107)과 기판(101) 사이에 또는 임베디드 소스/드레인층들(107)과 돌출부(104) 사이에 계면층들(106)이 배치될 수 있다. 임베디드 소스/드레인층들(107) 각각은 라이너층(107a) 및 라이너층(107a) 상에 순차적으로 적층되고 서로 조성이 다른 제1 내지 제3 에피택셜층들(107b, 107c, 107d)을 포함할 수 있다. 계면층들(106)은 라이너층(107a)과 채널층들(120) 사이에 배치되고, 라이너층(107a)과 기판(101) 사이에 배치될 수 있다. 임베디드 소스/드레인층(107)은 제1 영역 및 제2 영역을 포함할 수 있다. 라이너층(107a)은 임베디드 소스/드레인층(107)의 제1 영역을 구성하고, 제1 에피택셜층(107b), 제2 에피택셜층(107c) 및 제3 에피택셜층은 임베디드 소스/드레인층(107)의 제2 영역을 구성할 수 있다.
라이너층(107a)은 채널층들(120)과 동일한 반도체 물질을 포함하고, 계면층들(106)은 제1 내지 제3 에피택셜층들(107b, 107c, 107d)과 동일한 반도체 물질을 포함할 수 있다. 예를 들어, 계면층들(106)은 실리콘-게르마늄(SiGe)을 포함할 수 있고, 라이너층들(107a)은 실리콘(Si)을 포함할 수 있다. 예를 들어, 제1 내지 제3 에피택셜층들(107b, 107c, 107d)은 실리콘-게르마늄을 포함할 수 있다. 제3 에피택셜층(107d)은 제2 에피택셜층(107c)보다 게르마늄 함량이 높고, 제2 에피택셜층(107c)은 제1 에피택셜층(107b)보다 게르마늄 함량이 높을 수 있다. 즉, 제1 에피택셜층(107b)에서 제3 에피택셜층(107d)으로 갈수록 게르마늄의 함량이 증가할 수 있다. 계면층들(106)은 제1 에피택셜층들(107b)보다 게르마늄의 함량이 낮을 수 있다.
예를 들어, 계면층들(106)은 5 at% 내지 15 at% 게르마늄(Ge)을 포함하고, 제1 에피택셜층(107b)은 17 at% 내지 27 at% 게르마늄(Ge)을 포함하고, 제2 에피택셜층(107c)은 37 at% 내지 47 at% 게르마늄(Ge)을 포함하고, 제3 에피택셜층(107d)은 50 at% 내지 60 at% 게르마늄(Ge)을 포함할 수 있다.
라이너층(107a), 제1 에피택셜층(107b), 제2 에피택셜층(107c) 및 제3 에피택셜층(107d)은 예를 들어, p형 불순물들로 도핑될 수 있다. 상기 p형 불순물은 선택적 에피택셜 성장 공정 중에 인시츄로 주입되거나 후속의 이온 주입 공정에 의해 주입될 수 있다.
계면층(106)의 두께는 라이너층(107a)의 두께보다 얇게 형성될 수 있다. 제1 내지 제3 에피택셜층들(107b, 107c, 107d)의 두께는 다양하게 변형될 수 있다.
일 실시예에서, 임베디드 소스/드레인층들(107)은 라이너층(107a) 및 서로 다른 조성을 가지는 2개의 에피택셜층들을 포함할 수 있다. 일 실시예에서, 임베디드 소스/드레인층들(107)은 라이너층(107a) 및 조성이 연속적으로 변하는 에피택셜층을 포함할 수 있다.
임베디드 소스/드레인층들(107)의 상면이 최상부의 채널층(120)의 상면보다 높게 형성되는 것으로 도시되었으나, 이에 한정되지 않는다. 이와 달리 임베디드 소스/드레인층(107)의 상면이 최상부의 채널층(120)의 상면과 동일한 높이에 위치할 수도 있다. 임베디드 소스/드레인층들(107)의 상면이 볼록한 곡면으로 도시되었으나, 이에 한정되지 않는다.
게이트 전극들(130)은 임베디드 소스/드레인층들(107) 사이에 배치되고, 기판(101) 상에서 상기 제1 방향과 교차하는 제2 방향(예를 들어, Y축 방향)으로 연장될 수 있다. 게이트 전극들(130)과 임베디드 소스/드레인층들(107)은 게이트 절연층(110)에 의해 절연될 수 있다. 게이트 전극들(130)은 채널층들(120)을 감싸도록 형성될 수 있다. 게이트 절연층(110)은 게이트 전극들(130)과 채널층들(120) 사이 및 게이트 전극들(130)과 스페이서들(140) 사이에 배치될 수 있다. 게이트 전극(130)은 분리 절연층(103) 상에도 형성될 수 있다. 게이트 전극(130)과 분리 절연층(103) 사이에도 게이트 절연층(110)이 배치될 수 있다.
게이트 전극(130)의 양 측벽에는 게이트 전극(130)과 동일한 방향으로 연장되는 스페이서들(140)이 배치될 수 있다. 스페이서들(140)은 실리콘 산질화물(SiON), 실리콘 질화물(SiN), SiOC, SiOCN, SiBCN 또는 이들의 조합으로 형성될 수 있다.
게이트 전극(130) 상에는 게이트 전극을 보호하는 보호층(150)이 배치될 수 있다. 보호층(150)은 실리콘 질화물을 포함할 수 있다. 한편, 층간 절연층(170)이 임베디드 소스/드레인층들(107)을 덮을 수 있다. 층간 절연층(170)의 상면은 보호층(150)의 상면과 공면을 형성할 수 있다.
도 3을 참조하면, 게이트 절연층(110)은 복수의 층을 포함할 수 있으며, 일 실시예로, 게이트 절연층(110)은 제1 절연층(111) 및 제2 절연층(112)을 포함할 수 있다. 제1 절연층(111) 및 제2 절연층(112)은 서로 다른 유전율을 가질 수 있으며, 제2 절연층(112)의 유전율이 제1 절연층(111)의 유전율보다 더 클 수 있다. 이 경우, 제2 절연층(112)이 제1 절연층(111)보다 게이트 전극(130)에 더 가깝게 배치될 수 있다. 즉, 제1 절연층(111)이 제2 절연층(112)보다 채널층(120)에 더 가깝게 배치될 수 있다. 한편, 상대적으로 더 높은 유전율을 갖는 제2 절연층(112)은 제1 절연층(111)보다 큰 두께를 가질 수 있다.
상대적으로 높은 유전율을 갖는 제2 절연층(112)은 고유전율 유전 물질을 포함할 수 있다. 상기 고유전율 유전 물질은, 알루미늄 산화물(Al2O3), 탄탈륨 산화물(Ta2O3), 티타늄 산화물(TiO2), 이트륨 산화물(Y2O3), 지르코늄 산화물(ZrO2), 지르코늄 실리콘 산화물(ZrSixOy), 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSixOy), 란탄 산화물(La2O3), 란탄 알루미늄 산화물(LaAlxOy), 란탄 하프늄 산화물(LaHfxOy), 하프늄 알루미늄 산화물(HfAlxOy), 프라세오디뮴 산화물(Pr2O3) 또는 이들의 조합 중 어느 하나일 수 있다.
채널층들(120) 사이에는 게이트 전극(130)에 포함되는 복수의 금속층들 모두가 배치될 수 있다. 게이트 절연층(110)에 인접하여 배리어 금속층(131)이 배치되고, 배리어 금속층(131) 상에 일함수 금속층(132)이 배치되고, 일함수 금속층(132) 상에 게이트 금속층(133)이 배치될 수 있다. 일 실시예에서, 채널층들(120) 사이의 공간이 게이트 절연층(110), 배리어 금속층(131) 및 일함수 금속층(132)만으로 채워질 수 있다.
배리어 금속층(131)은 TiN, TaN, TaSiN, TiSiN 등의 금속 질화물을 포함할 수 있다. 일함수 금속층(132)은 반도체 장치(100)의 문턱 전압을 결정할 수 있다. 일 실시예에서, 일함수 금속층(132)은 서로 적층된 복수의 금속층들을 포함할 수 있다. 예를 들어, 일함수 금속층(132)은 루테늄(Ru), 팔라듐(Pd), 플래티늄(Pt), 코발트(Co), 니켈(Ni) 또는 이들의 조합을 포함할 수 있다. 게이트 금속층(133)은 텅스텐 등의 금속 물질로 형성될 수 있다.
도 4를 참조하면, 기판(101) 상에 채널층들(120)이 제3 방향(예를 들어, Z축 방향)으로 이격되어 배치될 수 있다. 채널층들(120) 사이에는 게이트 절연층(110) 및 게이트 전극(130)이 배치되어 채널층들(120)을 서로 분리할 수 있다. 채널층들(120)은 게이트 절연층(110) 및 게이트 전극(130)에 둘러싸일 수 있다. 채널층들(120)은 두께보다 폭이 넓은 시트(sheet) 형상을 가질 수 있다. 도 4에서 복수의 채널층들(120)의 모서리들은 각진 형상으로 도시되어 있으나, 이에 한정되지 않는다. 복수의 채널층들(120)의 모서리들은 곡률을 가질 수 있다.
이와 달리, 일 실시예에서 복수의 채널층들(120)은 원형의 단면 또는 타원형의 단면을 가지는 와이어(wire) 형상을 가질 수 있다(도 6 참조).
도 7 내지 도 14은 본 발명의 일 실시예에 따른 반도체 장치(100)의 제조 방법을 설명하기 위한 단면들이다. 도 7, 9, 11, 12, 13, 14 및 15는 도 1의 I-I' 절단선을 따라 절단된 단면도들이고, 도 8 및 10은 도 1의 Ⅱ-Ⅱ' 절단선을 따라 절단된 단면도들이다.
도 7을 참조하면, 기판(101) 상에 복수의 희생층들(160a)과 복수의 반도체층들(120a)이 교대로 적층될 수 있다.
기판(101) 상에 희생층(160a)이 먼저 형성되고, 희생층(160a) 상에 반도체층(120a)이 형성될 수 있다. 다시 반도체층(120a) 상에 희생층(160a)이 형성될 수 있다. 이러한 공정을 반복하여 반도체층(120a)이 최상부에 배치된 적층 구조체가 형성될 수 있다. 도 7에는 상기 적층 구조체가 3개의 희생층들(160a)과 3개의 반도체층들(120a)을 포함하는 것으로 도시되어 있으나, 이에 한정되지 않는다. 기판(101) 상에 적층되는 희생층(160a)과 반도체층(120a)의 개수는 다양하게 변형될 수 있다. 일 실시예에서, 하나의 희생층(160a)과 하나의 반도체층(120a)이 기판(101) 상에 적층될 수 있다.
복수의 반도체층들(120a)은 반도체 물질을 포함할 수 있고, 복수의 희생층들(160a)은 복수의 반도체층(120a)과 식각 선택성을 갖는 반도체 물질을 포함할 수 있다. 예를 들어, 복수의 반도체층들(120a)은 실리콘(Si)을 포함할 수 있고, 복수의 희생층들(160a)은 실리콘-게르마늄(SiGe)을 포함할 수 있다. 복수의 희생층들(160a)은 게르마늄 함량이 30 at%인 실리콘-게르마늄일 수 있다.
복수의 반도체층들(120a)과 복수의 희생층들(160a)의 두께는 실시예에 따라 다양하게 변형될 수 있다. 복수의 반도체층(120a)의 두께 및 복수의 희생층들(160a)의 두께는 수 nm 내지 수십 nm 일 수 있다. 예를 들어, 복수의 희생층(160a)의 두께는 복수의 반도체층(120a)의 두께보다 클 수 있다.
도 8을 참조하면, 기판(101) 상의 복수의 반도체층들(120a)과 복수의 희생층들(160a)의 일부를 선택적으로 제거하여 핀 구조체(FS)를 형성할 수 있다. 핀 구조체(FS)는 기판(101) 상에서 제1 방향(예를 들어 X축 방향)으로 연장될 수 있다.
복수의 반도체층(120a) 및 복수의 희생층(160a)이 적층된 기판(101) 상에 마스크 패턴을 형성하고, 이방성 식각 공정을 수행함으로써, 핀 구조체(FS)가 형성될 수 있다. 핀 구조체(FS)는 서로 교대로 적층되는 복수의 반도체층(120a)과 복수의 희생층(160a)을 포함할 수 있다. 핀 구조체(FS)를 형성하는 공정에서, 기판(101)의 일부가 제거되어, 기판(101)에 돌출부(104)가 형성될 수 있다. 기판(101)의 상기 돌출부(104)는 복수의 반도체층들(120a) 및 복수의 희생층들(160a)과 함께 핀 구조체(FS)를 구성할 수 있다. 기판(101)의 일부가 제거된 영역에는 분리 절연층(103)이 형성될 수 있다. 분리 절연층(103)은 상기 돌출부(104)의 측면을 일부 덮을 수 있다. 분리 절연층(103)의 상면은 기판(101) 상의 돌출부(104)의 상면보다 낮게 형성될 수 있다. 즉, 기판(101) 상의 돌출부(104)는 분리 절연층(103) 위로 돌출될 수 있다.
핀 구조체(FS) 및 분리 절연층(103)이 형성된 후, 상기 마스크 패턴은 제거될 수 있다.
도 9 및 도 10을 참조하면, 핀 구조체(FS)와 교차하는 더미 게이트(130a)가 형성될 수 있다. 더미 게이트들(130a)의 측벽들에 스페이서들(140) 및 희생 스페이서들(142)이 형성될 수 있다. 더미 게이트들(130a)과 핀 구조체(FS) 사이에 더미 절연층(128)이 배치될 수 있다. 더미 게이트(130a) 상에 캡핑층(135)이 더 형성될 수 있다.
더미 게이트(130a)는 제2 방향(예를 들어 Y축 방향)으로 연장될 수 있다. 더미 절연층(128), 스페이서들(140) 및 희생 스페이서들(142)은 더미 게이트(130a)와 동일한 방향으로 연장될 수 있다. 더미 게이트(130a) 및 더미 절연층(128)은 분리 절연층(103) 상으로 돌출된 핀 구조체(FS)를 덮을 수 있다.
더미 게이트(130a)는 폴리 실리콘 등의 반도체 물질로 형성될 수 있다. 스페이서(140)는 실리콘 산질화물(SiON), 실리콘 질화물(SiN), SiOC, SiOCN, SiBCN 또는 이들의 조합으로 형성될 수 있다. 더미 절연층(128)은 실리콘 산화물로 형성될 수 있다.
도 11을 참조하면, 캡핑층(135), 더미 게이트(130a)와 스페이서(140)를 식각 마스크로 이용하고, 이방성 건식 식각 공정을 이용하여, 핀 구조체(FS)의 일부를 선택적으로 제거하여 더미 게이트들(130a)의 양측에 리세스들을 형성할 수 있다.
상기 이방성 건식 식각 공정에 의해 더미 게이트들(130a)의 아래에 복수의 채널층들(120)이 형성될 수 있다. 더불어, 복수의 채널층들(120) 사이에는 복수의 희생패턴들(160)이 형성될 수 있다.
상기 리세스들에 의해 기판(101)의 상면의 일부가 노출될 수 있다. 상기 이방성 건식 식각 공정에 의해 기판(101)의 상면의 일부가 식각될 수 있다.
도 12를 참조하면, 등방성 건식 식각 공정을 이용하여 상기 리세스들을 확장할 수 있다.
더미 게이트들(130a)의 양측에 형성된 상기 리세스들은 스페이서(140) 및 희생 스페이서(142)의 아래로 확장될 수 있다. 더불어, 상기 리세스들에 의해 노출된 기판(101)의 상면도 추가적으로 식각될 수 있다.
상기 등방성 식각 공정에 의해 복수의 채널층들(120) 중 최상부의 채널층(120)의 측면들에 경사가 형성될 수 있다. 복수의 채널층들(120) 중 최상부의 채널층(120)의 길이(예를 들어, X축 방향의 길이)는 기판(101)에 가까워질수록 짧아질 수 있다. 일 실시예에서, 상기 등방성 식각 공정에 의해 최하부의 채널층(120)의 측면들에도 경사가 형성될 수 있다. 최하부의 채널층(120)의 길이(예를 들어, X축 방향의 길이)는 기판(101)에 가까워질수록 길어질 수 있다.
도 13을 참조하면, 확장된 상기 리세스들 내에 선택적 에피택셜 성장(Selective Epitaxial Growth, SEG) 공정을 이용하여 기판(101)의 상면으로부터 임베디드(embedded) 소스/드레인층들(107)을 형성할 수 있다.
전세정(precleaning) 공정에 의해 희생 스페이서들(142)이 제거될 수 있다. 임베디드 소스/드레인층들(107)을 형성하기 전에, 상기 리스세들 내에 계면층들(106)을 형성할 수 있다. 계면층들(106)은 수소(H2) 분위기의 베이크(bake) 공정에 의해 형성될 수 있다.
계면층들(106) 상에 임베디드 소스/드레인층들(107)을 형성할 수 있다. 계면층들(106) 상에 먼저 라이너층들(107a)을 형성할 수 있다. 라이너층들(107a) 상에 서로 조성이 다른 제1 내지 제3 에피택셜층들(107b, 107c, 107d)을 순차적으로 형성할 수 있다.
라이너층(107a), 제1 에피택셜층(107b), 제2 에피택셜층(107c) 및 제3 에피택셜층(107d)은 예를 들어, p형 불순물들로 도핑될 수 있다. 상기 p형 불순물은 선택적 에피택셜 성장 공정 중에 인시츄로 주입되거나 후속의 이온 주입 공정에 의해 주입될 수 있다.
계면층(106)의 두께는 라이너층(107a)의 두께보다 얇게 형성될 수 있다. 제1 내지 제3 에피택셜층들(107b, 107c, 107d)의 두께는 다양하게 변형될 수 있다.
도 14를 참조하면, 더미 게이트(130a) 및 더미 절연층(128)을 제거하여 제1 개구부(OPa)를 형성할 수 있다.
먼저 더미 게이트(130a)를 덮는 층간 절연층(170)이 형성될 수 있다. 층간 절연층(170)은 스페이서(140)의 외측에 형성되어 임베디드 소스/드레인층들(107)을 덮을 수 있다.
층간 절연층(170)은 절연 물질을 도포하는 공정 및 평탄화 공정에 의해 형성될 수 있다. 상기 평탄화 공정에 의해 캡핑층(135)이 제거되고, 더미 게이트(130a)가 노출될 수 있다. 더미 게이트(130a) 및 더미 절연층(128)이 순차적으로 제거될 수 있다.
도 15를 참조하면, 복수의 희생패턴들(160)을 선택적으로 제거하여 제2 개구부(OPb)를 형성할 수 있다. 제1 개구부(OPa) 및 제2 개구부(OPb)는 개구부(OP)를 구성할 수 있다.
예를 들어, 복수의 채널층들(120)은 실리콘(Si)을 포함할 수 있으며, 복수의 희생패턴들(160)은 실리콘-게르마늄(SiGe)을 포함할 수 있다. 복수의 희생패턴들(160)을 선택적으로 제거하기 위하여 실리콘에 비해 실리콘-게르마늄의 식각률이 큰 식각액을 사용할 수 있다. 예를 들면, 과산화수소(H2O2), 불화수소산(HF) 및 초산(CH3COOH)을 포함하는 식각액, 수산화암모늄(NH4OH), 과산화수소(H2O2) 및 탈이온수(H2O)를 포함하는 식각액, 과초산(peracetic acid)을 포함하는 식각액, 또는 이들의 조합을 이용할 수 있다.
복수의 희생패턴들(160)을 제거할 때, 복수의 희생패턴들(160)에 접한 계면층들(106)의 일부가 함께 제거될 수 있다. 제2 개구부(OPb)에 의해 라이너층(107a)의 일부가 노출될 수 있다. 라이너층(107a)이 실리콘으로 이루어진 경우, 복수의 희생패턴들(160)을 제거하는 단계에서, 라이너층(107a)의 식각을 방지할 수 있다. 따라서, 후속에 형성되는 게이트 전극의 길이가 제1 방향(예를 들어, X축 방향)으로 길어지는 것을 방지할 수 있다.
다시, 도 2 내지 도 4를 참조하면, 개구부(OP) 내에 게이트 절연층(110) 및 게이트 전극(130)을 순차적으로 형성할 수 있다.
게이트 절연층(110)은 개구부(OP)에 의해 노출된 스페이서(140)의 내측면에 형성될 수 있다. 게이트 절연층(110)은 개구부(OP)에 의해 노출된 채널층들(120)의 표면 및 임베디드 소스/드레인층(107)의 일부 표면 상에 형성될 수 있다. 게이트 절연층(110)은 채널층들(120)을 둘러싸도록 형성될 수 있다. 게이트 절연층(110)은 순차적으로 적층되고, 서로 다른 유전율을 가지는 제1 절연층(111) 및 제2 절연층(112)을 포함할 수 있다. 제2 절연층(112)의 유전율이 제1 절연층(111)의 유전율보다 더 클 수 있다.
게이트 절연층(110) 상에 게이트 전극(130)을 형성할 수 있다. 게이트 전극(130)은 순차적으로 적층된 배리어 금속층(131), 일함수 금속층(132) 및 게이트 금속층(134)을 포함할 수 있다.
게이트 금속층(134) 상에는 보호층(150)이 형성될 수 있다. 보호층(150)은 실리콘 질화물로 형성될 수 있다. 보호층(150)은 게이트 전극(130)으로 산소 등이 침투하여 문턱 전압이 바뀌는 것을 방지하기 위한 목적 등으로 형성될 수 있다. 게이트 전극(130)의 일부를 제거하고 게이트 전극(130)의 일부가 제거된 영역 내에 보호층(150)을 형성할 수 있다.
본 발명은 상술한 실시형태 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
100: 반도체 장치, 101: 기판, 103: 분리 절연층, 104: 돌출부, 106: 계면층, 107: 임베디드 소스/드레인층, 107a: 라이너층, 107b: 제1 에피택셜층, 107c: 제2 에피택셜층, 107d: 제3 에피택셜층, 110: 게이트 절연층, 120: 채널층, 130: 게이트 전극, 140: 스페이서, 142: 희생 스페이서, 150: 보호층, 160: 희생패턴, 170: 층간 절연층

Claims (20)

  1. 기판;
    상기 기판 상에 적층되는 복수의 채널층들;
    상기 복수의 채널층들을 감싸는 게이트 전극; 및
    상기 게이트 전극의 양측에 배치되고, 제1 영역 및 상기 제1 영역 상에 배치되고 서로 조성이 다른 복수의 층들을 포함하는 제2 영역을 포함하는 임베디드 소스/드레인층들;을 포함하고,
    상기 복수의 채널층들은 제1 채널층 및 제2 채널층을 포함하고,
    상기 임베디드 소스/드레인층들에 인접한 상기 제2 채널층의 측면들은 상기 기판의 상면에 대하여 경사진 반도체 장치.
  2. 제1항에 있어서,
    상기 임베디드 소스/드레인층들과 상기 복수의 채널층들 사이에 배치되고, 상기 임베디드 소스/드레인층들과 상기 기판 사이에 배치되는 계면층들을 더 포함하는 반도체 장치.
  3. 제2항에 있어서,
    상기 제1 영역은 복수의 채널층들과 동일한 반도체 물질을 포함하고, 상기 계면층은 상기 제2 영역과 동일한 반도체 물질을 포함하는 반도체 장치.
  4. 제1항에 있어서,
    상기 제1 영역은 p형 불순물을 더 포함하는 반도체 장치.
  5. 제1항에 있어서,
    상기 제2 영역은 상기 제1 영역 상에 순차로 적층되는 제1 에피택셜층, 제2 에피택셜층, 및 제3 에피택셜층을 포함하고,
    상기 제1 에피택셜층, 상기 제2 에피택셜층, 및 상기 제3 에피택셜층은 실리콘-게르마늄 화합물을 포함하고,
    상기 제3 에피택셜층은 상기 제2 에피택셜층보다 게르마늄 함량이 높고, 상기 제2 에피택셜층은 상기 제1 에피택셜층보다 게르마늄 함량이 높은 반도체 장치.
  6. 제1항에 있어서,
    상기 임베디드 소스/드레인층들에 인접한 상기 제2 채널층의 측면들은 경사진 평면 또는 곡면인 반도체 장치.
  7. 제1항에 있어서,
    상기 제2 채널층은 상부의 길이가 하부의 길이보다 더 긴 구조인 반도체 장치.
  8. 기판;
    상기 기판 상에 적층되고, 제1 방향으로 연장되는 복수의 채널층들;
    상기 복수의 채널층들을 감싸고, 상기 제1 방향과 교차하는 제2 방향으로 연장되는 게이트 전극; 및
    상기 게이트 전극의 양측에 배치되는 임베디드 소스/드레인층들;을 포함하고,
    상기 복수의 채널층들은 제1 채널층 및 상기 제1 채널층에 인접한 적어도 하나의 제2 채널층을 포함하고,
    상기 제1 채널층은 상기 제1 방향에서 제1 길이를 갖고,
    상기 적어도 하나의 제2 채널층은 상기 제1 방향에서 상기 제1 길이보다 큰 제2 길이를 갖는 영역을 포함하고,
    상기 임베디드 소스/드레인층들 각각은 제1 영역 및 상기 제1 영역 상에 배치되고 서로 조성이 다른 복수의 층들을 포함하는 제2 영역을 포함하는 반도체 장치.
  9. 제8항에 있어서,
    상기 복수의 채널층들 중 최상부의 채널층은 경사진 측면을 가지는 반도체 장치.
  10. 제8항에 있어서,
    상기 복수의 채널층들 중 최상부의 채널층은 상기 기판에 가까워질수록 상기 제1 방향으로 연장되는 길이가 짧아지는 반도체 장치.
  11. 제8항에 있어서,
    상기 임베디드 소스/드레인층들과 상기 복수의 채널층들 사이에 배치되고, 상기 임베디드 소스/드레인층들과 상기 기판 사이에 배치되는 계면층들을 더 포함하는 반도체 장치.
  12. 기판;
    상기 기판 상에 적층되는 복수의 채널층들;
    상기 복수의 채널층들을 감싸는 게이트 전극; 및
    상기 게이트 전극의 양측에 배치되고, 제1 영역 및 상기 제1 영역 상에 배치되고 서로 조성이 다른 복수의 층들을 포함하는 제2 영역을 포함하는 임베디드 소스/드레인층들;을 포함하고,
    상기 복수의 채널층들은 제1 채널층 및 제2 채널층을 포함하고,
    상기 제2 채널층의 적어도 일 영역의 길이는 상기 제1 채널층의 길이보다 큰 반도체 장치.
  13. 제12항에 있어서,
    상기 임베디드 소스/드레인층들과 상기 복수의 채널층들 사이에 배치되고, 상기 임베디드 소스/드레인층들과 상기 기판 사이에 배치되는 계면층들을 더 포함하는 반도체 장치.
  14. 제12항에 있어서,
    상기 임베디드 소스/드레인층들에 인접한 상기 제2 채널층의 측면들은 경사진 평면 또는 곡면인 반도체 장치.
  15. 제12항에 있어서,
    상기 제2 채널층은 상부의 길이가 하부의 길이보다 더 긴 구조인 반도체 장치.
  16. 기판 상에 복수의 희생층들 및 복수의 반도체층들이 교대로 적층된 핀 구조체를 형성하는 단계;
    상기 핀 구조체와 교차하는 더미 게이트를 형성하는 단계;
    상기 핀 구조체를 이방성 건식 식각하여 상기 더미 게이트의 양측에 리세스들을 형성하는 단계;
    등방성 건식 식각 공정을 이용하여 상기 리세스들을 확장하는 단계; 및
    확장된 상기 리세스들 내에 임베디드 소스/드레인층들을 형성하는 단계; 를 포함하고,
    상기 리세스들을 확장하여 단계에서, 상기 복수의 반도체층들 중 최상부의 반도체층의 측면이 경사를 가지도록 형성되는 반도체 장치의 제조 방법.
  17. 삭제
  18. 제16항에 있어서,
    상기 임베디드 소스/드레인층들을 형성하는 단계 전에, 상기 리세스들 각각에 계면층들을 형성하는 단계;를 더 포함하는 반도체 장치의 제조 방법.
  19. 기판 상에 복수의 희생층들 및 복수의 반도체층들이 교대로 적층된 핀 구조체를 형성하는 단계;
    상기 핀 구조체와 교차하는 더미 게이트를 형성하는 단계;
    상기 핀 구조체를 이방성 건식 식각하여 상기 더미 게이트의 양측에 리세스들을 형성하는 단계;
    등방성 건식 식각 공정을 이용하여 상기 리세스들을 확장하는 단계;
    상기 리세스들 각각에 계면층들을 형성하는 단계; 및
    상기 계면층들 상에 임베디드 소스/드레인층들을 형성하는 단계;를 포함하고,
    상기 임베디드 소스/드레인층들을 형성하는 단계는,
    상기 계면층들 상에 라이너층들을 형성하는 단계; 및
    상기 라이너층들 상에 서로 조성이 다른 복수의 에피택셜층들을 형성하는 단계;를 포함하는 반도체 장치의 제조 방법.
  20. 제19항에 있어서,
    상기 더미 게이트 및 상기 복수의 희생층들을 제거하는 단계; 및
    게이트 절연막 및 게이트 전극을 형성하는 단계;를 더 포함하고,
    상기 복수의 희생층들을 제거할 때, 상기 복수의 희생층들에 접한 상기 계면층들의 일부가 함께 제거되는 반도체 장치의 제조 방법.
KR1020170109428A 2017-08-29 2017-08-29 반도체 장치 및 반도체 장치의 제조 방법 KR102385567B1 (ko)

Priority Applications (9)

Application Number Priority Date Filing Date Title
KR1020170109428A KR102385567B1 (ko) 2017-08-29 2017-08-29 반도체 장치 및 반도체 장치의 제조 방법
US15/900,175 US10872983B2 (en) 2017-08-29 2018-02-20 Semiconductor devices and manufacturing methods thereof
CN201810596906.2A CN109427871B (zh) 2017-08-29 2018-06-11 半导体装置
TW107119951A TWI770192B (zh) 2017-08-29 2018-06-11 半導體裝置
TW111121685A TWI812271B (zh) 2017-08-29 2018-06-11 半導體裝置
SG10201805116YA SG10201805116YA (en) 2017-08-29 2018-06-14 Semiconductor devices and manufacturing methods thereof
US16/953,785 US11393929B2 (en) 2017-08-29 2020-11-20 Semiconductor devices and manufacturing methods thereof
KR1020220034478A KR102483549B1 (ko) 2017-08-29 2022-03-21 반도체 장치 및 반도체 장치의 제조 방법
US17/840,737 US11908952B2 (en) 2017-08-29 2022-06-15 Semiconductor devices and manufacturing methods thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170109428A KR102385567B1 (ko) 2017-08-29 2017-08-29 반도체 장치 및 반도체 장치의 제조 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220034478A Division KR102483549B1 (ko) 2017-08-29 2022-03-21 반도체 장치 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20190023527A KR20190023527A (ko) 2019-03-08
KR102385567B1 true KR102385567B1 (ko) 2022-04-12

Family

ID=65437769

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170109428A KR102385567B1 (ko) 2017-08-29 2017-08-29 반도체 장치 및 반도체 장치의 제조 방법
KR1020220034478A KR102483549B1 (ko) 2017-08-29 2022-03-21 반도체 장치 및 반도체 장치의 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220034478A KR102483549B1 (ko) 2017-08-29 2022-03-21 반도체 장치 및 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (3) US10872983B2 (ko)
KR (2) KR102385567B1 (ko)
CN (1) CN109427871B (ko)
SG (1) SG10201805116YA (ko)
TW (2) TWI812271B (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US11158727B2 (en) * 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around device with extended channel
KR102655419B1 (ko) * 2019-05-14 2024-04-05 삼성전자주식회사 반도체 장치
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10903365B2 (en) * 2019-06-19 2021-01-26 International Business Machines Corporation Transistors with uniform source/drain epitaxy
US10957775B2 (en) 2019-07-01 2021-03-23 Micron Technology, Inc. Assemblies having conductive structures with three or more different materials
US11489063B2 (en) * 2019-08-30 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Method of manufacturing a source/drain feature in a multi-gate semiconductor structure
KR20210032845A (ko) * 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11322493B2 (en) * 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method (and related apparatus) for forming a semiconductor device with reduced spacing between nanostructure field-effect transistors
KR20210092360A (ko) 2020-01-15 2021-07-26 삼성전자주식회사 반도체 소자
US11233149B2 (en) * 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US11695055B2 (en) * 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11404554B2 (en) 2020-05-15 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming
KR20210145334A (ko) 2020-05-25 2021-12-02 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11728391B2 (en) 2020-08-07 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. 2d-channel transistor structure with source-drain engineering
KR20220020715A (ko) 2020-08-12 2022-02-21 삼성전자주식회사 집적회로 소자
KR20220022780A (ko) 2020-08-19 2022-02-28 삼성전자주식회사 반도체 소자
CN114093943B (zh) * 2020-08-24 2024-06-14 中国科学院微电子研究所 一种半导体器件及其制造方法
KR20220030374A (ko) * 2020-08-28 2022-03-11 삼성전자주식회사 반도체 장치
US20220069135A1 (en) * 2020-08-31 2022-03-03 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial Features
US11489078B2 (en) 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions
KR20220080302A (ko) 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
KR20220086217A (ko) * 2020-12-16 2022-06-23 삼성전자주식회사 반도체 장치
US12040405B2 (en) * 2021-05-13 2024-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and related methods
US11996484B2 (en) * 2021-05-13 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US20220392894A1 (en) * 2021-06-04 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial Source/Drain Structures for Multigate Devices and Methods of Fabricating Thereof
KR20230000485A (ko) * 2021-06-24 2023-01-03 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20230063612A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Devices with improved operational current and reduced leakage current

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160118483A1 (en) * 2014-10-23 2016-04-28 Globalfoundries Inc. Multi-gate fets having corrugated semiconductor stacks and method of forming the same
WO2017111850A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Methods of forming self aligned spacers for nanowire device structures
US20170222024A1 (en) * 2016-01-28 2017-08-03 International Business Machines Corporation Forming stacked nanowire semiconductor device

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100307635B1 (ko) * 1999-09-27 2001-11-02 윤종용 SiGe 채널의 모스 트랜지스터 및 그 제조 방법
KR100958055B1 (ko) 2003-05-02 2010-05-13 삼성전자주식회사 게이트에 의해 둘러싸인 카본나노튜브 전계효과트랜지스터및 그 제조방법
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100585157B1 (ko) * 2004-09-07 2006-05-30 삼성전자주식회사 다수의 와이어 브릿지 채널을 구비한 모스 트랜지스터 및그 제조방법
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7341902B2 (en) * 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
JP2011029503A (ja) 2009-07-28 2011-02-10 Toshiba Corp 半導体装置
WO2011027664A1 (en) 2009-09-04 2011-03-10 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and method for manufacturing the same
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI529936B (zh) * 2012-03-09 2016-04-11 聯華電子股份有限公司 半導體結構與其製法
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US8900959B2 (en) * 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9299768B2 (en) 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
DE102014222378A1 (de) * 2013-11-01 2015-05-07 Samsung Electronics Co., Ltd. Kristalline Mehrfach-Nanosheet-III-V-Kanal-FETs und Verfahren zu deren Herstellung
WO2015190852A1 (en) * 2014-06-11 2015-12-17 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same
US9577100B2 (en) 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9349649B2 (en) 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9741811B2 (en) * 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9450046B2 (en) 2015-01-08 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with fin structure and wire structure and method for forming the same
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9478561B2 (en) 2015-01-30 2016-10-25 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
US10008568B2 (en) * 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9343300B1 (en) 2015-04-15 2016-05-17 Globalfoundries Inc. Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9425259B1 (en) * 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
EP3127862B1 (en) * 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
US10032873B2 (en) * 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9748386B2 (en) * 2015-10-26 2017-08-29 United Microelectronics Corp. Epitaxial structure of semiconductor device and manufacturing method thereof
US9653547B1 (en) 2016-03-17 2017-05-16 International Business Machines Corporation Integrated etch stop for capped gate and method for manufacturing the same
US10490661B2 (en) * 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
FR3060838B1 (fr) * 2016-12-15 2019-05-31 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un dispositif semi-conducteur a canal contraint en compression
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160118483A1 (en) * 2014-10-23 2016-04-28 Globalfoundries Inc. Multi-gate fets having corrugated semiconductor stacks and method of forming the same
WO2017111850A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Methods of forming self aligned spacers for nanowire device structures
US20170222024A1 (en) * 2016-01-28 2017-08-03 International Business Machines Corporation Forming stacked nanowire semiconductor device

Also Published As

Publication number Publication date
US11393929B2 (en) 2022-07-19
US20220310852A1 (en) 2022-09-29
US11908952B2 (en) 2024-02-20
CN109427871A (zh) 2019-03-05
CN109427871B (zh) 2024-05-31
KR102483549B1 (ko) 2023-01-02
US20190067490A1 (en) 2019-02-28
TWI812271B (zh) 2023-08-11
TW202239001A (zh) 2022-10-01
US10872983B2 (en) 2020-12-22
US20210091232A1 (en) 2021-03-25
KR20220042081A (ko) 2022-04-04
KR20190023527A (ko) 2019-03-08
TW201914018A (zh) 2019-04-01
SG10201805116YA (en) 2019-03-28
TWI770192B (zh) 2022-07-11

Similar Documents

Publication Publication Date Title
KR102385567B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
KR102564325B1 (ko) 다수의 채널 영역을 가지는 반도체 장치
KR102465536B1 (ko) 반도체 장치의 제조 방법
US11183562B2 (en) Semiconductor device
KR102564326B1 (ko) 반도체 장치 및 그 제조 방법
US9337193B2 (en) Semiconductor device with epitaxial structures
CN107863299B (zh) FinFET及其制造方法
CN110767549B (zh) 半导体结构及其形成方法
CN107871740A (zh) 在衬底和/或鳍中包括器件隔离区的半导体器件
US10128375B2 (en) Strained FinFET and method for manufacturing the same
CN103855011A (zh) FinFET及其制造方法
CN103390637B (zh) FinFET及其制造方法
CN110350027A (zh) 半导体装置
CN103985754A (zh) 半导体器件及其制造方法
CN118553685A (zh) 半导体结构的形成方法
TW201338164A (zh) 半導體結構與其製法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant